TWI564429B - 真空成膜裝置 - Google Patents

真空成膜裝置 Download PDF

Info

Publication number
TWI564429B
TWI564429B TW102102462A TW102102462A TWI564429B TW I564429 B TWI564429 B TW I564429B TW 102102462 A TW102102462 A TW 102102462A TW 102102462 A TW102102462 A TW 102102462A TW I564429 B TWI564429 B TW I564429B
Authority
TW
Taiwan
Prior art keywords
substrate
gas
vacuum chamber
vacuum
film forming
Prior art date
Application number
TW102102462A
Other languages
English (en)
Other versions
TW201350618A (zh
Inventor
大森美紀
清田哲司
立野勇一
久保昌司
Original Assignee
愛發科股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 愛發科股份有限公司 filed Critical 愛發科股份有限公司
Publication of TW201350618A publication Critical patent/TW201350618A/zh
Application granted granted Critical
Publication of TWI564429B publication Critical patent/TWI564429B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Description

真空成膜裝置
本發明,係有關於對於被配置在真空腔內之身為成膜對象的基板而將二種以上之原料氣體交互作供給並藉由化學反應來成膜特定之薄膜的真空成膜裝置。
在半導體元件之製造工程中,係存在有對於晶圓等之身為成膜對象的基板而成膜特定之薄膜的成膜工程,對於此成膜工程,伴隨著近年之半導體元件的細微化,係對於以低溫度來實施成膜一事有所要求。基於此事,利用有具備熱履歷之降低和良好之階差被覆性等的特徵之ALD法的成膜方法,係備受矚目。
實施上述成膜方法之真空成膜裝置,例如係藉由專利文獻1而為週知。此發明,係具備有具備將內部作加熱之加熱器的真空腔。在真空腔之上部,係被形成有氣體導入口,在此氣體導入口處,係經由切換閥而被分別連接有對於每一氣體而分別作設置之複數的配管。又,在真空腔內之上部空間處,係被設置有與氣體導入口相通連 之噴淋頭,並且係與此噴淋頭相對向地而設置有將基板作保持之平台。在真空腔之底部處,係為了將內部之氣體作排氣而被形成有與真空幫浦相通之排氣口。
之後,對於基板表面供給第1原料氣體,並使此第1原料氣體被化學吸附於基板表面上而形成第1原料氣體的原子之層。接著,將基板表面之氣體氛圍藉由惰性氣體來作置換,之後,對於基板表面供給第2原料氣體,並使其與吸附在基板表面上之第1原料氣體產生反應,而形成第2原料氣體的原子之層。接著,在將基板表面之氣體氛圍更進而藉由惰性氣體來作了置換之後,再度吸附第1原料氣體,並與上述相同的,在置換後而再度供給第2原料氣體。反覆進行此一連串之操作,而將二種以上之原料氣體交互作供給並藉由化學反應而成膜特定之薄膜。
然而,在上述先前技術例之真空成膜裝置中,由於係從與基板表面(亦即是基板之成膜面)而相正交之方向來供給原料氣體,因此,係有著難以涵蓋基板之成膜面全面地來將原料氣體有效地作吸附之問題。因此,係考慮有:以從基板之成膜面的其中一側起而朝向另外一側地並且沿著此基板表面地而將前述氣體作噴射的方式,來構成原料氣體之氣體供給手段,並且,從此另外一側起,來將被導入至真空腔內之原料氣體等作真空抽氣之構成。於此情況,係會有必要在真空腔之側壁處,而將作為氣體供給手段之切換閥或配管以及通過作為排氣手段之真 空幫浦的排氣管等之零件從此壁面起而朝向側方向延伸地作設置,不僅是裝置之設置面積會變大,並且,依存於情況,也可能會發生由於此些之零件而被有所限制並成為無法作為具備有中央之搬送室的叢集工具用之成膜模組來作利用的問題。
[先前技術文獻] [專利文獻]
[專利文獻1]日本特開2003-318174號公報
本發明,係有鑑於上述課題,而以提供一種:不會對於能夠涵蓋基板之成膜面全面而將原料氣體有效地作吸附之功能有所損及,而能夠對於裝置本身之設置面積的大型化作防止的真空成膜裝置一事,作為課題。
為了解決上述課題,本發明之真空成膜裝置,係為對於被配置在真空腔內之身為成膜對象的基板而將二種以上之原料氣體交互作供給並藉由化學反應來成膜特定之薄膜的真空成膜裝置,其特徵為,係具備有:平台,係在真空腔內而將基板作保持;和氣體供給手段,係對於此基板而將氣體交互作供給;和排氣手段,係將真空 腔內之氣體作排氣,將被保持在前述平台上之前述基板的成膜面側朝上,前述氣體供給手段,係具備有:被配置在平台之其中一側處,並從基板之其中一側起朝向另外一側地並且沿著此基板之上面地,而將前述氣體之其中一者作噴射之至少一個的噴射噴嘴,前述排氣手段,係具備有:在前述平台之另外一側處而被開設於真空腔之下壁處的排氣口、和被設置在此真空腔之下方並與排氣口相通連之排氣腔、和被與排氣腔作連接並將此排氣腔內作真空抽氣之真空幫浦。
若依據本發明,則藉由噴射噴嘴,由於係被配置在平台之其中一側處並且從基板之其中一側起朝向另外一側地並且沿著此基板之上面地,而供給特定之氣體,並且將與成為較真空腔之壓力而更低之壓力的排氣腔相通之排氣口設置在平台之另外一側處,而將通過了基板後之氣體積極地經由排氣口來排氣至排氣腔中,因此,係能夠涵蓋基板之成膜面全面而將原料氣體有效地作吸附。於此情況,由於係採用將排氣腔設置在真空腔之下方,並將噴射噴嘴配置在真空腔內,並且能夠將對於此噴射噴嘴供給特定之氣體的氣體供給管從真空腔之下方來作連接之構成,因此,係並不需要將配管或排氣管等的零件從真空腔之壁面起而朝向側方向延伸出去地作設置,而不會有使裝置之設置面積變大的情況,進而,就算是在設為叢集工具用之成膜模組的情況時,也不會受到特別的限制。
又,在本發明中,較理想,係設為下述之構 成:亦即是,前述噴射噴嘴,係由被立起設置於前述平台之下面的基部、和從此基部起而連續並朝向平台之其中一側而作了彎曲的噴嘴部,所構成者,噴嘴部,係從此噴嘴部而觀察時,為具備有與在基板部分處之最大長度同等以上的長度,在平台側之端部處,係於其之長邊方向上,空出有特定間隔地而列設置有複數之噴射口。又,較理想,前述排氣口,係從噴嘴部而觀察時,為具備有與在基板部分處之最大長度同等以上的長度。若依據此構成,則由於氣體係涵蓋基板之成膜面全面地而均一流動,因此,係能夠涵蓋基板之成膜面全面而將原料氣體作吸附,並且,並未被成膜面所吸附之氣體,係只要通過基板便能夠立即被作排氣。
於此,如同上述一般,當對於被配置在真空腔內之身為成膜對象之基板而將二種以上的原料氣體交互作供給並藉由化學反應而成膜特定之薄膜的情況時,若是對於在切換氣體種類時而將之前的氣體作排氣一事作考慮,則若是真空腔之容積越小,越能將排氣時間縮短並使生產性提升。藉由將真空腔之容積縮小,亦能夠抑制氣體之使用量。另一方面,若是將真空腔內之容積過度縮小,則由真空機器人所進行之對於平台的基板之搬送或搬出係變得困難。因此,若是採用下述之構成,亦即是,係更進而具備有:被配置在真空腔內之上下一對的上隔壁和下隔壁;和能夠與此些之上隔壁、下隔壁相互近接、分離地而作相對移動之驅動手段,並且,係設置有:週側壁,係若 是對於上隔壁以及下隔壁之至少其中一方的週緣部處而朝向相互近接之方向作相對移動,則會將包含噴射噴嘴之平台的周圍作包圍並以較真空腔之容積更小之容積而區劃出從真空腔來作了隔絕的成膜空間,則在成膜時,係能夠設為容積為小之成膜空間,並且,在基板之搬送時,係能夠確保充分的搬送空間,而為有利。
M‧‧‧真空成膜裝置
1‧‧‧真空腔
11‧‧‧下隔壁(平台)
2‧‧‧平台
3‧‧‧氣體供給手段
31、32‧‧‧噴射噴嘴
7‧‧‧排氣手段
71‧‧‧排氣口
73‧‧‧排氣腔
74‧‧‧真空幫浦
[圖1](a),係為對本發明之真空成膜裝置的構成作展示之模式剖面圖。(b),係為沿著(a)之Ib-Ib線的剖面圖。
[圖2]噴射噴嘴之正面圖。
[圖3]對於原料氣體之供給作說明的區塊圖。
[圖4]對本發明之真空成膜裝置的其他構成作展示之模式剖面圖。
以下,參考圖面,針對將成膜對象設為矩形且為玻璃製之基板W,並對於此基板W,而將二種類之氣體中的第1原料氣體設為三甲基鋁(TMA),並將第2原料氣體設為水蒸氣氣體,而將此些之原料氣體交互作供給並在基板表面上形成氧化鋁(Al2O3)的情況為例,來對於本發明之實施形態的真空成膜裝置作說明。於以下,係 將圖1中之基板W的成膜面側設為上,並準據於此而使用左、右、下、前、後之代表方向的用語。
參考圖1,M,係為本實施形態之真空成膜裝置。真空成膜裝置M,係具備有特定容積之真空腔1。在真空腔1內之下壁內面處,係被設置有較此內面之面積而更小的下隔壁11。在下隔壁11之週緣部處,係朝向上方而突出設置地來一體性地形成有週側壁12。在下隔壁11之週側壁12的內側部分處,係被設置有將基板W使其之成膜面側朝上地來作保持之平台2。在平台2中,係被組入有電阻加熱式之加熱器21,在成膜時係成為能夠將基板W加熱至特定溫度。
在下隔壁11之週側壁12的內側部分且為平台2之右側處,係被設置有作為氣體供給手段3之第1以及第2的兩噴射噴嘴31、32。兩噴射噴嘴31、32係具備有略相同之形態,如圖2中所示一般,噴射噴嘴31(32),係由貫通真空腔1之下壁以及下隔壁11而立起設置的筒狀之基部31a(32a)、和在此基部31a處,一面隨著朝向上方而作擴徑一面連續性地一體性形成,並使其之前端部朝向平台2側而作彎折的噴嘴部31b(32b),所構成者。噴嘴部31b之前後方向的長度L1,係以具備有與此相對向之基板W的一邊之長度L2同等以上之長度的方式而形成之。於此情況,基板W之上述一邊,係成為從噴嘴部31b而觀察時之基板W部分處的最大長度。另外,當基板W為圓形的情況時,其之直徑係成為朝向 噴嘴部31b之基板W部分處的最大長度。又,在噴嘴部31b之平台2側的端部處,複數之區隔板31c(32c)係以等間隔而被作配置,並以在其之長邊方向上而空出有特定間隔地來列設複數之噴射口的方式,而被分割為複數之噴射口31d(32d)。之後,若是對於基部31a之下端而供給原料氣體,則氣體係在此基部31a之上部處而一旦擴散,之後從各噴射口31d而略均等地作噴射。
第1以及第2之兩噴射噴嘴31、32,係以使各噴射口31d位置在鉛直方向之同一平面內的方式,而將噴嘴部31b、32b上下重疊地作配置。於此情況,位置在下側之第1噴射噴嘴31的各噴射口31d,係以位置在與基板W之上面同一平面上的方式而被作設置。在基部31a之從真空腔1的下面而突出之部分處,係分別被連接有從第1原料氣體之氣體源43a而來之第1氣體供給管4a、和從第2原料氣體之氣體源43b而來之第2氣體供給管4b。
如圖3中所示一般,在第1氣體供給管4a處,係分別被中介設置有緩衝槽41a、和此緩衝槽41a之上游側以及下游側的開閉閥42a、42b、以及真空計G,並與第1原料氣體之氣體源43a相通連。另一方面,在第2氣體供給管4b處,亦係分別被中介設置有緩衝槽41b、和此緩衝槽41b之上游側以及下游側的開閉閥42c、42d、以及真空計G,並與第2原料氣體之氣體源43b相通連。並且,係成為將預先被填充在各緩衝槽41a、41b 內之第1原料氣體和第2原料氣體交互作供給。另外,在氣體源43a、43b中,係可收容氣相狀態之原料氣體,亦可構成為使液相以及固相之原料氣化並得到原料氣體。
又,在第1氣體供給管4a和第2氣體供給管4b處,係被連接有將氮氣或氬氣等之惰性氣體作導入之中介設置有開閉閥51a、51b和質量流控制器52的從惰性氣體源53而來之惰性氣體導入管5,在成膜中,係恆常將惰性氣體導入至真空腔1內,並構成為例如在將第1原料氣體對於基板W而作了供給之後,於將第2原料氣體對於基板W作供給之前,能夠先藉由惰性氣體來將真空腔1一旦置換為惰性氣體氛圍。另外,亦可使第2氣體供給管4b在緩衝槽41b和下游側之開閉閥42d之間而作分歧,並將此分歧出之旁通管6,經由開閉閥61來與後述之排氣腔作連接。
在下隔壁11之週側壁12的內側部分且為平台2之左側處,係貫通真空腔1之下壁以及下隔壁11地而被開設有作為排氣手段7之排氣口71。排氣口71之前後方向的長度L3,係以具備有與此相對向之基板W的一邊之長度L2同等以上之長度的方式而形成之。而,此排氣口71,係與被設置在真空腔1之下壁外側處的排氣腔72相通連。在排氣腔72處,係透過其他之排氣管73而被連接有真空幫浦74。作為真空幫浦74,係利用有渦輪分子幫浦或旋轉幫浦等之週知之物,又,在排氣管73處,係亦可具備有對於排氣速度作調節之調節閥。又,作 為排氣腔72,係可利用以筒狀構件所構成者,並以朝向鉛直方向延伸的方式而被吊設有排氣管73。於此情況,排氣腔72,係對於氣體流量等作考慮而對於其之容積作設定。
在真空腔1內之上部處,係與下隔壁11相對向地而被設置有上隔壁13。上隔壁13,係被吊設於貫通真空腔1之上壁地作設置之複數根的驅動軸81處,於其之內部,係內藏有省略圖示之加熱器。在延伸至真空腔1外之驅動軸81的部分處,係外插有伸縮管82,並被與直動馬達等之驅動手段83作連接。藉由此驅動手段83,上隔壁13,係能夠在搬送位置和成膜位置之間作上下移動,該搬送位置,係為上隔壁13退避至真空腔1內之上側處而能夠在基板W之搬送時確保充分之搬送空間之位置,該成膜位置,係為使此上壁部13之週緣部與週側壁12之上面12a相密接並包圍包含有噴射噴嘴31、32以及排氣口71之平台2的周圍而以較真空腔1之容積更小的容積來區劃出從真空腔1而作了隔絕的成膜空間之位置。另外,為了將基板W對於平台2作搬出、搬入,在真空腔1之側面處,係被設置有閘閥GV,並且,在平台2處,係被設置有將基板W從此平台2而舉升之省略圖示的舉升銷,而成為能夠藉由圖外之具備有機器手的搬送機器人來將基板W作搬送。
接著,針對由本實施形態之真空成膜裝置所致的對於基板W之成膜處理作說明。在圖1中所示之狀 態下,真空成膜裝置M,係使全部的開閉閥42a~42d作閉閥,並在上隔壁13位置於搬送位置處的狀態下,藉由真空幫浦74來將其之內部真空排氣至特定之壓力並成為待機狀態。接著,藉由省略圖示之搬送機器人來將基板W一直搬送至平台2之正上方,並遞交至舉升銷處,之後,載置在平台2上。於此情況,係亦可藉由靜電吸盤等來作吸附。若是基板W被載置在平台2上,則係藉由驅動手段83來使上隔壁13朝向移動,並移動至成膜位置處。此時,在緩衝槽41a、41b處,係僅將上游側之開閉閥42a、42c開閥並將第1原料氣體和第2原料氣體分別作填充,若是真空計G之測定值到達特定值,則兩開閉閥42a、42c係被閉閥。
當開始成膜時,係將下游側之開閉閥42b和惰性氣體用之開閉閥51a、51b開閥,並將緩衝槽41a內之第1原料氣體和惰性氣體供給至基板W表面,而使此第1原料氣體化學吸附於處理表面上,並形成第1原料氣體之原子之層。若是緩衝槽41a內之第1原料氣體被供給至基板W表面,則係僅將下游側之開閉閥42b閉閥,並將基板W表面之氣體氛圍藉由惰性氣體來作置換。接著,若是將基板W表面之氣體氛圍藉由惰性氣體來作置換,則係將下游側之開閉閥42d開閥,並將緩衝槽41b內之第2原料氣體和惰性氣體對於基板W表面作供給,而使其與吸附在基板W表面上之第1原料氣體產生反應,並形成第2原料氣體的原子之層。此時,在緩衝槽41a 處,係僅將上游側之開閉閥42a開閥並將第1原料氣體作填充,若是真空計G之測定值到達特定值,則開閉閥42a係被閉閥。反覆進行此一連串之操作,而將二種以上之原料氣體交互作供給並藉由化學反應而成膜氧化鋁。
若依據上述實施形態,則藉由噴射噴嘴31、32,由於係被配置在平台2之其中一側處並且從基板W之其中一側起朝向另外一側地並且沿著此基板W之上面地,而供給特定之氣體,並且將與成為較真空腔1之壓力而更低之壓力的排氣腔72相通之排氣口71設置在平台2之另外一側處,而將通過了基板W後之氣體積極地經由排氣口71來排氣至排氣腔72中,因此,係能夠涵蓋基板W之成膜面全面而將原料氣體有效地作吸附。於此情況,由於係採用將排氣腔72設置在真空腔1之下方,並將噴射噴嘴31、32配置在真空腔1內,並且能夠將對於此噴射噴嘴31、32供給特定之氣體的氣體供給管4a、4b從真空腔1之下方來作連接之構成,因此,係並不需要將配管或排氣管等的零件從真空腔1之壁面起而朝向側方向延伸出去地作設置,而不會有使裝置之設置面積變大的情況,進而,就算是在設為叢集工具用之成膜模組的情況時,也不會受到特別的限制。
又,藉由將噴射噴嘴31、32以及排氣手段7如同上述一般地來構成,由於氣體係涵蓋基板W之成膜面全面地而均一流動,因此,係能夠涵蓋基板W之成膜面全面而將原料氣體作吸附,並且,並未被成膜面所吸附 之氣體,係只要通過基板W便能夠立即被作排氣。
進而,藉由在真空腔1內設置上下移動之上隔壁13以及下隔壁11,在成膜時係能夠設為容積為小之成膜空間,藉由此,係能夠將排氣時間縮短並使生產性提升,且能夠抑制氣體之使用量,另一方面,在基板W之搬送時,係能夠確保充分之搬送空間,而為有利。
以上,雖係針對本發明之實施形態作了說明,但是,本發明,係並不被限定於上述形態。在上述實施形態中,雖係為了涵蓋基板W之成膜面全面而均一地供給原料氣體,而以設置有與基板W之最大長度同等以上的噴射口31d、32d者為例來作了說明,但是,係並非為被限定於此,例如,係亦可在基板W之長邊方向上而列設複數根之氣體管,又,亦可在噴嘴部31b、32b之平台2側的端部處,設置於長邊方向上以等間隔而列設有透孔的板狀構件,並以在其之長邊方向上而空出有特定間隔地來列設複數之噴射口的方式來構成之。又,亦可將排氣口71藉由複數之排氣口來構成。
進而,在上述實施形態中,雖係以設置2個的噴射噴嘴並將2種類之原料氣體作導入者為例來作了說明,但是,係並不被限定於此,亦可藉由單一之噴射噴嘴來導入複數種的原料氣體。又,雖係針對將上隔壁13設為板狀構件並使其上下移動者為例來作了說明,但是,係並不被限定於此。例如,亦可將週壁部形成在上隔壁13之下面週緣部處,於此情況,係能夠使真空腔1之下壁具 有作為下隔壁11之功能並省略之。
在上述實施形態中,雖係以藉由設置在下隔壁11上之平台2來將基板W作保持的情況為例來作了說明,但是,亦可如圖4中所示一般,設為藉由身為平台之下隔壁11來將基板W直接作保持。於此情況,係只要在下隔壁11和真空腔1的下壁之間設置加熱器21即可,真空成膜裝置M2,係在形成餘下隔壁11之下面的凹部11a中具備有加熱器21。又,基板W係並不被限定於玻璃製者,例如亦可為矽製者。於此情況,係亦可在將基板W保持在搬送托盤上的狀態下,而搬送至平台2或者是下隔壁11上。
M‧‧‧真空成膜裝置
1‧‧‧真空腔
2‧‧‧平台
3‧‧‧氣體供給手段
7‧‧‧排氣手段
8、11‧‧‧下隔壁(平台)
12‧‧‧週側壁
12a‧‧‧上面
13‧‧‧隔壁
21‧‧‧加熱器
31、32‧‧‧噴射噴嘴
31a‧‧‧基部
31b‧‧‧噴嘴部
32a‧‧‧基部
32b‧‧‧噴嘴部
71‧‧‧排氣口
72‧‧‧排氣腔
73‧‧‧排氣管
74‧‧‧真空幫浦
81‧‧‧驅動軸
82‧‧‧伸縮管
83‧‧‧驅動手段
GV‧‧‧閘閥
W‧‧‧基板

Claims (4)

  1. 一種真空成膜裝置,係為對於被配置在真空腔內之身為成膜對象的基板而將二種以上之氣體交互作供給並藉由化學反應來成膜特定之薄膜的真空成膜裝置,其特徵為,係具備有:平台,係在真空腔內而將基板作保持;和氣體供給手段,係對於此基板而將氣體交互作供給;和排氣手段,係將真空腔內之氣體作排氣,將被保持在前述平台上之前述基板的成膜面側朝上,前述氣體供給手段,係具備有:被配置在平台之其中一側處,並從基板之其中一側起朝向另外一側地並且沿著此基板之上面地,而將前述氣體之其中一者作噴射之至少一個的噴射噴嘴,前述排氣手段,係具備有:在前述平台之另外一側處而被開設於真空腔之下壁處的排氣口、和被設置在此真空腔之下方並與排氣口相通連之排氣腔、和被與排氣腔作連接並將此排氣腔內作真空抽氣之真空幫浦。
  2. 如申請專利範圍第1項所記載之真空成膜裝置,其中,前述噴射噴嘴,係由被立起設置於前述平台之下面的基部、和從此基部起而連續並朝向平台之其中一側而作了彎曲的噴嘴部,所構成者,噴嘴部,係從此噴嘴部而觀察時,為具備有與在基板部分處之最大長度同等以上的長度,在平台側之端部處,係於其之長邊方向上,空出有特 定間隔地而列設置有複數之噴射口。
  3. 如申請專利範圍第1項或第2項所記載之真空成膜裝置,其中,前述排氣口,係從噴嘴部而觀察時,為具備有與在基板部分處之最大長度同等以上的長度。
  4. 如申請專利範圍第1項或第2項所記載之真空成膜裝置,其中,係更進而具備有:被配置在前述真空腔內之上下一對的上隔壁和下隔壁;和能夠與此些之上隔壁、下隔壁相互近接、分離地而作相對移動之驅動手段,並且,係設置有:週側壁,係若是對於上隔壁以及下隔壁之至少其中一方的週緣部處而朝向相互近接之方向作相對移動,則會將包含噴射噴嘴之平台的周圍作包圍並以較真空腔之容積更小之容積而區劃出從真空腔來作了隔絕的成膜空間。
TW102102462A 2012-01-25 2013-01-23 真空成膜裝置 TWI564429B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2012012749A JP2013151720A (ja) 2012-01-25 2012-01-25 真空成膜装置

Publications (2)

Publication Number Publication Date
TW201350618A TW201350618A (zh) 2013-12-16
TWI564429B true TWI564429B (zh) 2017-01-01

Family

ID=48796182

Family Applications (1)

Application Number Title Priority Date Filing Date
TW102102462A TWI564429B (zh) 2012-01-25 2013-01-23 真空成膜裝置

Country Status (4)

Country Link
US (1) US20130186340A1 (zh)
JP (1) JP2013151720A (zh)
CN (1) CN103225073A (zh)
TW (1) TWI564429B (zh)

Families Citing this family (249)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
JP5895929B2 (ja) * 2013-12-25 2016-03-30 ウシオ電機株式会社 光照射装置
JP2015173226A (ja) * 2014-03-12 2015-10-01 株式会社アルバック 真空成膜装置及びこの装置を用いた成膜方法
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
KR102397908B1 (ko) * 2015-08-19 2022-05-16 삼성전자주식회사 박막 증착 장치
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) * 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11779949B2 (en) * 2019-10-30 2023-10-10 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor process chamber contamination prevention system
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US20230070804A1 (en) * 2021-09-02 2023-03-09 Wonik Ips Co., Ltd. Substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007273515A (ja) * 2006-03-30 2007-10-18 Mitsui Eng & Shipbuild Co Ltd 薄膜形成装置

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3498255B2 (ja) * 1996-06-17 2004-02-16 東芝機械株式会社 枚葉式減圧cvd装置
AU2001277755A1 (en) * 2000-08-11 2002-02-25 Tokyo Electron Limited Device and method for processing substrate
JP4727085B2 (ja) * 2000-08-11 2011-07-20 東京エレクトロン株式会社 基板処理装置および処理方法
JP2003133299A (ja) * 2001-10-24 2003-05-09 Oki Electric Ind Co Ltd 半導体製造装置および半導体製造方法
JP2006176826A (ja) * 2004-12-22 2006-07-06 Canon Anelva Corp 薄膜処理装置
JP4961948B2 (ja) * 2006-10-27 2012-06-27 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法並びに記憶媒体
JP4973150B2 (ja) * 2006-11-27 2012-07-11 東京エレクトロン株式会社 ガス導入機構及び被処理体の処理装置
CN101918611B (zh) * 2008-02-27 2012-09-26 硅绝缘体技术有限公司 Cvd反应器中气态前体的热化
JP5573772B2 (ja) * 2010-06-22 2014-08-20 東京エレクトロン株式会社 成膜方法及び成膜装置
JP5687547B2 (ja) * 2010-06-28 2015-03-18 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
KR101313262B1 (ko) * 2010-07-12 2013-09-30 삼성전자주식회사 화학 기상 증착 장치 및 이를 이용한 반도체 에피 박막의 제조 방법

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007273515A (ja) * 2006-03-30 2007-10-18 Mitsui Eng & Shipbuild Co Ltd 薄膜形成装置

Also Published As

Publication number Publication date
JP2013151720A (ja) 2013-08-08
US20130186340A1 (en) 2013-07-25
TW201350618A (zh) 2013-12-16
CN103225073A (zh) 2013-07-31

Similar Documents

Publication Publication Date Title
TWI564429B (zh) 真空成膜裝置
KR101138810B1 (ko) 기판 처리 장치
CN109559975B (zh) 基板处理装置、反应管、半导体装置的制造方法及程序
US10961625B2 (en) Substrate processing apparatus, reaction tube and method of manufacturing semiconductor device
KR101220790B1 (ko) 진공 처리 장치, 진공 처리 장치의 운전 방법 및 기억 매체
US20150030766A1 (en) Pedestal bottom clean for improved fluorine utilization and integrated symmetric foreline
TWI741093B (zh) 時間性原子層沉積處理腔室
JP2013151720A5 (zh)
TWI601232B (zh) 支持體構造及處理設備
EP3077568B1 (en) Methods and apparatus for in-situ cleaning of a process chamber
JP2010118462A (ja) 基板処理装置
KR20140148434A (ko) 플라즈마 프로세싱 시스템들에서의 공유형 가스 패널들
CN111465714B (zh) 成膜装置
JP2011029441A (ja) 基板処理装置及び基板処理方法
TWI483300B (zh) Substrate processing device
TWI671428B (zh) 排氣管無害化方法及成膜裝置
WO2013183437A1 (ja) ガス処理方法
CN104903994A (zh) 基板处理装置
KR20150070404A (ko) 선택적 가스 주입 및 추출을 위한 장치
JP2008166321A (ja) 基板処理装置および半導体装置の製造方法
KR101828988B1 (ko) 로드락 챔버
JP2009004642A (ja) 基板処理装置
JP4895634B2 (ja) 基板処理装置
US9324559B2 (en) Thin film deposition apparatus with multi chamber design and film deposition methods
JP2011054590A (ja) 基板処理装置