JP2013533388A - 改善されたガス流のためのシャワーヘッド支持構造 - Google Patents

改善されたガス流のためのシャワーヘッド支持構造 Download PDF

Info

Publication number
JP2013533388A
JP2013533388A JP2013521800A JP2013521800A JP2013533388A JP 2013533388 A JP2013533388 A JP 2013533388A JP 2013521800 A JP2013521800 A JP 2013521800A JP 2013521800 A JP2013521800 A JP 2013521800A JP 2013533388 A JP2013533388 A JP 2013533388A
Authority
JP
Japan
Prior art keywords
gas
showerhead
gas flow
hole
flow path
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2013521800A
Other languages
English (en)
Other versions
JP5937591B2 (ja
Inventor
ロビン エル ティナー
スー ヤング チョイ
クンファ ワング
ジュリジアン ジェリー チェン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2013533388A publication Critical patent/JP2013533388A/ja
Application granted granted Critical
Publication of JP5937591B2 publication Critical patent/JP5937591B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05BSPRAYING APPARATUS; ATOMISING APPARATUS; NOZZLES
    • B05B1/00Nozzles, spray heads or other outlets, with or without auxiliary devices such as valves, heating means
    • B05B1/005Nozzles or other outlets specially adapted for discharging one or more gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Physics & Mathematics (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本発明の実施形態は、概して処理チャンバ内でガス分散シャワーヘッドを支持するための装置及び方法を提供する。一実施形態では、真空チャンバ用ガス分散シャワーヘッドが提供される。ガス分散シャワーヘッドは、第1面と、第1面と反対側の第2面とを有する本体であって、複数のガス流路が本体を貫通して形成され、ガス流路は第1面内に形成された第1穴を含み、第1穴は第2面内に形成された第2穴に規制オリフィスによって流体結合される本体と、ガス流路の少なくとも1つの第1穴内に形成されているサスペンション構成を含む。

Description

発明の背景
(発明の分野)
本発明の実施形態は、概してプラズマチャンバ内のガス分散シャワーヘッドを支持することに関する。具体的には、本発明は、ガス分散シャワーヘッドを通してチャンバにガスを供給することに関する。
(関連技術の説明)
プラズマ化学気相堆積(PECVD)は、ガス分散シャワーヘッドを介して処理ガスを処理チャンバに導入する蒸着法である。シャワーヘッドは、電気的にバイアスが掛けられ、処理ガスを点火してプラズマにする。シャワーヘッドに対向してあるサセプタは、電気的に接地され、陽極として機能する。シャワーヘッドとサセプタの間の処理空間に処理ガスが流れ込むように、シャワーヘッドは処理ガスを広げる。
PECVDは近年、大面積基板上に材料を堆積させる用途に人気がある。大面積基板は、約1平方メートルを超える表面積を有する場合がある。大面積基板は、フラットパネルディスプレイ(FPD)、太陽電池パネル、有機発光ディスプレイ(OLED)、及び他のアプリケーションに使用することができる。これらのプロセスでは、大面積基板は300℃程度から400℃以上の温度にさらされ、堆積層の均一性を確保するために、堆積中にシャワーヘッドに対して固定された位置に保持する必要がある。
シャワーヘッドは、一般的に処理ガスを分散するように適合された大面積基板上に離間関係を保って支持される多穴板であり、典型的には、被処理基板と実質的に同じ面積を有する。シャワーヘッドは、一般的にアルミニウムで作られており、PECVDプロセス中の温度に耐えながら、膨張と収縮を受ける。シャワーヘッドは、基板とシャワーヘッドの間の処理空間を維持するために、一般的に端部の周囲及び中心で支持される。しかしながら、典型的な中心支持方式は、シャワーヘッドを通るガス流に影響を与える。ガス流が堆積中にシャワーヘッドを通して十分に分散されていない場合、処理は基板上に均一な堆積を生成しない可能性があり、これは使用不可能な大面積基板をもたらす可能性がある。
したがって、必要とされているものは、基板とガス分散シャワーヘッドの間の処理空間を維持し、ガス分散シャワーヘッドを通るガス流を妨げないガス分散シャワーヘッドを支持するための装置及び方法である。
本発明は、概して真空チャンバ内のガス分散シャワーヘッドを支持するための方法及び装置に関する。一実施形態では、第1面と、第1面と反対側の第2面とを有する本体であって、複数のガス流路が本体を貫通して形成され、ガス流路は第1面内に形成された第1穴を含み、第2面内に形成された第2穴に規制オリフィスによって流体結合される本体と、ガス流路の少なくとも1つの第1穴内に形成されているサスペンション(懸架)構成を含む。
別の一実施形態では、真空チャンバ用ガス分散シャワーヘッドが提供される。ガス分散シャワーヘッドは、バッキングプレートに対向する第1面と、第1面と反対側の第2面とを有する本体であって、複数のガス流路が第1面と第2面の間に形成され、複数のガス流路の各々は第1面内に形成された第1穴を有し、第1穴は第2面内に形成された第2穴に規制オリフィスによって流体結合される本体と、塞がったガス流路を形成する複数のガス流路の少なくとも1つの第1穴内に配置されたサスペンションフィッティングと、バッキングプレートと、塞がったガス流路にガス流を供給するガス分散シャワーヘッドの第1面との間の容積と流体連通している代替ガス流路を含む。
別の一実施形態では、真空チャンバが提供される。真空チャンバは、間に中間容積を画定するガス分散シャワーヘッドの近傍に配置されたバッキングプレートを含む。ガス分散シャワーヘッドは、中間容積と流体連通する第1面と、第2面を有する本体であって、複数のガス流路が第1面と第2面の間に形成され、複数のガス流路の各々は第1面内に形成された第1穴を有し、第1穴は第2面内に形成された第2穴に規制オリフィスによって流体結合される本体と、複数のガス流路のうちの少なくとも1つの中に配置された支持部材であって、塞がれた第2穴へのガス流を少なくとも部分的に規制する支持部材と、内部にサスペンションフィッティングを有する複数のガス流路のうちの少なくとも1つにガス流を供給する中間容積と流体連通している代替ガス流路を含む。
別の一実施形態では、基板を処理するための方法が提供される。本方法は、ガス分散シャワーヘッドとバッキングプレートとの間に配置され、複数のガス流路のうちの少なくとも1つへのガス流を遮断する少なくとも1つの支持部材によって、チャンバ内に複数のガス流路を有するガス分散シャワーヘッドを吊るす工程を含む。また本方法は、バッキングプレートとガス分散シャワーヘッドとの間の容積へ処理ガスを流す工程と、複数のガス流路の一部を通って容積からの処理ガスの一部を流す工程と、支持部材によって塞がれた複数のガス流路のうちの少なくとも1つへ代替ガス流路を通して処理ガスの別の一部を流す工程を含む。
本発明の上述した構成を詳細に理解することができるように、上記に簡単に要約した本発明のより具体的な説明を、実施形態を参照して行う。実施形態のいくつかは添付図面に示されている。しかしながら、添付図面は本発明の典型的な実施形態を示しているに過ぎず、したがってこの範囲を制限されていると解釈されるべきではなく、本発明は他の等しく有効な実施形態を含み得ることに留意すべきである。
チャンバの一実施形態の概略側面断面図である。 図1のチャンバの部分拡大断面図である。 図1のチャンバ内で使用することができるバッキングプレートの別の一実施形態の部分断面図である。 図3Aのバッキングプレートに利用することができるネジ装置の一実施形態の部分拡大断面図である。 ネジ支持部材の一実施形態の側面図である。 図4Aのネジ支持部材の平面図である。 ネジ装置の別の一実施形態の側面断面図である。 図5Aに示されるネジ装置の上面図である。 図5Bに示されるネジ装置の上面図である。 支持ナットの一実施形態の側面図である。 図6Aに示される支持ナットの上面図である。 図1のチャンバ内で使用することができるバッキングプレートの一実施形態の平面図である。 ガス分散シャワーヘッドの別の一実施形態を有するチャンバの概略側面断面図である。 図8のガス分散シャワーヘッドの一部の拡大図である。 ガス分散シャワーヘッドの一実施形態の一部の概略平面図である。 ガス分配シャワーヘッドの代替実施形態の概略部分断面図である。
理解を促進するために、図面に共通する同一の要素を示す際には可能な限り同一の参照番号を使用している。また、一実施形態の要素及び構成を更なる説明なしに他の実施形態に有益に組み込んでもよいと理解される。
詳細な説明
本発明の実施形態は、一般的に処理チャンバ内のガス分散シャワーヘッドを支持するための装置及び方法を提供する。一実施形態では、ガス分散シャワーヘッドの中央領域に結合された少なくとも1つの支持部材は、ガス分配シャワーヘッドを支持し、重力、高い処理温度、及び負圧のうちの1つ又は組み合わせによって引き起こされる中心のたわみ又は湾曲への抵抗性を促進するように構成され、これによって、ガス分散シャワーヘッド内で所望の水平プロファイルを維持する。所望の水平プロファイルは、一様(例えば、平面)水平プロファイル、凸面水平プロファイル、又は凹面水平プロファイルのうちの少なくとも1つが可能である。所望の水平プロファイルは、少なくとも1つの支持部材が提供する力によって、少なくとも部分的に形成又は維持することができる。本明細書で使用されるようなガス分散シャワーヘッド又はディフューザの水平プロファイルは、該当する図に示されるように、ガス分散シャワーヘッドの断面を指す。本発明は、カリフォルニア州サンタクララのアプライドマテリアルズ社(Applied Materials, Inc.)の子会社であるAKTアメリカ社(AKT America, Inc.)から入手できるPECVD装置に関連して以下に説明する。本発明は、他のメーカーから入手できる成膜チャンバ及びPECVD装置を含む他の成膜チャンバでの利用可能性も有することを理解すべきである。
図1は、チャンバ100の一実施形態の概略側面断面図である。チャンバ100は、ガラス、ポリマー、又は他の適当な基板で作られた大面積基板105の上に回路を製造するためのプラズマ化学気相成長(PECVD)プロセスに適している。チャンバ100は、液晶ディスプレイ(LCD)又はフラットパネルディスプレイ、太陽電池アレイ用太陽光発電装置、又は他の構造の製造で使用するための大面積基板105上に構造及び装置を形成するように構成されている。複数の連続する堆積及びマスキング工程を含むことができる複数のバックチャネルエッチ・インバーテッドスタガー型(ボトムゲート)薄膜トランジスタであることができる。その他の構造は、太陽電池用のダイオードを形成するpn接合を含むことができる。
チャンバ100は、チャンバ側壁110と、底部115と、処理中に大面積基板105を支持する基板支持部120(例えば、サセプタ)を含む。ガス分散シャワーヘッド145は、基板支持体120及び大面積基板105に対向して配置されている。チャンバ100は、選択的に開閉することにより、大面積基板105の搬送及び大面積基板105上での堆積プロセスを促進するポート125(例えば、スリットバルブ)も有する。チャンバ100はまた、蓋構造130と、バッキングプレート140と、ガス分散シャワーヘッド145も含む。一実施形態では、蓋構造130は、バッキングプレート140及びガス分散シャワーヘッド145を支持する。一実施形態では、バッキングプレート140の内面146及びチャンバ側壁110の内面147は、可変圧力領域148を境界づける。一態様では、チャンバ100は、チャンバ側壁110と、底部115と、可変圧力領域148を境界づけるバッキングプレート140を含む本体を備えている。バッキングプレート140は、バッキングプレート140及び蓋構造130が互いに接触することができる界面において、適当なOリングによってその周囲を封止されている。チャンバ100に結合された真空ポンプによって負圧が提供される場合に、Oリングは可変圧力領域148を封止するのみならず、電気的な絶縁を促進する。
一実施形態では、ガス分散シャワーヘッド145は、1以上の中央支持部材150によってその中央部において、バッキングプレート140によって支持されている。1以上の中央支持部材150は、ガス分散シャワーヘッド145の中央領域において、ガス分散シャワーヘッド145の支持を促進し、これによってガス分散シャワーヘッド145の水平プロファイルを制御し、ガス分散シャワーヘッド145が、熱、重力、及び真空のうちの1つ又は組み合わせによって垂下する又はたわむ傾向を緩和する。ガス分散シャワーヘッド145はまた、柔軟なサスペンション155によってその境界で支持することもできる。柔軟なサスペンション155は、その縁からガス分散シャワーヘッド145を支持し、ガス分散シャワーヘッド145の横方向の伸縮を可能にするように適合されている。柔軟なサスペンションは、2002年11月12日に発行された米国特許第6477980号に、「プラズマチャンバ用の柔軟な吊り下げガス分配マニホルド(Flexibly Suspended Gas Distribution Manifold for A Plasma Chamber)」の名称で開示されており、参照により本明細書に組み込まれる。
チャンバ100は、ガス源及びプラズマ源165に結合されているガス導入口160に結合される。プラズマ源165は、直流電源、高周波(RF)電源、又はリモートプラズマ源が可能である。RF電源は、チャンバ100に誘導又は容量結合することができる。ガス導入口160は、バッキングプレート140とガス分散シャワーヘッド145の間に画定された中間領域170に穴162を通して、ガス源からの処理ガス又は洗浄ガスを供給する。操作の一例では、チャンバ100の内部を真空ポンプによって適切な圧力まで減圧したのと同時に、処理ガスをガス源から供給する。バッキングプレート140とガス分散シャワーヘッド145の間に画定された中間領域170へガス導入口160を通って1以上の処理ガスが流れる。その後、1以上の処理ガスは、中間領域170から、ガス分散シャワーヘッド145を貫通して形成された複数の開口部又はガス流路175を通って、ガス分散シャワーヘッド145の下及び基板支持体120上の領域内に画定された処理領域180へと流れる。
大面積基板105は、ガス分散シャワーヘッド145に向かって基板支持体120を移動することによって、搬送位置から処理領域180まで持ち上げられる。処理領域180の高さは、ガス分散シャワーヘッド145の下面と基板支持体120の基板受け入れ面190の間の間隔に基づいてプロセスパラメータとして変化させてもよい。基板支持体120は、基板支持体120に結合された又は基板支持体120内に配置されたインテグラルヒーター(例えば、加熱コイル又は抵抗加熱器)によって加熱されてもよい。
プラズマは、チャンバ100に結合されたプラズマ源165によって、処理領域180内に形成することができる。プラズマ励起されたガスがその上に堆積され、大面積基板105上に構造を形成する。一実施形態では、基板支持体120は接地電位にあり、処理領域180内におけるプラズマ形成を促進する。プラズマはまた、他の手段(例えば、熱誘導プラズマ)によって、チャンバ100内に形成することもできる。本実施形態では、プラズマ源165はガス導入口160に結合されて示されているが、プラズマ源165は、ガス分散シャワーヘッド145又はチャンバ100の他の部分に結合することもできる。
ガス分散シャワーヘッド145は、導電性材料から作られ又は導電性材料で被覆され、ガス導入口160又は他の接続を介してプラズマ源165に結合され、これによってチャンバ100内で第1電極として機能することができる。ガス分散シャワーヘッド145用に選択される材料は、鋼、チタン、アルミニウム、又はそれらの組み合わせを含むことができ、表面は研磨される又は陽極酸化されることができる。ガス分散シャワーヘッド145は、第1又は上面185Aと、第2又は下面185Bを含むことができる。一実施形態では、上面185Aと下面185Bは、断面が実質的に平行である。別の一実施形態では、上面185Aと下面185Bの少なくとも一方は、断面が凹面を画定するように湾曲していてもよい。別の一実施形態では、上面185Aと下面185Bの少なくとも一方は、凸面を画定するように湾曲している。別の一実施形態では、上面185Aと下面185Bの少なくとも一方が非平行である。一実施形態では、ガス分散シャワーヘッド145は、その周縁部で厚く、中央部で薄い厚さ又は断面寸法を含み、皿形又は「ひしゃく形」の下面185Bを形成してもよい。この実施形態では、少なくとも上面185Aは、実質的に平面又は一様である。したがって、ガス分散シャワーヘッド145の中心部に対してガス分散シャワーヘッド145の周縁部でより厚い断面寸法は、基板支持体120の水平プロファイルに対して凹形の所望の水平プロファイルを形成する。別の一実施形態では、基板支持体120の基板受け入れ面190は、実質的に平面であり、ガス分散シャワーヘッド145の下面185Bは、基板受け入れ面190に対して凹形である。
図2は、図1のチャンバ100の部分拡大断面図である。バッキングプレート140は、貫通して延在する複数の開口部(例えば、第1開口部205A及び1以上の第2開口部205B)を含む。一実施形態では、第1開口部205Aは、ガス導入口160を受け入れるように適合され、1以上の第2開口部205Bは、それぞれの中央支持部材150を受け入れるように構成されている。一実施形態では、第1の開口部205Aは、バッキングプレート140の実質的な幾何学的中心に位置しているが、第1開口部205Aは、他の場所に位置していてもよい。カバープレート135が使用される実施形態では、カバープレート135は貫通穴210A及び210Bを含み、それぞれガス導入口160及び中央支持部材150を受け入れる。
一実施形態では、中央支持部材150の各々は、例えば、サスペンションフィッティング220などの第2支持部材に着脱自在に結合された第1支持部材215を含む。第1支持部材215は、任意の適切な方法(はめ合い差し込み留め具、はめ合いネジ部、はめ合い4分の1回転留め具など)でサスペンションフィッティング220に着脱自在に結合することができる。図2に示される実施形態では、ネジ支持部材215は、その第1端部にシャフト230を、その第2端部にネジ部235を含む。ネジ部235は、支持ナット225に結合するように適合されている。支持ナット225は、ネジ部235とバッキングプレート140の上面245に対して回転するように適合されている。サスペンションフィッティング220は、ガス分散シャワーヘッド145の本体内に、又は本体上に形成されたサスペンション構成222内で、ガス分散シャワーヘッド145に、固定、溶接、接着、又は圧入することができる。サスペンション構成222は、ガス分散シャワーヘッド145の主面上の取り付け穴又は構造体であってもよく、サスペンションフィッティング220に加わる力によって、ガス分散シャワーヘッド145が通常上方に引かれるように、サスペンションフィッティング220がガス分散シャワーヘッド145と嵌合することを可能にする。サスペンションフィッティング220によってガス分散シャワーヘッド145に印加される力は、単にガス分散シャワーヘッド145に作用する重力を相殺することができる。サスペンションフィッティング220は、キーを掛けることができる、又はガス分散シャワーヘッド145内に形成されたサスペンション構成222内に配置されたスロット又はネジと係合するネジを含むことができる。サスペンションフィッティング220はまた、ガス分散シャワーヘッド145内に形成されているサスペンション構成222と結合する差し込み留め具、バヨネットマウント又はその他の4分の1回転マウントインターフェースの一部を含むことができる。サスペンション構成222は、上記のようにサスペンションフィッティング220とかみ合う対応する基板で構成される。
バッキングプレート140は、ガス分散シャワーヘッド145よりも断面が相対的に厚い。ガス分散シャワーヘッド145は、ガス分散シャワーヘッド145内の相対的な厚さと穿穴のため、バッキングプレート140よりも柔軟である。バッキングプレート140は、ガス分散シャワーヘッド145よりも堅くなるように構成されており、このため重力、真空、熱などの力による影響はより少ない。バッキングプレート140は、これらの力に起因して歪む場合もあるが、ガス分散シャワーヘッド145によって経験可能なほどではない。したがって、ガス分散シャワーヘッド145は、前述の力に起因するいくつかの変形が発生する場合があるが、変形はバッキングプレート140の剛性によって効果的に制限される。こうして、ガス分散シャワーヘッド145及び/又はバッキングプレート140内のたわみ又は変形を予め定め、所定と支持ナット225の調整によって相殺することができる。
支持ナット225はまた、バッキングプレート140の上面245の上又は近傍の表面にも接触している。バッキングプレート140の表面に対する支持ナット225の回転は、バッキングプレート140に対してシャフト230、サスペンションフィッティング220、及びガス分散シャワーヘッド145を上下動させ、こうしてガス分散シャワーヘッド145の水平プロファイルを制御する。一実施形態では、シャフト230の第1端部は、ネジ支持部材215及びサスペンションフィッティング220の結合及び分離を促進する連結機構240を備える。キャップ250が支持ナット225の各々の上に配置され、第2開口部205Bの周囲の真空封止を促進する。カバープレート135が使用される実施形態では、キャップ250は、バッキングプレート140の上面245とカバープレート135の間の空間に配置された管状導管255を含む。一実施形態では、キャップ250は、カバープレート135に結合された又は留め具265によってバッキングプレート140に直接結合された(図示せず)クランプ部260を含む。クランプ部260は、キャップ250とカバープレート135の間、又はキャップ250とバッキングプレート140の間(図示せず)で、シール270を圧縮する。
図3Aは、バッキングプレート140の別の一実施形態の部分断面図である。この実施形態では、中央支持部材150の支持ナット225は、バッキングプレート140の上面245に形成された凹部305内に配置されている。支持ナット225は、シャフト230のネジ部310及び凹部305の表面に対して回転させることができる。摩擦低減材料でできたワッシャー(図示せず)を、凹部305の表面と支持ナット225の間に配置してもよい。ワッシャーは、チャンバの真空封止を促進するためのシールとして機能させることもできる。支持ナット225の回転は、他の中央支持部材150と連動して、ガス分散シャワーヘッド145の水平プロファイルを制御する、ガス分散シャワーヘッド145に印加される局所的な力を促進する。この実施形態では、キャップ250は、凹部305を封止するようにバッキングプレート140に直接結合されて示されている。
中央支持部材150の連結機構240は、この図でより明確に示されている。一態様において、連結機構240は、サスペンションフィッティング220内に形成された各スロット325と嵌合するシャフト230の先端上に配置された1以上のキー320を含む取り外し可能なインターフェースを提供するスロット/キー装置を備えている。連結機構240は、ネジ支持部材215が回転することを可能にし、これによってバッキングプレート140からのネジ支持部材215の除去を提供するサスペンションフィッティング220と結合及び分離する。更に、連結機構240は、中央支持部材150が設置され、引っ張られるときに、サスペンションフィッティング220とネジ支持部材215の間のわずかな動きを可能にするように構成される。ガス分散シャワーヘッド145が処理に使用され、ガス分散シャワーヘッド145がおよそ周囲温度(例えば、約25℃)から約350℃〜約450℃の間の温度を経験し、ディフューザの材料に依存して、ガス分散シャワーヘッド145の部分は、処理サイクルの間、膨張と収縮を受ける可能性がある。ガス分散シャワーヘッド145は、熱膨張及び収縮による横移動を受ける可能性があるので、連結機構240によって提供されるクリアランス空間又は「スロップ」は、ネジ支持部材215とサスペンションフィッティング220の間でわずかな動きが起こるのを可能にする。したがって、この動きは、ネジ支持部材215に作用し、ネジ支持部材215を壊したり曲げたりする可能性のあるせん断力を防止又は最小限に抑えることができる。
この実施形態では、第2開口部205Bは、バッキングプレート140の内面146に隣接して拡張部330を含む。ガス分散シャワーヘッド145は膨張と収縮から横移動を受ける可能性があるので、拡張部330によって、中央支持部材150のためのクリアランスは、干渉することなく動くことができる。したがって、連結機構240及び拡張部330の一方又は両方によって、ガス分散シャワーヘッド145により発生したすべての膨張又は収縮に応じて、ガス分散シャワーヘッド145の部分の横方向の動きが許容される。一実施形態では、連結機構240及び/又は拡張部330は、ガス分散シャワーヘッド145の部分の動きを約0.25インチ〜約0.5インチの間で許容する。図示されていないが、シール(例えば、Oリング又はベローズなど)を、シャフト230の外周面と第2開口部205Bの表面との間に設置してもよく、これによってバッキングプレート140に対してネジ支持部材215の相対運動を許容しながら、真空封止を促進する。
この実施形態では、連結機構240は、オスメスのはめ合いインターフェースとして構成されているサスペンションフィッティング220の上部を含み、一方、シャフト230の下部は、オスのはめ合いインターフェースとして適合される。別の一実施形態(図示せず)では、連結機構240は反転され、シャフト230の下部がメスのはめ合いインターフェースを含み、一方、サスペンションフィッティング220の上部は、オスのはめ合いインターフェースを含んでもよい。
図3Bは、ガス分散シャワーヘッド145内に配置されたサスペンションフィッティング220の一実施形態の拡大断面図である。一実施形態では、ガス分散シャワーヘッド145は、ガス分散シャワーヘッド145の上面185Aから下面185Bまで延在する第1穴335を有する複数のガス流路175を含む。第1穴335は、第1穴335に流体結合された少なくとも1つの第2穴340に結合されている。第2穴340は、円錐又は錐台の形にフレア形成できる。いくつかの実施形態では、第1穴335及び第2穴340は、第1穴335及び第2穴340の直径未満の直径を有する規制オリフィス345によって結合されている。
サスペンションフィッティング220は、第1穴335の直径よりも大きい直径を有するが、隣接する第1穴335間のピッチ又は距離よりも小さい拡大された第2穴350内に配置されている。このように、壁352は、隣接する第1穴335と拡大された第2穴350の間に維持され、サスペンションフィッティング220のネジ部354が、ガス分散シャワーヘッド145内に形成されたネジに嵌合するように結合することを可能にする。一態様では、サスペンションフィッティング220の使用は、ガス分散シャワーヘッド145内に2種類のガス流路175(例えば、サスペンションフィッティングの存在によって塞がれる第1タイプと、塞がれない第2タイプ)を作る。したがって、サスペンションフィッティング220を含まないガス流路175は、中間領域170から処理領域180まで塞がれないガスの流れを提供し、一方、内部にサスペンションフィッティング220を配置したガス流路175を通るガス流は、サスペンションフィッティング220の存在によって、少なくとも部分的に塞がれる可能性がある。内部にサスペンションフィッティング220を配置した塞がれたガス流路175にガスを供給するために、サスペンションフィッティング220は、縦穴(長手穴)355を含む。縦穴355は、サスペンションフィッティング220の長手軸に沿って又は実質的に平行に形成される。縦穴355は、中間領域170からサスペンションフィッティング220を介して第2穴350へ、及び規制オリフィス345を介して処理領域180へとガスが流れることを可能にする代替ガス流路として適合される。ガスは、シャフト230の周囲の中間領域170から、縦穴355と流体連通しているサスペンションフィッティング220内に形成されたギャップ360(破線で示す)を通って流れることができる。
図4Aは、ネジ支持部材215の一実施形態の側面図である。ネジ支持部材215は、一実施形態では、円形の断面を含む支持体405を含む。支持体405は、第1端部415に支持体405から半径方向外側に延びる1以上のキー部分410と、第1端部415の反対側の第2端部420上にネジ部235を含む。第1端部415の少なくとも一部は、サスペンションフィッティング220内に挿入される大きさに作られ(図2〜図3B)、一方ネジ部235は、支持ナット225によって受け入れられるように適合される(図2及び図3A)。一実施形態では、第1端部415は、ベベル又は半径であることが可能なテーパ425を含み、これによってサスペンションフィッティング220内への挿入を促進する。第2端部420もまた、ツールインターフェース430を含むことができ、これによって支持ナット225を締め付ける及び/又は緩める際に使用されるネジ支持部材215の回転制御を促進する。ツールインターフェース430は、他の種類のスクリュードライブ構成の中でも、六角キー、四角キー、TORX(商標名)レンチ用に適合されたメス型開口部であることが可能である。
図4Bは、図4Aのネジ支持部材215の平面図である。キー部410は、サスペンションフィッティング220の内部チャネル内に挿入され回転するような大きさの外径435などの外形寸法を含む。
図5Aは、内部にネジ支持部材215のシャフト230(破線で示す)を配置したサスペンションフィッティング220の一実施形態の側面断面図である。サスペンションフィッティング220は、ネジ部354に先細となっている概して円形形状の支持体505を含む。支持体505は、内部チャネル515を含む中央開口部510を含む。内部チャネル515は、中央開口部510の表面と中央開口部510の直径の一部を取り囲むリップ520によって少なくとも部分的に含まれている。一実施形態では、ネジ部354は、第1嵌合機構(例えば、オスの嵌合機構)を含み、一方中央開口部510及びリップ520は、ネジ支持部材215の第1端部415を受け入れるように適合された第2嵌合機構(例えば、メスの嵌合機構)を含む。ネジ支持部材215の第1端部415は、内部チャネル515内で回転するように適合される。一実施形態では、中央開口部510を境界とするリップ520は、ネジ支持部材215のキー部410を受け入れ嵌合するように適合された溝付きレセプタクル555を含む。
支持体505はまた、ネジ支持部材215のキー部410用の止め具として機能する、及び/又は設置後にネジ支持部材215の回転を制限するように構成された少なくとも1つのピン525(図5Bには2つ図示されている)も含む。図示のようにピン525は、長手方向に配置することができる、又はピンは、サスペンションフィッティング220の長手軸に垂直な支持体505に結合することができる。支持体505の中央は、シャフト230の一部を受け入れるように適合された中央開口部510を含む。この実施形態では、ネジ支持部材215のシャフト230は固定位置にあり、これによってキー部410はサスペンションフィッティング220のリップ520と接触しており、バッキングプレート140からのガス分散シャワーヘッド145の支持を促進する(両者は、図1〜図3Aに図示される)。キー部410と同様の少なくとも1つの特徴を含むツールが中央開口部510に挿入され、これによってガス分散シャワーヘッド145上にサスペンションフィッティング220を設置する際に、サスペンションフィッティング220の回転及び締め付けを促進することもできる。
一実施形態では、中央開口部510は、内部チャネル515から縦穴355に向かって円錐形の凹部又はテーパ面530を含む。テーパ面530は、サスペンションフィッティング220内における制約を減らし、ガスが流通するのを促進するように構成されている。一実施形態では、シャフト230及びテーパ面530の下に含まれている容積は、サスペンションフィッティング220内のチャンバ(室)535を含む。
一実施形態では、支持体505は、その第1端部に頭部540を、その第2端部にネジ部354を含む。頭部540は、ネジ部354の直径に対してより大きい寸法又は直径を含む。一実施形態では、頭部540は第1の直径を含み、ネジ部354は、第1の直径よりも小さい第2の直径を含む。一態様では、頭部540とネジ部354は、切頭円錐表面(例えば、外側テーパー545)によって結合されている。頭部540は、中央開口部510及び内部チャネル515を含み、一方ネジ部354は、縦穴355を含む。一実施形態では、内部チャネル515は、テーパ面530によって縦穴355に直接連結されている円形の内径550を含む。一態様では、徐々に減少する直径を有する流路は、円形の内径550、テーパ面530、及び縦穴355のうちの1つ又は組み合わせによってサスペンションフィッティング220内に含まれる。
図5Bは、図5Aに示されるサスペンションフィッティング220の上面図である。キー部410は、リップ520の下に点線で示されており、ネジ支持部材215はサスペンションフィッティング220と固定した位置にある。シャフト230と対向するギャップ360は、サスペンションフィッティング220のテーパ面530の一部を露出して示されている。ギャップ360は、中間領域170(図1〜図3A)からガスがサスペンションフィッティング220の縦穴355を通って処理領域180(図1〜図3A)内へ流れることを可能にする。
図5Cは、図5Bに示されたサスペンションフィッティング220の上面図である。この実施形態では、シャフト230は取り外し位置に点線で示されている。シャフト230は、キー部410がリップ520の両側の間の開口部と位置合わせできるように約45°回転させる。ネジ支持部材215は、図5Cに示されるように、キー部410が揃っているとき、サスペンションフィッティング220の中央開口部510から取り外すことができる。
図6Aは、支持ナット225の一実施形態の側面図であり、図6Bは、図6Aに示される支持ナット225の上面図である。支持ナット225は、本体605と、下面615と上面620の間に形成された貫通穴610を含む。貫通穴610は、ネジ支持部材215のネジ部235上に形成されたネジを受け入れるように適合された貫通穴610の長さ方向に沿って形成されたネジ625を含む。支持ナット225はネジ部235に対して回転するように適合され、これによってバッキングプレート140の上面245と支持ナットの下面615の間で接触が行われた後に、ガス分散シャワーヘッド145の支持及び/又は調整を促進する。支持ナット225の回転は、手によって及び/又は支持ナット225の本体605に結合するように適合されたレンチ又は工具によって達成することができる。
一実施形態では、本体605は、外径630を有する環状部材として構成される。この実施形態では、支持ナット225は、本体605内に形成された少なくとも2つの開口部635を含む。少なくとも2つの開口部635は、スパナーレンチなどの工具(図示せず)のための結合点を提供するように適合されている。別の一実施形態では、本体605の外面は、少なくとも2つの平坦部、例えば、6つの平坦部640(破線で示す)を含み、これによって工具(例えば、六角レンチ又はソケット型工具)との結合を促進する。
図7は、バッキングプレート140の一実施形態の平面図である。この実施形態では、中央領域700は、バッキングプレート140上に示されており、中央支持部材のパターン150は、中央領域700内に示されている。中央領域700は、ガス分散シャワーヘッド145のための支持点として決定されたバッキングプレート140及びガス分散シャワーヘッド145の任意の中央に配置された領域であることができる。ガス分散シャワーヘッド145は、この図には示されていないが、典型的にはバッキングプレート140の下方に位置しており、バッキングプレート140の寸法と実質的に等しい寸法を有している。このように、ガス分散シャワーヘッド145は、バッキングプレート140内に示される任意の要素が、ガス分散シャワーヘッド145と嵌合することを可能にすることができる対応する中央領域700を有する。
この実施形態では、12個の中央支持部材150が対称パターンで示されているが、複数の中央支持部材150は、バッキングプレート140の中央領域700内で任意のパターン、数、及びサイズであってもよい。また、ガス分散シャワーヘッド145に供給するガス導入口160を受け入れるように適合されたバッキングプレート140内の第1開口部205Aも示されている。代替ガス流路705も示されており、単独又はガス導入口160と組み合わせて、ガス分散シャワーヘッド145に処理ガスを供給するために使用することができる。バッキングプレート140を通してガスを送るために、追加のガス流路を使用することもできる。
図8は、ガス分散シャワーヘッド845の別の一実施形態を有するチャンバ100の概略側面断面図である。ガス分散シャワーヘッド845は、ガス分散シャワーヘッド845の本体内に形成された内側ガスバイパス穴805A及び外側ガスバイパス穴805Bを除いて、図1に示されるガス分散シャワーヘッド145と実質的に同じである。ガスバイパス穴805A、805Bは、代替ガス流路として適合され、中央支持部材150によって遮られたガス流路175にガス流を供給する。ガスバイパス穴805A、805Bは、ガス分散シャワーヘッド845の本体内に形成された傾斜した横向きの導管であり、バッキングプレート140とガス分散シャワーヘッド845の間で画定された中間領域170から、連結機構240に隣接してガス分散シャワーヘッド845内に形成された1以上のガス流路175へガスを供給する。例えば、ガスバイパス穴805A、805Bは、ガス流路175の中心線に対して鋭角に傾斜していてもよい。ガス分散シャワーヘッド845は、複数の内側ガスバイパス穴805A、複数の外側ガスバイパス穴805B、又はそれらの組み合わせを含むことができる。
図9は、図8のガス分散シャワーヘッド845の一部の拡大図である。この実施形態では、複数のガス流路175のうちの1つに配置されたサスペンションフィッティング220は、図3B及び図5Aに示されるような縦穴355を含まず、これによって塞がれたガス流路905を形成している。ガスバイパス穴805A、805Bの一方又は両方が使用され、これによって塞がれたガス流路905の場所の下の領域における処理領域180にガス流を供給する。ガスバイパス穴805A、805Bは、中央支持部材150によって、具体的にはサスペンションフィッティング220によって、少なくとも部分的に塞がれたガス分散シャワーヘッド845の領域内にガス分散シャワーヘッド845を通してガスの流れを供給するために使用される。ガスバイパス穴805A、805Bは、ガス分配シャワーヘッド845の表面900の平面に対してある角度で配置されている。一実施形態では、角度は、約30度〜約60度であり、例えば、約40度〜約50度であり、例えば、約45度である。ガスバイパス穴805A、805Bはまた、ガスバイパス穴805A、805Bが内部に配置された第1穴335へ強化されたガスの流れ又はコンダクタンスを提供する1以上の隣接する第1穴335を通過する。ガスバイパス穴805A、805Bは、第1穴335の直径と実質的に等しい直径を含み、これによって十分なガス流が第1穴335に提供される。ガスバイパス穴805A、805Bはまた、塞がれたガス流路905の拡大された第2穴350の間の領域内において規制オリフィス345の上流位置で終了する。ガスバイパス穴805A、805Bを規制オリフィス345の上流位置で終了させることによって、塞がれたガス流路905へのガス流は、塞がれたガス流路905近傍のガス流路175への規制オリフィス345によるガス流の制御と同様に、大部分は規制オリフィス345によって制御することができる。
図10は、ガス分散シャワーヘッド845の一実施形態の一部の概略底面図である。ガス分散シャワーヘッド845は、ガス分散シャワーヘッド845の本体1000に仮想線で示されている複数の内側ガスバイパス穴805A及び外側ガスバイパス穴805Bを含む。ガスバイパス穴805A、805Bと交差する拡大された第2穴350は、図10には示されていない。ガスバイパス穴805A、805Bは、ガス分散シャワーヘッド845内において実質的に対称なパターンで形成され、これによって(図9に示される)塞がったガス流路905に対称なガス流を供給することができる。対称パターンは、図示されたパターンに限定されない。内側ガスバイパス穴805Aは、単独で又は外側ガスバイパス穴805Bと併せて使用することができる。同様に、外側ガスバイパス穴805Bは、単独で又は内側ガスバイパス穴805Aと併せて使用することができる。内側ガスバイパス穴805A及び外側ガスバイパス穴805Bは、各サスペンションフィッティング220に対応して図示されているが、サスペンションフィッティング220当たりのガスバイパス穴805A、805Bの数は、サスペンションフィッティング220当たり1未満であってもよい。一実施形態では、複数の内側ガスバイパス穴805Aは、各サスペンションフィッティング220と代えてもよい。代替して又は追加して、複数の外側ガスバイパス穴805Bは、複数の内側ガスバイパス穴805A及び/又は各サスペンションフィッティング220と代えてもよい。
図11A及び図11Bは、それぞれガス分配シャワー1100A及び1100Bの実施形態の概略部分断面図である。図11Aは、バッキングプレート140及びバッキングプレート140に対して凹形の水平プロファイルのガス分散シャワーヘッド1100Aの中央領域700を示している。このように、この実施形態では、ガス分配シャワーヘッド1100Aの下面185Bは非平行であるか、又はバッキングプレート140の水平方向に対してくぼんでいる。一実施形態では、第1空間ギャップG’は、バッキングプレート140の内面146とガス分散シャワーヘッド1100Aの中央領域700における上面185Aの間に含まれ、一方第2空間ギャップG”は、バッキングプレート140の内面146とガス分散シャワーヘッド1100Aの周縁部における上面185Aの間に含まれる。
第1空間ギャップG’は、1以上の中央支持部材150(図8A及び図8Bには図示せず)を調整することによって調整可能である。中央支持部材150の調整は、バッキングプレート140から離れるようにガス分散シャワーヘッド1100A、1100Bを押す、又はバッキングプレート140に向かってガス分散シャワー1100A、1100Bを引くために使用することができ、これによってガス分散シャワー1100A、1100Bの水平プロファイルを制御することができる。第1空間ギャップG’及び第2空間ギャップG”は、実質的に同じ又は異なるように維持される又は調整することができる。一実施例では、第1空間ギャップG’及び第2空間ギャップG”は、実質的に等しい。別の一実施例では、第1空間ギャップG’は、第2空間ギャップG”より小さくできる。一実施形態では、ガス流路175は、ガス分散シャワーヘッド1100Aの中心部のガス流路175に対して、ガス分散シャワーヘッド1100Aの周縁部でより長い。一態様では、ガス流路175の各々のフレア穴は、ガス分散シャワーヘッド1100Aの中央部で長く、ガス分散シャワーヘッド1100Aの中央からガス分散シャワー1100Aの周囲へと長さは徐々に増加する。
図11Bは、バッキングプレート140及びバッキングプレート140に対して凸面水平プロファイルのガス分散シャワーヘッド1100Bの中央領域700を示している。図示されていないが、第1空間ギャップG’及び第2空間ギャップG”が、バッキングプレート140の内面146とガス分散シャワー1100Bの上面185Aの間に含まれている。第1空間ギャップG’及び第2空間ギャップG”は、図11Aを参照して説明したように、維持される又は調整することができる。一実施形態では、第1空間ギャップG’と第2空間ギャップG”は、実質的に等しい。別の一実施例では、第2空間ギャップG”は、第1空間ギャップG’より小さいかもしれない。一実施形態では、ガス流路175は、ガス分散シャワー1100Bの周囲におけるガス流路175に対して、ガス分散シャワーヘッド1100Bの中央においてより長い。一態様では、ガス流路175の各々のフレア穴は、ガス分散シャワーヘッド1100Bの中央部で長く、ガス分散シャワーヘッド1100Bの中央からガス分散シャワー1100Bの周囲へと長さは徐々に減少する。
中央支持部材150を設置する方法を、図3A〜図5Bを参照して説明する。サスペンションフィッティング220が、ガス分散シャワーヘッド145内の雌ネジに挿入される。ネジ支持部材215は、バッキングプレート140内の第2開口部205B内に挿入され、キー部410は、サスペンションフィッティング220内に形成された中央開口部510内に係合される。ネジ支持部材215は、サスペンションフィッティング220内でピン525に接触するキー位置410によって決定されるサスペンションフィッティング220内のロック位置まで約45°回転される。支持ナット225は、ネジ部235上に係合され、バッキングプレート140の上面245に隣接した面と接触するまで回転させることができる。
バッキングプレート140の上面245に隣接した面に対して配置された支持ナット225の相対的な位置は、ガス分散シャワーヘッド145の水平プロファイルを制御するために使用することができる。一実施形態では、中央支持部材150の支持ナット225とバッキングプレート140の上面245との間の接触は、バッキングプレート140の内面146とガス分散シャワーヘッド145の上面185Aとの間の空間のギャップを維持するために用いることができる。ガス分散シャワーヘッド145の中央領域を持ち上げることをユーザーが望む又は意図したプロセスが保証する場合は、支持ナット225を更に回転させ、中央領域を持ち上げる及び/又はバッキングプレート140に対してガス分散シャワーヘッド145の水平プロファイルを変更することができる。このように、必要に応じて、バッキングプレート140の内面146とガス分散シャワーヘッド145の上面185Aとの間の空間のギャップを調整してもよい。また、中央支持部材150の支持ナット225とバッキングプレート140の上面245との間の接触によって、処理の間、調整後の空間のギャップを維持することができる。
ガス分散シャワーヘッド145のプロファイルを調整する上記の方法は、真空条件下で、又は周囲圧力条件で、実行され、監視され、調整されることが可能である。すべての調整が行われ、ガス分散シャワーヘッド145が、所望の水平プロファイルを示すとき、又は既知の変形を見込むように所定の水平プロファイルにあるとき、キャップ250を設置することができる。
基板上の二酸化シリコン(SiO)の膜厚を決定するために試験が実施された。本明細書内に記載のチャンバ100と同様のチャンバを用いて対照試験が行われた。対照試験は、バッキングプレートとガス分散シャワーヘッドの間に支持部材が結合されたガス分散シャワーヘッドを利用し、ガス分散シャワーヘッドのガス流路175は、中央支持部材のために犠牲になった。対照試験には、支点として使用するために犠牲にされたガス流路175の位置の下の基板上の位置での基板上の堆積物の二次元マッピングが含まれていた。支点のために犠牲になったガス流路175の下の基板上の領域における平均膜厚は、中央支持部材の下にはなかった基板上の領域の平均膜厚よりも約2.8%低かった。このように、支持部材のパターンが、基板上で認識可能となった。
本明細書に記載されるような縦穴355を有するサスペンションフィッティング220を利用した中央支持部材150を有するガス分散シャワーヘッド145を利用したその他の試験も行った。試験には、中央支持部材150の位置の下の位置での基板上のSiO膜厚の2次元マッピングが含まれていた。中央支持部材150の下の基板の領域は、中央支持部材150の下にはなかった基板の領域上の膜厚と実質的に等しい平均SiO膜厚を示した。このように、本明細書に記載されたような縦穴355を有するサスペンションフィッティング220を用いた中央支持部材150は、基板表面全域に亘って膜厚均一性が改善された。
更に、直径0.10’’、直径0.12’’、直径0.14’’の第1穴を有するネジ装置220の使用は、膜厚の増加を生み出したが、基板上のパターンが検出される場所での膜厚が変化したことを試験は示した。約0.14’’の直径の縦穴355を有するサスペンションフィッティング220の試験は、基板上に認識可能なパターンを示さなかった。
基板とガス分散シャワーヘッドの間の処理空間を維持し、ガス分散シャワーヘッドを通るガスの流れを妨げないガス分散シャワーヘッドを支持するための装置及び方法が記載されている。装置は、ガス分散シャワーヘッド145の断面曲率又は水平プロファイルを維持及び/又は操作を提供する1以上の中央支持部材150を含む。ガス分散シャワーヘッド145は、平面、凸面、又は凹面のうちの1つである水平プロファイルを示すように操作することができる。ガス分散シャワーヘッド145の水平プロファイルは、チャンバ内の基板支持体120及び/又はバッキングプレート140に対して調整することができる。本明細書に記載されるような中央支持部材150の実施形態もまた、ガスの流れを妨げず、これによって中央支持部材150に隣接する基板の位置での堆積を促進する。
上記は本発明の実施形態を対象としているが、本発明の他の及び更なる実施形態は本発明の基本的範囲を逸脱することなく創作することができ、その範囲は以下の特許請求の範囲に基づいて定められる。

Claims (17)

  1. 第1面と、第1面と反対側の第2面とを有する本体であって、複数のガス流路が本体を貫通して形成され、ガス流路は第1面内に形成された第1穴を含み、第1穴は第2面内に形成された第2穴に規制オリフィスによって流体結合される本体と、
    ガス流路の少なくとも1つの第1穴内に形成されているサスペンション構成を含む真空チャンバ用ガス分散シャワーヘッド。
  2. サスペンション構成内に配置されたサスペンションフィッティングを更に含む請求項1記載のガス分散シャワーヘッド。
  3. ガス分散シャワーヘッドの本体内に形成された規制オリフィスにガス流を供給する、ガス分散シャワーヘッドの第1面上に配置された、容積と流体連通している代替ガス流路を更に含む請求項2記載のガス分散シャワーヘッド。
  4. 代替ガス流路は、ガス分配シャワーヘッドの本体内に形成された横向き穴を含む請求項3記載のガス分散シャワーヘッド。
  5. 穴は、ガス分散シャワーヘッドの表面によって画定された水平面に対してある角度で形成されている請求項4記載のガス分散シャワーヘッド。
  6. 穴は、1以上の隣接するガス流路を少なくとも部分的に通って延在している請求項4記載のガス分散シャワーヘッド。
  7. 穴は、規制オリフィスの上流の位置で終了している請求項4記載のガス分散シャワーヘッド。
  8. 代替ガス流路は、サスペンションフィッティング内に少なくとも部分的に形成された縦穴である請求項3記載のガス分散シャワーヘッド。
  9. サスペンションフィッティングは、オスのはめ合いインターフェースを画定する第1端部にネジ部を有する支持体を含む請求項8記載のガス分散シャワーヘッド。
  10. サスペンションフィッティングは、メスのインターフェースを画定する支持体の第2端部に溝付きレセプタクルを更に含む請求項9記載のガス分散シャワーヘッド。
  11. 第1面と、第1面と反対側の第2面とを有する本体であって、複数のガス流路が第1面と第2面の間に形成され、複数のガス流路の各々は第1面内に形成された第1穴を有し、第1穴は第2面内に形成された第2穴に規制オリフィスによって流体結合される本体と、
    塞がったガス流路を形成する複数のガス流路の少なくとも1つの第1穴内に配置されたサスペンションフィッティングと、
    バッキングプレートと、塞がったガス流路にガス流を供給するガス分散シャワーヘッドの第1面との間の容積と流体連通している代替ガス流路を含む真空チャンバ用ガス分散シャワーヘッド。
  12. 代替ガス流路は、サスペンションフィッティング内に少なくとも部分的に形成された縦穴である請求項11記載のガス分散シャワーヘッド。
  13. サスペンションフィッティングは、オスのはめ合いインターフェースを画定する第1端部にネジ部を有する支持体と、メスのインターフェースを画定する支持体の第2端部に溝付きレセプタクルを含む請求項12記載のガス分散シャワーヘッド。
  14. 縦穴は、第1直径よりも小さい第2直径を有するテーパ穴に結合されている第1直径を有する中央開口部を含む請求項12記載のガス分散シャワーヘッド。
  15. 代替ガス流路は、ガス分散シャワーヘッドの表面によって画定された水平面に対してある角度で本体内に形成された横向き穴を含む請求項11記載のガス分散シャワーヘッド。
  16. 穴は、1以上の隣接するガス流路を少なくとも部分的に通って延在している請求項15記載のガス分散シャワーヘッド。
  17. 穴は、規制オリフィスの上流の位置で終了している請求項16記載のガス分散シャワーヘッド。
JP2013521800A 2010-07-28 2011-07-08 改善されたガス流のためのシャワーヘッド支持構造 Active JP5937591B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US36860110P 2010-07-28 2010-07-28
US61/368,601 2010-07-28
US13/163,241 US8721791B2 (en) 2010-07-28 2011-06-17 Showerhead support structure for improved gas flow
US13/163,241 2011-06-17
PCT/US2011/043358 WO2012015578A1 (en) 2010-07-28 2011-07-08 Showerhead support structure for improved gas flow

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2016095807A Division JP6466364B2 (ja) 2010-07-28 2016-05-12 改善されたガス流のためのシャワーヘッド支持構造

Publications (2)

Publication Number Publication Date
JP2013533388A true JP2013533388A (ja) 2013-08-22
JP5937591B2 JP5937591B2 (ja) 2016-06-22

Family

ID=45526996

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2013521800A Active JP5937591B2 (ja) 2010-07-28 2011-07-08 改善されたガス流のためのシャワーヘッド支持構造
JP2016095807A Active JP6466364B2 (ja) 2010-07-28 2016-05-12 改善されたガス流のためのシャワーヘッド支持構造

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2016095807A Active JP6466364B2 (ja) 2010-07-28 2016-05-12 改善されたガス流のためのシャワーヘッド支持構造

Country Status (6)

Country Link
US (2) US8721791B2 (ja)
JP (2) JP5937591B2 (ja)
KR (1) KR101831667B1 (ja)
CN (2) CN102933743B (ja)
TW (1) TWI523079B (ja)
WO (1) WO2012015578A1 (ja)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170108994A (ko) * 2015-02-02 2017-09-27 아익스트론 에스이 대면적 기판을 코팅하기 위한 장치
JP2017538037A (ja) * 2014-11-20 2017-12-21 アイクストロン、エスイー 大面積基板コーティング用cvd又はpvd反応炉
JP2018528616A (ja) * 2015-09-22 2018-09-27 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated シャワーヘッド支持構造
WO2019244790A1 (ja) * 2018-06-20 2019-12-26 株式会社アルバック 真空処理装置、支持シャフト
KR20210068803A (ko) * 2019-12-02 2021-06-10 주식회사 원익아이피에스 샤워헤드조립체 및 이를 포함하는 기판처리장치

Families Citing this family (365)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050223986A1 (en) * 2004-04-12 2005-10-13 Choi Soo Y Gas diffusion shower head design for large area plasma enhanced chemical vapor deposition
US8083853B2 (en) * 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
TWI385272B (zh) * 2009-09-25 2013-02-11 Ind Tech Res Inst 氣體分佈板及其裝置
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
DE102011056589A1 (de) * 2011-07-12 2013-01-17 Aixtron Se Gaseinlassorgan eines CVD-Reaktors
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
KR101935881B1 (ko) * 2012-04-26 2019-01-08 주성엔지니어링(주) 대면적 기판처리장치, 대면적 가스공급장치 및 샤워 헤드 지지유닛
US9267205B1 (en) * 2012-05-30 2016-02-23 Alta Devices, Inc. Fastener system for supporting a liner plate in a gas showerhead reactor
US10486183B2 (en) * 2012-07-27 2019-11-26 Applied Materials, Inc. Methods and apparatus for delivering process gases to a substrate
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10316409B2 (en) 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
TWI627305B (zh) * 2013-03-15 2018-06-21 應用材料股份有限公司 用於轉盤處理室之具有剛性板的大氣蓋
US9677176B2 (en) * 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US20150345019A1 (en) * 2014-05-30 2015-12-03 Applied Materials, Inc. Method and apparatus for improving gas flow in a substrate processing chamber
US10858737B2 (en) * 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
DE102015118765A1 (de) 2014-11-20 2016-06-09 Aixtron Se Vorrichtung zum Beschichten eines großflächigen Substrats
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11384432B2 (en) * 2015-04-22 2022-07-12 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US9406536B1 (en) * 2015-06-29 2016-08-02 Hermes-Epitek Corp. Method and system for manufacturing semiconductor epitaxy structure
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
KR20180063345A (ko) * 2015-10-26 2018-06-11 어플라이드 머티어리얼스, 인코포레이티드 반도체 제조의 웨이퍼 처리를 위한 고 생산성 pecvd 툴
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
WO2018106627A1 (en) * 2016-12-08 2018-06-14 Applied Materials, Inc. Temporal atomic layer deposition processing chamber
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
KR102619029B1 (ko) * 2016-09-05 2023-12-28 주성엔지니어링(주) 기판 처리장치
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10403476B2 (en) 2016-11-09 2019-09-03 Lam Research Corporation Active showerhead
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR101855654B1 (ko) * 2016-12-23 2018-05-08 주식회사 테스 대면적 샤워헤드 어셈블리
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11955362B2 (en) * 2017-09-13 2024-04-09 Applied Materials, Inc. Substrate support for reduced damage substrate backside
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US11538666B2 (en) * 2017-11-15 2022-12-27 Lam Research Corporation Multi-zone cooling of plasma heated window
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US11139149B2 (en) * 2017-11-29 2021-10-05 Taiwan Semiconductor Manufacturing Co., Ltd. Gas injector
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
WO2019113478A1 (en) 2017-12-08 2019-06-13 Lam Research Corporation Integrated showerhead with improved hole pattern for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
WO2019212676A1 (en) * 2018-05-03 2019-11-07 Applied Materials, Inc. Universal adjustable blocker plate for flow distribution tuning
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
US20190348261A1 (en) * 2018-05-09 2019-11-14 Asm Ip Holding B.V. Apparatus for use with hydrogen radicals and method of using same
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
WO2020001775A1 (en) * 2018-06-28 2020-01-02 Applied Materials, Inc. Component for a vacuum chamber, vacuum chamber, and method of manufacturing a degassing hole
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR102707956B1 (ko) 2018-09-11 2024-09-19 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
TWI844567B (zh) 2018-10-01 2024-06-11 荷蘭商Asm Ip私人控股有限公司 基材保持裝置、含有此裝置之系統及其使用之方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US10883174B2 (en) * 2018-11-27 2021-01-05 Applied Materials, Inc. Gas diffuser mounting plate for reduced particle generation
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TWI845607B (zh) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
KR20210010817A (ko) 2019-07-19 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20220052996A (ko) * 2019-08-28 2022-04-28 램 리써치 코포레이션 금속 증착
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
WO2021067705A2 (en) * 2019-10-04 2021-04-08 Applied Materials, Inc. Gas distribution assembly mounting for fragile plates to prevent breakage
KR102695926B1 (ko) 2019-10-07 2024-08-16 삼성전자주식회사 가스 공급 유닛 및 이를 포함하는 기판 처리 장치
TWI846953B (zh) 2019-10-08 2024-07-01 荷蘭商Asm Ip私人控股有限公司 基板處理裝置
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
TWI849257B (zh) 2019-11-16 2024-07-21 美商應用材料股份有限公司 具有嵌入式螺帽的噴淋頭
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146691A (zh) * 2020-02-13 2021-12-16 荷蘭商Asm Ip私人控股有限公司 氣體分配總成、噴淋板總成、及調整至反應室之氣體的傳導率之方法
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
TW202147543A (zh) 2020-05-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 半導體處理系統
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR102702526B1 (ko) 2020-05-22 2024-09-03 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202212620A (zh) 2020-06-02 2022-04-01 荷蘭商Asm Ip私人控股有限公司 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR102707957B1 (ko) 2020-07-08 2024-09-19 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12011731B2 (en) 2020-07-10 2024-06-18 Applied Materials, Inc. Faceplate tensioning method and apparatus to prevent droop
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US20220049355A1 (en) * 2020-08-14 2022-02-17 Changxin Memory Technologies, Inc. Spray head, chemical vapor deposition device, and working method of chemical vapor deposition device
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
KR20220045900A (ko) 2020-10-06 2022-04-13 에이에스엠 아이피 홀딩 비.브이. 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치
US20220108891A1 (en) * 2020-10-06 2022-04-07 Applied Materials, Inc. Modular zone control for a processing chamber
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
KR102371435B1 (ko) * 2021-05-03 2022-03-08 주식회사 기가레인 샤워 헤드
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
KR102612876B1 (ko) * 2021-12-21 2023-12-12 주식회사 테스 샤워헤드 어셈블리
KR20240141826A (ko) * 2022-12-16 2024-09-27 램 리써치 코포레이션 기판 프로세싱 도구용 샤워헤드
CN118422168A (zh) * 2024-05-27 2024-08-02 无锡金源半导体科技有限公司 气体喷淋结构及薄膜沉积装置

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003332314A (ja) * 2002-05-14 2003-11-21 Tokyo Electron Ltd プラズマ処理装置用電極及びプラズマ処理装置
US20040206305A1 (en) * 2003-04-16 2004-10-21 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
US20050133161A1 (en) * 2002-07-08 2005-06-23 Carpenter Craig M. Apparatus and method for depositing materials onto microelectronic workpieces
US20060060138A1 (en) * 2004-09-20 2006-03-23 Applied Materials, Inc. Diffuser gravity support
JP2006121057A (ja) * 2004-09-20 2006-05-11 Applied Materials Inc 拡散器重力支持体
JP2007123840A (ja) * 2005-09-02 2007-05-17 Applied Materials Inc プロセスチャンバ内のシャワーヘッド用サスペンション
US20080099145A1 (en) * 2005-09-02 2008-05-01 Applied Materials, Inc. Gas sealing skirt for suspended showerhead in process chamber
US20080099146A1 (en) * 2006-10-25 2008-05-01 Applied Materials, Inc. Suspension for showerhead in process chamber
US20080317973A1 (en) * 2007-06-22 2008-12-25 White John M Diffuser support

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04294478A (ja) 1991-03-22 1992-10-19 Hitachi Maxell Ltd Icカードリーダライタ
US5792269A (en) * 1995-10-31 1998-08-11 Applied Materials, Inc. Gas distribution for CVD systems
US6148761A (en) 1998-06-16 2000-11-21 Applied Materials, Inc. Dual channel gas distribution plate
US6477980B1 (en) 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US6772827B2 (en) * 2000-01-20 2004-08-10 Applied Materials, Inc. Suspended gas distribution manifold for plasma chamber
US6797639B2 (en) * 2000-11-01 2004-09-28 Applied Materials Inc. Dielectric etch chamber with expanded process window
US20050109276A1 (en) * 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US20060288934A1 (en) * 2005-06-22 2006-12-28 Tokyo Electron Limited Electrode assembly and plasma processing apparatus
WO2010065473A2 (en) * 2008-12-01 2010-06-10 Applied Materials, Inc. Gas distribution blocker apparatus

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003332314A (ja) * 2002-05-14 2003-11-21 Tokyo Electron Ltd プラズマ処理装置用電極及びプラズマ処理装置
US20050133161A1 (en) * 2002-07-08 2005-06-23 Carpenter Craig M. Apparatus and method for depositing materials onto microelectronic workpieces
US20040206305A1 (en) * 2003-04-16 2004-10-21 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
JP2006515039A (ja) * 2003-04-16 2006-05-18 アプライド マテリアルズ インコーポレイテッド 大面積プラズマ化学気相堆積法のためのガス分配プレートアセンブリ
US20060060138A1 (en) * 2004-09-20 2006-03-23 Applied Materials, Inc. Diffuser gravity support
JP2006121057A (ja) * 2004-09-20 2006-05-11 Applied Materials Inc 拡散器重力支持体
JP2007123840A (ja) * 2005-09-02 2007-05-17 Applied Materials Inc プロセスチャンバ内のシャワーヘッド用サスペンション
US20080099145A1 (en) * 2005-09-02 2008-05-01 Applied Materials, Inc. Gas sealing skirt for suspended showerhead in process chamber
US20080099146A1 (en) * 2006-10-25 2008-05-01 Applied Materials, Inc. Suspension for showerhead in process chamber
US20080317973A1 (en) * 2007-06-22 2008-12-25 White John M Diffuser support
JP2009065121A (ja) * 2007-06-22 2009-03-26 Applied Materials Inc 拡散装置支持体

Cited By (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2017538037A (ja) * 2014-11-20 2017-12-21 アイクストロン、エスイー 大面積基板コーティング用cvd又はpvd反応炉
JP2018511695A (ja) * 2015-02-02 2018-04-26 アイクストロン、エスイー 大面積基板コーティング装置
KR102511913B1 (ko) 2015-02-02 2023-03-17 아익스트론 에스이 대면적 기판을 코팅하기 위한 장치
KR20170108994A (ko) * 2015-02-02 2017-09-27 아익스트론 에스이 대면적 기판을 코팅하기 위한 장치
JP2021073699A (ja) * 2015-09-22 2021-05-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated シャワーヘッド支持構造
JP2018528616A (ja) * 2015-09-22 2018-09-27 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated シャワーヘッド支持構造
JP2019208041A (ja) * 2015-09-22 2019-12-05 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated シャワーヘッド支持構造
JP7049488B2 (ja) 2015-09-22 2022-04-06 アプライド マテリアルズ インコーポレイテッド シャワーヘッド支持構造
KR20200090879A (ko) * 2018-06-20 2020-07-29 가부시키가이샤 아루박 진공 처리장치, 지지 샤프트
JPWO2019244790A1 (ja) * 2018-06-20 2021-01-07 株式会社アルバック 真空処理装置、支持シャフト
JP7121121B2 (ja) 2018-06-20 2022-08-17 株式会社アルバック 真空処理装置、支持シャフト
KR102436079B1 (ko) * 2018-06-20 2022-08-25 가부시키가이샤 아루박 진공 처리장치, 지지 샤프트
WO2019244790A1 (ja) * 2018-06-20 2019-12-26 株式会社アルバック 真空処理装置、支持シャフト
KR20210068803A (ko) * 2019-12-02 2021-06-10 주식회사 원익아이피에스 샤워헤드조립체 및 이를 포함하는 기판처리장치
KR102618455B1 (ko) * 2019-12-02 2023-12-27 주식회사 원익아이피에스 샤워헤드조립체 및 이를 포함하는 기판처리장치

Also Published As

Publication number Publication date
TWI523079B (zh) 2016-02-21
CN105463409B (zh) 2018-06-12
CN102933743B (zh) 2015-12-16
US10087524B2 (en) 2018-10-02
JP6466364B2 (ja) 2019-02-06
JP2016211075A (ja) 2016-12-15
CN102933743A (zh) 2013-02-13
US20140246521A1 (en) 2014-09-04
KR20130115083A (ko) 2013-10-21
TW201207905A (en) 2012-02-16
US8721791B2 (en) 2014-05-13
KR101831667B1 (ko) 2018-02-23
JP5937591B2 (ja) 2016-06-22
WO2012015578A1 (en) 2012-02-02
CN105463409A (zh) 2016-04-06
US20120027918A1 (en) 2012-02-02

Similar Documents

Publication Publication Date Title
JP6466364B2 (ja) 改善されたガス流のためのシャワーヘッド支持構造
JP6824338B2 (ja) シャワーヘッド支持構造
CN113166939B (zh) 用于减少颗粒产生的气体扩散器安装板
US10927461B2 (en) Gas diffuser support structure for reduced particle generation
TWI853705B (zh) 用以減少粒子產生的氣體擴散器組件

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20140708

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20150427

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20150526

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20150825

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20150924

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20151023

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20151118

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20160426

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20160512

R150 Certificate of patent or registration of utility model

Ref document number: 5937591

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250