KR101831667B1 - 개선된 가스 흐름을 위한 샤워헤드 지지 구조물 - Google Patents

개선된 가스 흐름을 위한 샤워헤드 지지 구조물 Download PDF

Info

Publication number
KR101831667B1
KR101831667B1 KR1020127031363A KR20127031363A KR101831667B1 KR 101831667 B1 KR101831667 B1 KR 101831667B1 KR 1020127031363 A KR1020127031363 A KR 1020127031363A KR 20127031363 A KR20127031363 A KR 20127031363A KR 101831667 B1 KR101831667 B1 KR 101831667B1
Authority
KR
South Korea
Prior art keywords
gas distribution
gas
openings
shower head
distribution showerhead
Prior art date
Application number
KR1020127031363A
Other languages
English (en)
Other versions
KR20130115083A (ko
Inventor
로빈 엘. 티너
수영 최
쿤후아 왕
제이얀 제리 첸
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20130115083A publication Critical patent/KR20130115083A/ko
Application granted granted Critical
Publication of KR101831667B1 publication Critical patent/KR101831667B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05BSPRAYING APPARATUS; ATOMISING APPARATUS; NOZZLES
    • B05B1/00Nozzles, spray heads or other outlets, with or without auxiliary devices such as valves, heating means
    • B05B1/005Nozzles or other outlets specially adapted for discharging one or more gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Physics & Mathematics (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

본원 발명의 실시예들은 일반적으로 프로세싱 챔버 내에서 가스 분배 샤워헤드를 지지하기 위한 방법들 및 장치를 제공한다. 일 실시예에서, 진공 챔버를 위한 가스 분배 샤워헤드가 제공된다. 가스 분배 샤워헤드는: 제 1 측면과 제 1 측면에 대향하는 제 2 측면, 및 본체를 통해서 형성된 복수의 가스 통로들을 가지는 본체 ― 상기 가스 통로들은 제한 오리피스(restricting orifice)에 의해서 제 2 측면 내에 형성된 제 2 보어(bore)에 유체적으로 커플링된(fluidly coupled), 제 1 측면 내에 형성된 제 1 보어를 포함함 ― ; 및 가스 통로들 중 적어도 하나의 제 1 보어 내에 형성된 현수 피쳐를 포함한다.

Description

개선된 가스 흐름을 위한 샤워헤드 지지 구조물{SHOWERHEAD SUPPORT STRUCTURE FOR IMPROVED GAS FLOW}
본원 발명의 실시예들은 일반적으로 플라즈마 챔버 내에서 가스 분배 샤워헤드를 지지하는 것에 관한 것이다. 보다 구체적으로, 본원 발명은 가스 분배 샤워헤드를 통해서 챔버로 가스를 공급하는 것에 관한 것이다.
플라즈마 강화 화학 기상 증착(PECVD)은, 프로세싱 가스가 가스 분배 샤워헤드를 통해서 프로세싱 챔버 내로 도입되는 증착(deposition) 방법이다. 샤워헤드는 프로세싱 가스를 플라즈마로 점화(ignite)하기 위해서 전기적으로 바이어스된다. 샤워헤드에 대향하여 안착되는(sitting) 서셉터(susceptor)가 전기적으로 접지되며, 양극으로서 기능한다. 샤워헤드는, 프로세싱 가스가 샤워헤드와 서셉터 사이의 프로세싱 공간 내로 유동할 때, 프로세싱 가스를 확산(spread out)시킨다.
대면적 기판들 상으로 재료를 증착하기 위한 PECVD는 최근에 대중화되었다. 대면적 기판들은 약 1 평방 미터보다 더 큰 표면적을 가질 수 있다. 대면적 기판들은 평판 디스플레이들(FPDs), 태양 전지 패널들, 유기 발광 디스플레이들(OLEDs), 및 다른 애플리케이션들을 위해서 사용될 수 있다. 이러한 프로세스들은, 대면적 기판에 300 ℃ 내지 400 ℃ 정도 또는 그보다 높은 온도들이 가해지고, 증착되는 층들에서 균일성을 보장하기 위해서 증착 동안에 샤워헤드에 대해서 고정된 위치에서 유지될 필요가 있다.
일반적으로, 샤워헤드는 대면적 기판 위에서 이격-분리된 관계로 지지되어 프로세스 가스를 분배하도록 구성된 천공형 플레이트이고, 통상적으로 프로세싱될 기판과 실질적으로 동일한 면적(area)을 갖는다. 샤워헤드들은 통상적으로 알루미늄으로 제조되며, PECVD 프로세스들 동안에 온도들을 견디면서 팽창 및 수축된다. 샤워헤드들은 일반적으로 중심 및 엣지들 주위에서 지지되어, 기판과 샤워헤드 사이의 프로세싱 공간을 유지한다. 그러나, 통상적인 중심 지지 방식들(schemes)은 샤워헤드를 통한 가스 유동에 영향을 미친다. 가스 유동이 증착 동안에 샤워헤드를 통해서 충분히 분배되지 않을 때, 프로세스는 기판 상에서 균일한 증착을 생성할 수 없으며, 이는 사용 불가능한 대면적 기판을 초래할 수 있다.
그에 따라, 기판과 가스 분배 샤워헤드 사이의 프로세싱 공간을 유지하며, 가스 분배 샤워헤드를 통한 가스 유동을 방해하지 않는 가스 분배 샤워헤드를 지지하기 위한 장치 및 방법이 요구된다.
본원 발명은 일반적으로 진공 챔버 내에서 가스 분배 샤워헤드를 지지하기 위한 방법 및 장치에 관한 것이다. 일 실시예에서, 진공 챔버를 위한 가스 분배 샤워헤드가 제공된다. 가스 분배 샤워헤드는: 제 1 측면(side)과 제 1 측면에 대향하는 제 2 측면, 및 본체를 통해서 형성된 복수의 가스 통로들을 가지는 본체 ― 상기 가스 통로들은 제한 오리피스(restricting orifice)에 의해서 제 2 측면 내에 형성된 제 2 보어(bore)에 유체적으로 커플링된(fluidly coupled), 제 1 측면 내에 형성된 제 1 보어를 포함함 ― ; 및 가스 통로들 중 적어도 하나의 제 1 보어 내에 형성된 현수 피쳐(suspension feature)를 포함한다.
다른 실시예에서, 진공 챔버를 위한 가스 분배 샤워헤드가 제공된다. 상기 가스 분배 샤워헤드는: 백킹 플레이트(backing plate)에 대향하는 제 1 측면 및 제 1 측면에 대향하는 제 2 측면을 가지는 본체 ― 상기 본체는 제 1 측면과 제 2 측면 사이에 형성된 복수의 가스 통로들을 가지고, 복수의 가스 통로들의 각각은 제한 오리피스에 의해서 제 2 측면 내에 형성된 제 2 보어에 유체적으로 커플링된, 제 1 측면 내에 형성된 제 1 보어를 포함함 ― ; 막힌 가스 통로를 형성하는 복수의 가스 통로들 중 적어도 하나의 제 1 보어 내에 배치되는 현수 피팅; 및 막힌 가스 통로로 가스 유동을 제공하는 가스 분배 샤워헤드의 제 1 측면과 백킹 플레이트 사이의 부피와 유체 소통하는 대안적인(alternative) 가스 통로를 포함한다.
다른 실시예에서, 진공 챔버가 제공된다. 진공 챔버는 가스 분배 샤워헤드 근처에 배치된 백킹 플레이트를 포함하여, 가스 분배 샤워헤드와 백킹 플레이트 사이에 중간 부피를 정의한다. 가스 분배 샤워헤드는: 중간 부피와 소통하는 제 1 측면과 제 2 측면, 및 제 1 측면과 제 2 측면 사이에 형성된 복수의 가스 통로들을 가지는 본체 ― 상기 복수의 가스 통로들의 각각은 제한 오리피스에 의해서 제 2 측면 내에 형성된 제 2 보어에 유체적으로 커플링된, 제 1 측면 내에 형성된 제 1 보어를 가짐 ― ; 복수의 가스 통로들 중 적어도 하나에 배치된 지지 부재 ― 상기 지지 부재는 차단된 제 2 보어로의 가스 유동을 적어도 부분적으로 제한함 ― ; 및 현수 피팅이 내부에 배치된 복수의 가스 통로들 중 적어도 하나로 가스 유동을 제공하는 중간 부피와 유체 소통하는 대안적인 가스 통로를 포함한다.
다른 실시예에서, 기판을 프로세싱하기 위한 방법이 제공된다. 이 방법은 가스 분배 샤워헤드와 백킹 플레이트 사이에 배치된 적어도 하나의 지지 부재에 의해서 챔버 내에 복수의 가스 통로들을 가지는 가스 분배 샤워헤드를 현수하는 단계를 포함하고, 적어도 하나의 지지 부재는 복수의 가스 통로들 중 적어도 하나로의 가스 유동을 막는다. 이 방법은 또한 백킹 플레이트와 가스 분배 샤워헤드 사이의 부피로 프로세스 가스를 유동시키는 단계; 상기 부피로부터 복수의 가스 통로들의 일부를 통해서 프로세스 가스의 일부를 유동시키는 단계; 및 대안적인 가스 통로를 통해서 지지 부재에 의해서 막힌 복수의 가스 통로들 중 적어도 하나로 프로세스 가스의 다른 부분을 유동시키는 단계를 포함한다.
본 발명의 상기 열거된 특징들이 상세히 이해될 수 있는 방식으로 앞서 간략히 요약된 본 발명의 보다 구체적인 설명이 실시예들을 참조로 하여 이루어질 수 있는데, 이러한 실시예들의 일부는 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들은 본 발명의 단지 전형적인 실시예들을 도시하는 것이므로 본 발명의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 발명이 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
도 1은 챔버의 일 실시예의 개략적인 측단면도이다.
도 2는 도 1의 챔버의 확대된 부분 단면도이다.
도 3a는 도 1의 챔버 내에서 이용될 수 있는 백킹 플레이트(backing plate)의 다른 실시예의 부분적인 단면도이다.
도 3b는 도 3a의 백킹 플레이트에서 이용될 수 있는 스크류 디바이스의 일 실시예의 부분 확대 단면도이다.
도 4a는 나사산형 지지 부재의 일 실시예의 측면도이다.
도 4b는 도 4a의 나사산형 지지 부재의 평면도이다.
도 5a는 스크류 디바이스의 다른 실시예의 측단면도이다.
도 5b는 도 5a에 도시된 스크류 디바이스의 평면도이다.
도 5c는 도 5b에 도시된 스크류 디바이스의 평면도이다.
도 6a는 지지 너트의 일 실시예의 측면도이다.
도 6b는 도 6a에 도시된 지지 너트의 평면도이다.
도 7은 도 1의 챔버 내에서 이용될 수 있는 백킹 플레이트의 일 실시예의 평면도이다.
도 8은 가스 분배 샤워헤드의 다른 실시예를 가지는 챔버의 개략적인 측단면도이다.
도 9는 도 8의 가스 분배 샤워헤드의 일부의 확대도이다.
도 10은 가스 분배 샤워헤드의 일 실시예의 일부의 개략적인 평면도이다.
도 11a 및 11b는 가스 분배 샤워헤드의 다른 실시예들의 개략적인 부분 단면도들이다.
이해를 돕기 위해서, 가능한 경우에, 도면들에서 공통되는 동일한 엘리먼트들을 지정하기 위해서 동일한 참조 부호들이 사용되었다. 또한, 추가적인 언급이 없어도, 일 실시예의 일부 엘리먼트들 및 특징들이 다른 실시예들에 유리하게 포함될 수 있다는 것이 참작된다.
본원 발명의 실시예들은 일반적으로 프로세싱 챔버 내에서 가스 분배 샤워헤드를 지지하기 위한 장치들 및 방법들을 제공한다. 일 실시예에서, 가스 분배 샤워헤드의 중앙 영역에 커플링된 적어도 하나의 지지 부재는, 가스 분배 샤워헤드를 지지하고, 중력들, 높은 프로세싱 온도들, 및 음압(negative pressure) 중 하나 또는 그 조합에 의해서 유발되는 중간 처짐(sag) 또는 휘어짐(bowing)에 대해서 저항하는 것을 용이하게 하도록 구성되며, 그에 따라 가스 분배 샤워헤드의 희망하는 수평적 프로파일을 유지한다. 희망하는 수평적 프로파일은 평평한(level)(예를 들어, 평면형) 수평적 프로파일, 볼록한 수평적 프로파일, 또는 오목한 수평적 프로파일 중 적어도 하나일 수 있다. 희망하는 수평적 프로파일은, 적어도 부분적으로, 적어도 하나의 지지 부재에 의해서 제공되는 힘들에 의해서 형성되거나 유지될 수 있다. 본원에서 사용되는 바와 같은 가스 분배 샤워헤드 또는 확산기(diffuser)의 수평적 프로파일은, 적용가능한 도면들에 도시된 바와 같이 가스 분배 샤워헤드의 단면을 지칭한다. 본원 발명은 미국 캘리포니아 산타클라라에 소재하는 Applied Materials, Inc.의 자회사인 AKT America, Inc.로부터 입수가 가능한 PECVD 장치와 관련하여 이하에서 설명될 것이다. 본원 발명이, 다른 제조자들로부터 입수가 가능한 증착 챔버들 및 PECVD 장치들을 포함하는 다른 증착 챔버들에도 또한 적용될 수 있다는 것이 이해될 수 있다.
도 1은 챔버(100)의 일 실시예의 개략적인 측단면도이다. 챔버(100)는 유리, 폴리머, 또는 다른 적합한 기판으로 제조된 대면적 기판(105) 상에 회로를 제조하기 위한 플라즈마 강화 화학 기상 증착(PECVD) 프로세스들에 대해서 적합하다. 챔버(100)는 액정 디스플레이들(LCDs) 또는 평판 디스플레이들, 태양 전지 어레이들을 위한 광전지 디바이스들, 또는 다른 구조물들의 제조시에 이용하기 위한 대면적 기판(105) 상에 구조물들 및 디바이스들을 형성하도록 구성된다. 그러한 구조물들은, 복수의 순차적인 증착 및 마스킹 단계들을 포함할 수 있는, 복수의 백 채널 에치 역 스태거드형(back channel etch inverted staggered) (바텀 게이트(bottom gate)) 박막 트랜지스터들일 수 있다. 다른 구조물들은 광전지 셀들을 위한 다이오드들을 형성하기 위한 p-n 접합부들을 포함할 수 있다.
챔버(100)는 챔버 측벽(110), 하단부(115), 서셉터와 같은 기판 지지부(120)를 포함하며, 상기 기판 지지부는 프로세싱 동안에 대면적 기판(105)을 지지한다. 가스 분배 샤워헤드(145)가 기판 지지부(120) 및 대면적 기판(105)에 대향하여 위치된다. 또한, 챔버(100)는, 선택적으로 개방 및 폐쇄함으로써 대면적 기판(105)의 이송 및 대면적 기판(105) 상에의 증착 프로세스들을 용이하게 하는, 슬릿 밸브와 같은 포트(125)를 가진다. 챔버(100)는 또한 덮개 구조물(130), 백킹 플레이트(140), 및 가스 분배 샤워헤드(145)를 포함한다. 일 실시예에서, 덮개 구조물(130)은 백킹 플레이트(140) 및 가스 분배 샤워헤드(145)를 지지한다. 일 실시예에서, 백킹 플레이트(140)의 내부 표면(146) 및 챔버 측벽(110)의 내부 표면(147)이 가변 압력 영역(148)의 경계를 형성한다(bound). 일 양태에서, 챔버(100)는 가변 압력 영역(148)의 경계를 형성하는 챔버 측벽(110), 하단부(115) 및 백킹 플레이트(140)를 포함하는 본체를 포함한다. 백킹 플레이트(140)는 상기 백킹 플레이트(140)와 덮개 구조물(130)이 서로 접촉할 수 있는 인터페이스들(interfaces)에서의 적합한 o-링들에 의해서 그 둘레 상에서 밀봉된다. o-링들은, 챔버(100)에 커플링된 진공 펌프에 의해서 음압이 제공될 때, 가변 압력 영역(148)을 밀봉할 뿐만 아니라 전기적인 절연을 용이하게 한다.
일 실시예에서, 가스 분배 샤워헤드(145)는 하나 또는 둘 이상의 중앙 지지 부재들(150)에 의해서 가스 분배 샤워헤드의 중심 영역에서 백킹 플레이트(140)에 의해 지지된다. 하나 또는 둘 이상의 중앙 지지 부재들(150)은 가스 분배 샤워헤드(145)의 중앙 영역에서 가스 분배 샤워헤드(145)의 지지를 용이하게 하여, 열, 중력 및 진공 중 하나 또는 그 조합으로 인해서 가스 분배 샤워헤드(145)가 처지거나 아래로 늘어지는 경향을 완화시키도록 가스 분배 샤워헤드(145)의 수평적인 프로파일을 제어한다. 가스 분배 샤워헤드(145)는 또한 가요성 현수부(suspension)(155)에 의해서 가스 분배 샤워헤드(145)의 둘레부에서 지지될 수 있다. 가요성 현수부(155)는 가스 분배 샤워헤드(145)의 엣지들로부터 가스 분배 샤워헤드(145)를 지지하도록 그리고 가스 분배 샤워헤드(145)의 측방향 팽창 및 수축을 허용하도록 구성된다. 가요성 현수부는 "Flexibly Suspended Gas Distribution Manifold for A Plasma Chamber"라는 명칭으로 2002년 11월 12일자로 발행된 미국 특허 6,477,980 에 개시되어 있으며, 이러한 특허는 인용에 의해서 본원에 포함된다.
챔버(100)는, 가스 소스(source) 및 플라즈마 소스(165)에 커플링된 가스 유입구(160)에 커플링된다. 플라즈마 소스(165)는 직류 전력 소스, 라디오 주파수(RF) 전력 소스, 또는 원격 플라즈마 소스일 수 있다. RF 전력 소스는 챔버(100)에 유도결합(inductively coupled) 또는 용량결합될 수 있다. 가스 유입구(160)는 가스 소스로부터 보어(162)를 통해서 중간 영역(170)으로 프로세스 가스 또는 세정 가스들을 전달하고, 상기 중간 영역은 상기 백킹 플레이트(140)와 가스 분배 샤워헤드(145) 사이에 정의된다. 작업의 일례에서, 프로세스 가스들이 가스 소스로부터 전달되는 한편, 챔버(100)의 내부가 진공 펌프에 의해서 적합한 압력으로 펌핑 감압된다. 하나 또는 둘 이상의 프로세스 가스들이 가스 유입구(160)를 통해서 중간 영역(170)으로 유동하고, 상기 중간 영역(170)은 백킹 플레이트(140)와 가스 분배 샤워헤드(145) 사이에 정의된다. 이어서, 하나 또는 둘 이상의 프로세스 가스들이 중간 영역(170)으로부터 복수의 개구부들 또는 가스 통로들(175)을 통해서 프로세싱 영역(180)으로 유동하며, 상기 복수의 개구부들 또는 가스 통로들(175)은 가스 분배 샤워헤드(145)를 통해서 형성되고, 상기 프로세싱 영역(180)은 상기 가스 분배 샤워헤드(145) 아래와 상기 기판 지지부(120) 위의 영역 내에 정의된다.
기판 지지부(120)를 가스 분배 샤워헤드(145)를 향해서 이동시킴으로써, 대면적 기판(105)이 이송 위치로부터 프로세싱 영역(180)으로 상승된다. 프로세싱 영역(180)의 높이가 가스 분배 샤워헤드(145)의 하부 표면과 기판 지지부(120)의 기판 수용 표면(190) 사이의 간격을 기초로 하는 프로세스 매개 변수로서 변경될 수 있다. 기판 지지부(120)는, 기판 지지부(120)에 커플링되거나 또는 기판 지지부(120) 내에 배치된 가열 코일들 또는 저항형 히터와 같은 일체형 히터에 의해서 가열될 수 있다.
플라즈마는 챔버(100)에 커플링된 플라즈마 소스(165)에 의해서 프로세싱 영역(180) 내에 형성될 수 있다. 플라즈마 여기된 가스가 상부에 증착되어 대면적 기판(105) 상에 구조물들을 형성한다. 일 실시예에서, 프로세싱 영역(180) 내에서의 플라즈마 형성을 용이하게 하기 위해서 기판 지지부(120)가 접지 전위(ground potential)를 가진다. 또한, 플라즈마는, 열적으로 유도된 플라즈마와 같은 다른 수단에 의해서 챔버(100) 내에서 형성될 수 있다. 비록, 이러한 실시예에서 플라즈마 소스(165)가 가스 유입구(160)에 커플링된 것으로 도시되어 있지만, 플라즈마 소스(165)는 가스 분배 샤워헤드(145) 또는 챔버(100)의 다른 부분들에 커플링될 수 있다.
가스 분배 샤워헤드(145)는 전기 전도성 재료로 제조되거나 코팅되며, 가스 유입구(160) 또는 다른 연결부를 통해서 플라즈마 소스(165)에 커플링되어서, 가스 분배 샤워헤드(145)는 챔버(100) 내에서 제 1 전극으로서 기능할 수 있다. 가스 분배 샤워헤드(145)를 위해서 선택된 재료들에는 스틸, 티타늄, 알루미늄, 또는 이들의 조합이 포함될 수 있고, 표면들은 폴리싱되거나 양극처리(anodized)될 수 있다. 가스 분배 샤워헤드(145)는 제 1 또는 상부 표면(185A), 및 제 2 또는 하부 표면(185B)을 포함할 수 있다. 일 실시예에서, 상부 표면(185A) 및 하부 표면(185B)은 단면에서 볼 때 실질적으로 평행하다. 다른 실시예에서, 상부 표면(185A)과 하부 표면(185B) 중 적어도 하나는 오목한 표면을 정의하도록 단면적으로 만곡될 수 있다. 다른 실시예에서, 상부 표면(185A)과 하부 표면(185B) 중 적어도 하나는 볼록한 표면을 정의하도록 만곡된다. 다른 실시예에서, 상부 표면(185A)과 하부 표면(185B) 중 적어도 하나는 비-평행적이다. 일 실시예에서, 가스 분배 샤워헤드(145)는 접시형인(dished) 또는 "둥글게 파인(scooped)" 하부 표면(185B)을 형성하기 위해 둘레에서 더 두껍고 그의 중심에서 더 얇은 두께 또는 단면 치수를 포함할 수 있다. 이러한 실시예에서, 적어도 상부 표면(185A)은 실질적으로 평면형이거나 평평하다. 그에 따라, 가스 분배 샤워헤드(145)의 중심에 대해서 가스 분배 샤워헤드(145)의 둘레에서 더 두꺼운 단면 치수는 기판 지지부(120)의 수평적인 프로파일에 대해서 오목한 희망하는 수평적인 프로파일을 형성한다. 다른 실시예에서, 기판 지지부(120)의 기판 수용 표면(190)은 실질적으로 평면형이고, 가스 분배 샤워헤드(145)의 하부 표면(185B)은 기판 수용 표면(190)에 대해서 오목하다.
도 2는 도 1의 챔버(100)의 확대된 부분 단면도이다. 백킹 플레이트(140)는, 제 1 개구부(205A) 및 하나 또는 둘 이상의 제 2 개구부들(205B)과 같은, 복수의 관통 연장 개구부들을 포함한다. 일 실시예에서, 제 1 개구부(205A)는 가스 유입구(160)를 수용하도록 구성되고, 하나 또는 둘 이상의 제 2 개구부들(205B)은 각각의 중앙 지지 부재(150)를 수용하도록 구성된다. 일 실시예에서, 제 1 개구부(205A)는 백킹 플레이트(140)의 실질적인 기하학적 중앙에 위치되지만, 제 1 개구부(205A)는 다른 곳에 배치될 수 있다. 커버 플레이트(135)가 이용되는 실시예들에서, 커버 플레이트(135)는 가스 유입구(160) 및 중앙 지지 부재들(150)을 각각 수용하기 위해서 관통 홀들(210A 및 210B)을 포함한다.
일 실시예에서, 중앙 지지 부재들(150) 각각은 현수 피팅(suspension fitting)(220)과 같은 제 2 지지 부재에 착탈가능하게 커플링되는 제 1 지지 부재(215)를 포함한다. 제 1 지지 부재(215)는, 베이요넷(bayonet) 체결구들의 짝을 맞추는 것에 의해서(mating), 나사산형 부분들을 짝을 맞추는 것에 의해서, 1/4-회전(quater-turn) 체결구들의 짝을 맞추는 것에 의해서, 그리고 기타 등등에 의해서와 같은 임의의 적절한 방식으로, 현수 피팅(220)에 착탈가능하게 커플링될 수 있다. 도 2에 도시된 실시예에서, 나사산형 지지 부재(215)는 그의 제 1 단부에서의 샤프트(230) 및 그의 제 2 단부에서의 나사산형 부분(235)을 포함한다. 나사산형 부분(235)은 지지 너트(225)에 커플링되도록 구성된다. 지지 너트(225)는 나사산형 부분(235) 및 백킹 플레이트(140)의 상부 표면(245)에 대해서 회전하도록 구성된다. 현수 피팅(220)은 가스 분배 샤워헤드(145)의 본체 내에 또는 그 본체 상에 형성된 현수 피쳐(feature)(222) 내에서 가스 분배 샤워헤드(145)에 대해서 체결(fastened), 용접, 본딩, 또는 가압식 끼워맞춤(press-fit)될 수 있다. 현수 피쳐(222)는, 가스 분배 샤워헤드(145)가 현수 피팅(220)에 인가되는 힘에 의해서 수직 상방으로 잡아 당겨질 수 있도록 허용하는 방식으로 상기 현수 피팅(220)이 상기 가스 분배 샤워헤드(145)와 짝을 이루도록 허용하는 가스 분배 샤워헤드(145)의 주요(major) 표면 상의 장착 홀 또는 구조물일 수 있다. 현수 피팅(220)에 의해서 가스 분배 샤워헤드(145)로 인가되는 힘은 가스 분배 샤워헤드(145)에 작용하는 중력의 단순한 반-작용(counter-act)일 수 있다. 현수 피팅(220)은 가스 분배 샤워헤드(145) 내에 형성된 현수 피쳐(222) 내에 배치된 슬롯들 또는 나사산들과 결합하는 나사산들을 포함하거나 키잉될(keyed) 수 있다. 또한, 현수 피팅(220)은, 가스 분배 샤워헤드(145) 내에 형성된 현수 피쳐(222)와 커플링되는 베이요넷 장착부의 일부 또는 다른 1/4-회전 장착 인터페이스를 포함할 수 있다. 현수 피쳐(222)는 전술한 바와 같이 현수 피팅(220)과 짝을 이루는 대응 기판으로 구성된다.
백킹 플레이트(140)는 가스 분배 샤워헤드(145)보다 단면이 상대적으로 더 두껍다. 가스 분배 샤워헤드(145)는 가스 분배 샤워헤드(145) 내의 천공부들 및 상대적인 두께로 인해서 백킹 플레이트(140)에 비해 더 큰 가요성을 가진다. 백킹 플레이트(140)는 가스 분배 샤워헤드(145)보다 더 강성(stiffer)이 되도록 구성되고, 그에 따라 중력, 진공 및 열과 같은 힘들에 의해서 덜 영향을 받게 된다. 백킹 플레이트(140)는 이러한 힘들로 인해서 편향(deflect)될 수 있으나, 가스 분배 샤워헤드(145)에 의해 경험될 수 있을 정도로 편향되지는 않는다. 그에 따라, 가스 분배 샤워헤드(145)는 전술한 힘들에 의해서 유발되는 일부 변형을 경험할 수 있으나, 그러한 변형은 백킹 플레이트(140)의 강성도에 의해서 효과적으로 제한된다. 그에 따라, 가스 분배 샤워헤드(145) 및/또는 백킹 플레이트(140)에서의 처짐 또는 변형이 미리 결정될 수 있으며, 지지 너트(225)의 조정에 의해서 상쇄될 수 있다.
또한, 지지 너트(225)가 백킹 플레이트(140)의 상부 표면(245) 상의 또는 상부 표면(245) 근처의 표면과 접촉한다. 백킹 플레이트(140)의 표면에 대한 지지 너트(225)의 회전은 샤프트(230), 현수 피팅(220) 및 가스 분배 샤워헤드(145)를 백킹 플레이트(140)에 대해서 상대적으로 상승 또는 하강시키고, 그에 따라 가스 분배 샤워헤드(145)의 수평적인 프로파일을 제어한다. 일 실시예에서, 샤프트(230)의 제 1 단부는 나사산형 지지 부재(215)와 현수 피팅(220)의 커플링 및 디커플링을 용이하게 하는 커플링 메커니즘(240)을 포함한다. 캡(250)은 지지 너트들(225) 각각의 위에 배치되어 제 2 개구부들(205B) 주위의 진공 밀봉을 용이하게 한다. 커버 플레이트(135)가 이용되는 실시예들에서, 캡(250)은 커버 플레이트(135) 및 백킹 플레이트(140)의 상부 표면(245) 사이의 공간 내에 배치된 튜브형 도관(255)을 포함한다. 일 실시예에서, 캡(250)은 체결구들(265)에 의해서 커버 플레이트(135)에 또는 백킹 플레이트(140)(도시하지 않음)에 직접적으로 커플링되는 클램프 부분(260)을 포함한다. 클램프 부분(260)은 캡(250)과 커버 플레이트(135) 사이의 또는 캡(250)과 백킹 플레이트(140)(도시하지 않음) 사이의 밀봉체(270)를 포함한다.
도 3a는 백킹 플레이트(140)의 다른 실시예의 부분적인 단면도이다. 이러한 실시예에서, 중앙 지지 부재(150)의 지지 너트(225)는 백킹 플레이트(140)의 상부 표면(245) 내에 형성된 리세스(305) 내에 배치된다. 지지 너트(225)는 리세스(305)의 표면 및 샤프트(230)의 나사산형 부분(310)에 대해서 상대적으로 회전될 수 있다. 마찰 감소 재료로 제조된 와셔(도시하지 않음)는 지지 너트(225)와 리세스(305)의 표면 사이에 배치될 수 있다. 와셔는 또한 챔버의 진공 밀봉을 용이하게 하기 위한 밀봉체로서 기능할 수 있다. 지지 너트(225)의 회전은, 다른 중앙 지지 부재들(150)과 함께 가스 분배 샤워헤드(145)의 수평적인 프로파일을 제어하는, 가스 분배 샤워헤드(145)에 대해서 국소적인(local) 힘이 인가되는 것을 용이하게 한다. 이러한 실시예에서, 캡(250)은 백킹 플레이트(140)에 직접적으로 커플링되어 리세스(305)를 밀봉하는 것으로 도시되어 있다.
중앙 지지 부재(150)의 커플링 메커니즘(240)은 이러한 도면에 더 명확하게 도시되어 있다. 일 양태에서, 커플링 메커니즘(240)은, 현수 피팅(220)내에 형성된 각각의 슬롯(325)과 짝을 이루는 샤프트(230)의 말단부 상에 배치된 하나 또는 둘 이상의 키이들(320)을 포함하는 착탈가능한 인터페이스를 제공하는 슬롯/키이 디바이스를 포함한다. 커플링 메커니즘(240)은 나사산형 지지 부재(215)가 회전되어 현수 피팅(220)과 커플링 및 디커플링되도록 허용하고, 상기 디커플링은 백킹 플레이트(140)로부터의 나사산형 지지 부재(215)의 제거를 제공한다. 추가적으로, 커플링 메커니즘(240)은, 중앙 지지 부재(150)가 설치되고 인장되었을 때(tensioned), 현수 피팅(220)과 나사산형 지지 부재(215) 사이의 약간의 이동을 허용하도록 구성된다. 가스 분배 샤워헤드(145)가 프로세싱에서 이용되고, 가스 분배 샤워헤드(145)는 약 상온(예를 들어, 약 25 ℃) 내지 약 350 ℃ 내지 약 450 ℃ 사이의 온도들을 경험하고, 확산기의 재료들에 의존하여, 가스 분배 샤워헤드(145)의 부분들은 프로세스 사이클 동안에 팽창 및 수축을 겪을 수 있다. 가스 분배 샤워헤드(145)가 열 팽창 및 수축으로 인해서 측방향 이동될 수 있음에 따라, 커플링 메커니즘(240)에 의해서 제공되는 간극 공간 또는 "슬롭(slop)"은 나사산형 지지 부재(215)와 현수 피팅(220) 사이의 약간의 이동이 발생되도록 허용한다. 그에 따라, 상기 이동은, 나사산형 지지 부재(215) 상에서 동작할 수 있으며, 나사산형 지지 부재(215)가 파괴 또는 벤딩(bend)되게 하는 전단력들을 방지하거나 최소화한다.
이러한 실시예에서, 제 2 개구부(205B)는 백킹 플레이트(140)의 내부 표면(146) 근처의 팽창된 부분(330)을 포함한다. 가스 분배 샤워헤드(145)가 팽창 및 수축으로 인해서 측방향 이동될 수 있음에 따라, 팽창된 부분(330)은 중앙 지지 부재(150)가 간섭 없이 이동할 수 있게 하기 위한 간극을 허용한다. 그에 따라, 커플링 메커니즘(240) 및 팽창된 부분(330) 중 하나 또는 양자 모두에 의해서, 가스 분배 샤워헤드(145)가 격게 되는 임의의 팽창 또는 수축에 응답하여, 가스 분배 샤워헤드(145)의 부분들의 측방향 이동이 허용된다. 일 실시예에서, 커플링 메커니즘(240) 및/또는 팽창된 부분(330)은 약 0.25 인치 내지 약 0.5 인치의 가스 분배 샤워헤드(145) 부분들의 이동을 허용한다. 도시하지는 않았지만, o-링 또는 벨로우즈들과 같은 밀봉체는 샤프트(230)의 외측 표면과 제 2 개구부(205B)의 표면 사이에 설치되어, 백킹 플레이트(140)에 대한 나사산형 지지 부재(215)의 상대적인 이동을 허용하면서 진공 밀봉을 용이하게 할 수 있다.
이러한 실시예에서, 커플링 메커니즘(240)은 암형(female)의 짝을 이루는 인터페이스로서 구성되는 현수 피팅(220)의 상부 부분을 포함하는 한편, 샤프트(230)의 하부 부분은 수형(male)의 짝을 이루는 인터페이스로서 구성된다. 다른 실시예(도시하지 않음)에서, 샤프트(230)의 하부 부분이 암형의 짝을 이루는 인터페이스를 포함하는 한편, 현수 피팅(220)의 상부 부분이 수형의 짝을 이루는 인터페이스를 포함하도록, 커플링 메커니즘(240)이 반전될 수 있다.
도 3b는 가스 분배 샤워헤드(145) 내에 배치된 현수 피팅(220)의 일 실시예의 확대 단면도이다. 일 실시예에서, 가스 분배 샤워헤드(145)는 상기 가스 분배 샤워헤드(145)의 상부 표면(185A)으로부터 하부 표면(185B)까지 연장하는 제 1 보어(335)를 가지는 복수의 가스 통로들(175)을 포함한다. 제 1 보어(335)는 상기 제 1 보어(335)와 유체적으로 커플링된 적어도 제 2 보어(340)에 커플링된다. 제 2 보어(340)는 원뿔형 또는 원추형(frustum) 형태로 펼쳐질 수 있다. 일부 실시예들에서, 제 1 보어(335) 및 제 2 보어(340)는 제 1 보어(335) 및 제 2 보어(340)의 지름 보다 더 작은 지름을 가지는 제한 오리피스(345)에 의해서 커플링된다.
현수 피팅(220)은 제 1 보어(335)의 지름보다 더 큰 지름을 가지는 확대된 제 2 보어(350) 내에 배치되나 근처의 제 1 보어들(335) 사이의 거리 또는 피치(pitch)보다는 작다. 그에 따라, 벽(352)이 근처의 제 1 보어(335)와 확대된 제 2 보어(350) 사이에서 유지되어, 현수 피팅(220)의 나사산형 부분(354)이 가스 분배 샤워헤드(145) 내에 형성되고 짝을 이루는 나사산들에 커플링되도록 허용한다. 일 양태에서, 현수 피팅(220)의 이용은 가스 분배 샤워헤드(145) 내에서 2가지 타입들의 가스 통로들(175)을 생성하고, 예를 들어, 제 1 타입은 현수 피팅(220)의 존재에 의해서 막히는 타입이고, 제 2 타입은 막히지 않는 타입이다. 그에 따라, 현수 피팅(220)을 포함하지 않는 가스 통로들(175)은 중간 영역(170)으로부터 프로세싱 영역(180)으로의 가스의 막히지 않은 유동을 제공하는 한편, 내부에 현수 피팅(220)이 배치된 가스 통로들(175)을 통한 가스 유동은 현수 피팅(220)의 존재에 의해서 적어도 부분적으로 차단될 수 있다. 현수 피팅(220)이 내부에 배치된 막히는 가스 통로(175)로 가스를 제공하기 위해서, 현수 피팅(220)은 길이방향 보어(355)를 포함한다. 길이방향 보어(355)는 현수 피팅(220)의 길이방향 축선을 따라 또는 그러한 길이방향 축선과 실질적으로 평행하게 형성된다. 중간 영역(170)으로부터 현수 피팅(220)을 통해서 제 2 보어(350)로 그리고 제한 오리피스(345)를 통해서 프로세싱 영역(180)으로 가스들이 유동하도록 허용하기 위해서, 길이방향 보어(355)는 대안적인 가스 통로로서 구성된다. 가스들은 중간 영역(170)으로부터 샤프트(230)를 돌아서 그리고 상기 길이방향 보어(355)와 유체 소통하는 현수 피팅(220) 내에 형성된 갭들(360)(점선으로 도시됨)을 통해서 유동할 수 있다.
도 4a는 나사산형 지지 부재(215)의 일 실시예의 측면도이다. 나사산형 지지 부재(215)는, 일 실시예에서 원형 단면을 포함하는 지지 본체(405)를 포함한다. 지지 본체(405)는 제 1 단부(415)에서 지지 본체(405)로부터 방사상 외측으로 연장하는 하나 또는 둘 이상의 키이 부분들(410) 및 제 1 단부(415)에 대향하는 제 2 단부(420) 상의 나사산형 부분(235)을 포함한다. 제 1 단부(415)의 적어도 일부는 현수 피팅(220) 내로 삽입될 수 있도록 크기가 정해지는 한편(도 2-3b), 나사산형 부분(235)은 지지 너트(225)에 의해서 수용되도록 구성된다(도 2 및 3a). 일 실시예에서, 제 1 단부(415)는, 현수 피팅(220) 내로의 삽입을 용이하게 하기 위한, 베벨 또는 반경부일 수 있는 테이퍼(425)를 포함한다. 제 2 단부(420)는 또한, 지지 너트(225)의 조임 및/또는 풀림 시에 이용되는 나사산형 지지 부재(215)의 회전 제어를 용이하게 하기 위한 툴(tool) 인터페이스(430)를 포함할 수 있다. 툴 인터페이스(430)는 다른 타입들의 스크류 구동 구성들 중에서도 육각형 키이, 정사각형 키이, TORX®렌치에 대해 적응된 암형 개구부일 수 있다.
도 4b는 도 4a의 나사산형 지지 부재(215)의 평면도이다. 키이 부분들(410)은, 현수 피팅(220)의 내부 채널 내에 삽입되고 그리고 그 내부에서 회전되도록 크기가 정해진 외부 지름(435)과 같은 외부 지름을 포함한다.
도 5a는 나사산형 지지 부재(215)가 내부에 배치된 샤프트(230)(점선으로 도시됨)를 가지는 현수 피팅(220)의 일 실시예의 측단면도이다. 현수 피팅(220)은 나사산형 부분(354)까지 테이퍼링되는 일반적으로 원형인 형상을 가지는 지지 본체(505)를 포함한다. 지지 본체(505)는 내부 채널(515)을 포함하는 중앙 개구부(510)를 포함한다. 내부 채널(515)은 중앙 개구부(510)의 표면 및 상기 중앙 개구부(510)의 지름의 일부를 둘러싸는 립(lip)(520)에 의해서 적어도 부분적으로 포함된다. 일 실시예에서, 나사산형 부분(354)은 제 1의 짝을 이루는 메커니즘, 예를 들어, 수형의 짝을 이루는 메커니즘을 포함하는 한편, 중앙 개구부(510) 및 립(520)은 제 2의 짝을 이루는 메커니즘, 예를 들어, 나사산형 지지 부재(215)의 제 1 단부(415)를 수용하도록 구성된 암형의 짝을 이루는 메커니즘을 포함한다. 나사산형 지지 부재(215)의 제 1 단부(415)는 내부 채널(515) 내에서 회전하도록 구성된다. 일 실시예에서, 중앙 개구부(510)를 경계 짓는 립(520)은 나사산형 지지 부재(215)의 키이 부분들(410)을 수용하고 이와 짝을 이루도록 구성된 슬롯형 수용부(receptacle)(555)를 포함한다.
또한, 지지 본체(505)는 적어도 하나의 핀(525)(도 5b에 2개가 도시됨)을 포함하고, 이 핀은 설치 후에 나사산형 지지 부재(215)의 회전을 제한하도록 그리고/또는 나사산형 지지 부재(215)의 키이 부분들(410)에 대한 정지부로서 기능하도록 구성된다. 핀들(525)은 도시된 바와 같이 길이방향으로 배치될 수 있고, 또는 핀들이 현수 피팅(220)의 길이방향 축선에 대해서 수직으로 지지 본체(505)에 커플링될 수 있다. 지지 본체(505)의 중앙은 샤프트(230)의 일부를 수용하도록 구성된 중앙 개구부(510)를 포함한다. 이러한 실시예에서, 나사산형 지지 부재(215)의 샤프트(230)는 고정된(secured) 위치에 있으며, 그에 따라 키이 부분들(410)은 현수 피팅(220)의 립(520)과 접촉하며, 백킹 플레이트(140)로부터의 가스 분배 샤워헤드(145)의 지지를 용이하게 한다(양자 모두 도 1 및 3a에 도시됨). 키이 부분(410)과 유사한 적어도 하나의 피쳐를 포함하는 툴은 또한 중앙 개구부(510) 내로 삽입되어, 현수 피팅(220)을 가스 분배 샤워헤드(145) 상에 설치할 때, 현수 피팅(220)의 회전 및 조임을 용이하게 할 수 있다.
일 실시예에서, 중앙 개구부(510)는 내부 채널(515)로부터 길이방향 보어(355)를 향해서 테이퍼링된 표면(530) 또는 원뿔형 리세스를 포함한다. 테이퍼링된 표면(530)은 현수 피팅(220)에서의 제한(restriction)을 줄이고, 가스들의 관통 유동을 용이하게 하도록 구성된다. 일 실시예에서, 테이퍼링된 표면(530)과 샤프트(230) 아래에 포함되는 부피가 현수 피팅(220) 내의 챔버(535)를 포함한다.
일 실시예에서, 지지 본체(505)는 그 본체의 제 1 단부에서 헤드 부분(540) 및 그 본체의 제 2 단부에서의 나사산형 부분(354)을 포함한다. 헤드 부분(540)은 나사산형 부분(354)의 지름에 비해 더 큰 치수 또는 지름을 포함한다. 일 실시예에서, 헤드 부분(540)은 제 1 지름을 포함하고, 나사산형 부분(354)은 제 1 지름보다 더 작은 제 2 지름을 포함한다. 일 양태에서, 헤드 부분(540) 및 나사산형 부분(354)은, 외부 테이퍼(545)와 같은, 절두원추형 표면에 의해서 커플링된다. 헤드 부분(540)은 중앙 개구부(510) 및 내부 채널(515)을 포함하는 한편, 나사산형 부분(354)은 길이방향 보어(355)를 포함한다. 일 실시예에서, 내부 채널(515)은 테이퍼링된 표면(530)에 의해서 길이방향 보어(355)에 직접적으로 커플링되는 원형 내측 지름(550)을 포함한다. 일 양태에서, 지름이 점진적으로 감소되는 유동 경로는 원형 내측 지름(550), 테이퍼링된 표면(530) 및 길이방향 보어(355) 중 하나 또는 그들의 조합에 의해서 현수 피팅(220) 내에 포함된다.
도 5b는 도 5a에 도시된 현수 피팅(220)의 평면도이다. 키이 부분들(410)은 립(520) 아래에 점선으로 도시되어 있으며, 그에 따라 나사산형 지지 부재(215)는 현수 피팅(220)과 함께 고정된 위치에 있게 된다. 샤프트(230)의 대향 측면들 상의 갭(360)은 현수 피팅(220)의 테이퍼링된 표면(530)의 일부를 노출시키는 것으로 도시되어 있다. 갭(360)은 중간 영역(170)(도 1-3a)으로부터 현수 피팅(220)의 길이방향 보어(355)를 통해서 프로세싱 영역(180)(도 1-3a) 내로 가스들이 유동하도록 허용한다.
도 5c는 도 5b에 도시된 현수 피팅(220)의 평면도이다. 이러한 실시예에서, 샤프트(230)는 제거 위치에서 점선으로 도시되어 있다. 샤프트(230)는 키이 부분들(410)이 립(520)의 대향 측면들 사이의 개구부와 정렬되도록 허용하기 위해서 약 45°회전된다. 키이 부분들(410)이 도 5c에 도시된 바와 같이 정렬될 때, 나사산형 지지 부재(215)는 현수 피팅(220)의 중앙 개구부(510)로부터 제거될 수 있다.
도 6a는 지지 너트(225)의 일 실시예의 측면도이고, 도 6b는 도 6a에 도시된 지지 너트(225)의 평면도이다. 지지 너트(225)는 하부 표면(615)과 상부 표면(620) 사이에 형성된 관통-홀(610) 및 본체(605)를 포함한다. 관통-홀(610)은, 나사산형 지지 부재(215)의 나사산형 부분(235) 상에 형성된 나사산들을 수용하도록 구성된 관통-홀(610)의 길이를 따라서 형성된 나사산들(625)을 포함한다. 지지 너트(225)는, 지지 너트(225)의 하부 표면(615)과 백킹 플레이트(140)의 상부 표면(245) 사이의 접촉이 이루어진 후에, 가스 분배 샤워헤드(145)의 지지 및/또는 조정을 용이하게 하기 위해서 나사산형 부분(235)에 대해서 회전되도록 구성된다. 지지 너트(225)의 회전은 지지 너트(225)의 본체(605)에 커플링되도록 구성된 툴 또는 렌치 및/또는 손으로 이루어질 수 있다.
일 실시예에서, 본체(605)는 외부 지름(630)을 가지는 환형 부재로서 구성된다. 이러한 실시예에서, 지지 너트(225)는 본체(605) 내에 형성된 적어도 2개의 구멍들(635)을 포함한다. 적어도 2개의 구멍들(635)이 스패너 렌치와 같은 툴(도시하지 않음)에 대한 커플링 지점을 제공하도록 구성된다. 다른 실시예에서, 육각형 렌치 또는 소켓-타입 툴과 같은 툴에 대한 커플링을 용이하게 하기 위해서, 본체(605)의 외부 표면은 적어도 2개의 편평형 부분들, 예를 들어 6개의 편평부들(640)(점선으로 도시됨)을 포함한다.
도 7은 백킹 플레이트(140)의 일 실시예의 평면도이다. 이러한 실시예에서, 중앙 구역(700)은 백킹 플레이트(140) 상에 도시되어 있고, 중앙 지지 부재들(150)의 패턴은 중앙 구역(700) 내에 도시되어 있다. 중앙 구역(700)은 가스 분배 샤워헤드(145)에 대한 지지 지점으로서 결정된 가스 분배 샤워헤드(145) 및 백킹 플레이트(140)의 임의의 중앙에 위치된 구역일 수 있다. 본 도면에 도시되지 않은 가스 분배 샤워헤드(145)는 통상적으로 백킹 플레이트(140)의 아래에 위치되며, 백킹 플레이트(140)의 치수들과 실질적으로 동일한 치수들을 가진다. 그에 따라, 가스 분배 샤워헤드(145)는, 백킹 플레이트(140) 내에 도시된 엘리먼트들 중 임의의 엘리먼트가 가스 분배 샤워헤드(145)와 짝을 이루도록 허용할 수 있는 상응하는 중앙 구역(700)을 가진다.
비록 이러한 실시예에서 12개의 중앙 지지 부재들(150)은 대칭적인 패턴으로 도시되어 있지만, 복수의 중앙 지지 부재들(150)이 백킹 플레이트(140)의 중앙 구역(700) 내에서 임의의 패턴, 수, 및 크기를 가질 수 있다. 또한, 제 1 개구부(205A)는 가스 분배 샤워헤드(145)로 공급하기 위한 가스 유입구(160)를 수용하도록 구성된 백킹 플레이트(140) 내에 도시되어 있다. 대안적인 가스 통로(705)가 또한 도시되어 있으며, 가스 분배 샤워헤드(145)로 프로세스 가스들을 제공하기 위해서 단독으로 또는 가스 유입구(160)와 조합하여 사용될 수 있다. 백킹 플레이트(140)를 통해서 가스들을 전달하기 위해서 부가적인 가스 통로들이 또한 이용될 수 있다.
도 8은 가스 분배 샤워헤드(845)의 다른 실시예를 가지는 챔버(100)의 개략적인 측단면도이다. 가스 분배 샤워헤드(845)는, 가스 분배 샤워헤드(845)의 본체 내에 형성된 내부 가스 우회(by-pass) 홀들(805A) 및 외부 가스 우회 홀들(805B)을 제외하고, 도 1에 도시된 가스 분배 샤워헤드(145)와 실질적으로 동일하다. 가스 우회 홀들(805A, 805B)은 중앙 지지 부재(150)에 의해서 막힌 가스 통로들(175)로 가스 유동을 제공하기 위한 대안적인 가스 통로들로서 구성된다. 가스 우회 홀들(805A, 805B)은, 백킹 플레이트(140)와 가스 분배 샤워헤드(845) 사이에 정의된 중간 영역(170)으로부터 커플링 메커니즘들(240) 근처의 가스 분배 샤워헤드(845) 내에 형성된 하나 또는 둘 이상의 가스 통로들(175)로 가스를 제공하기 위해서 가스 분배 샤워헤드(845)의 본체 내에 형성된 경사지고 측방향으로 배향된 도관들이다. 예를 들어, 가스 우회 홀들(805A, 805B)은 가스 통로들(175)의 중심선에 대해서 예각으로 경사질 수 있다. 가스 분배 샤워헤드(845)는 복수의 내부 가스 우회 홀들(805A), 복수의 외부 가스 우회 홀들(805B), 또는 이들의 조합을 포함할 수 있다.
도 9는 도 8의 가스 분배 샤워헤드(845)의 일부의 확대도이다. 이러한 실시예에서, 복수의 가스 통로들(175) 중 하나 내에 배치된 현수 피팅(220)은 도 3b 및 5a에 도시된 바와 같은 길이방향 보어(355)를 포함하지 않으며, 그에 의해서 막힌 가스 통로(905)를 형성한다. 막힌 가스 통로(905)의 위치 아래의 영역들에서 프로세싱 영역(180)으로 가스 유동을 제공하기 위해서 가스 우회 홀들(805A, 805B) 중 하나 또는 양자 모두가 이용된다. 중앙 지지 부재(150), 구체적으로 현수 피팅(220)에 의해서 적어도 부분적으로 차단된 가스 분배 샤워헤드(845)의 구역들 내에서 가스 분배 샤워헤드(845)를 통한 가스의 유동을 제공하기 위해서 가스 우회 홀들(805A, 805B)이 이용된다. 가스 우회 홀들(805A, 805B)은 가스 분배 샤워헤드(845)의 표면(900)의 평면에 대해서 각도를 이루어 배치된다. 일 실시예에서, 상기 각도는 약 30 도 내지 약 60도, 예를 들어, 약 40도 내지 약 50도, 예를 들어 약 45도이다. 가스 우회 홀들(805A, 805B)은 또한 하나 또는 둘 이상의 근처의 제 1 보어들(335)을 통과하고, 이는 가스 우회 홀들(805A, 805B)이 내부에 배치된 제 1 보어들(335)에 대한 강화된 가스 유동 또는 전도(conductance)를 제공한다. 가스 우회 홀들(805A, 805B)은 제 1 보어들(335)의 지름과 실질적으로 동일한 지름을 포함하고, 그에 따라 충분한 가스 유동이 제 1 보어(335)로 제공된다. 또한, 가스 우회 홀들(805A, 805B)은 막힌 가스 통로(905)의 확대된 제 2 보어(350) 사이의 영역에서 제한 오리피스(345)의 상류 위치에서 종료된다. 제한 오리피스(345)의 상류 위치에서 가스 우회 홀들(805A, 805B)이 종료되는 것은, 제한 오리피스(345)에 의한 막힌 가스 통로(905) 근처의 가스 통로들(175)로의 가스 유동의 제어와 유사하게, 막힌 가스 통로(905)로의 가스 유동이 제한 오리피스(345)에 의해서 주로 제어되도록 허용한다.
도 10은 가스 분배 샤워헤드(845)의 일 실시예의 일부의 개략적인 하면도이다. 가스 분배 샤워헤드(845)는 상기 가스 분배 샤워헤드(845)의 본체(1000) 내에서 점선으로 도시된 복수의 내부 가스 우회 홀들(805A) 및 외부 가스 우회 홀들(805B)을 포함한다. 가스 우회 홀들(805A, 805B)과 교차하는 확대된 제 2 보어(350)는 도 10에 도시되어 있지 않다. 가스 우회 홀들(805A, 805B)이 가스 분배 샤워헤드(845) 내에서 실질적으로 대칭인 패턴으로 형성되어, 막힌 가스 통로(905)로의 대칭적인 가스 유동을 제공할 수 있다(도 9에 도시됨). 대칭적인 패턴은 도시된 패턴에 제한되지 않는다. 내부 가스 우회 홀들(805A)은 단독으로 또는 외부 가스 우회 홀들(805B)과 함께 이용될 수 있다. 마찬가지로, 외부 가스 우회 홀들(805B)은 단독으로 또는 내부 가스 우회 홀들(805A)과 함께 이용될 수 있다. 내부 가스 우회 홀(805A) 및 외부 가스 우회 홀(805B)은 각각의 현수 피팅(220)에 상응하게 도시되어 있지만, 현수 피팅(220) 마다의 가스 우회 홀들(805A, 805B)의 수는 현수 피팅(220)당 하나 미만일 수 있다. 일 실시예에서, 복수의 내부 가스 우회 홀들(805A)은 각각의 현수 피팅(220)과 교번할 수 있다. 대안적으로 또는 부가적으로, 복수의 외부 가스 우회 홀들(805B)은 복수의 내부 가스 우회 홀들(805A) 및/또는 각각의 현수 피팅(220)과 교번할 수 있다.
도 11a 및 도 11b는 각각, 가스 분배 샤워헤드(1100A 및 1100B)의 실시예들의 개략적인 부분 단면도들이다. 도 11a는 백킹 플레이트(140)에 대해서 오목한 수평적인 프로파일에서의 가스 분배 샤워헤드(1100A) 및 백킹 플레이트(140)의 중앙 구역(700)을 도시한다. 그에 따라, 이러한 실시예에서, 가스 분배 샤워헤드(1100A)의 하부 표면(185B)은 백킹 플레이트(140)의 수평 배향에 대해서 비-평행형 또는 접시형이다. 일 실시예에서, 제 1 공간 갭(G')은 가스 분배 샤워헤드(1100A)의 중앙 구역(700) 내의 상부 표면(185A)과 백킹 플레이트(140)의 내부 표면(146) 사이에 포함되는 한편, 제 2 공간 갭(G")은 가스 분배 샤워헤드(1100A)의 둘레 내의 상부 표면(185A)과 백킹 플레이트(140)의 내부 표면(146) 사이에 포함된다.
제 1 공간 갭(G')은 중앙 지지 부재들(150)(도 8a 및 8b에는 도시되지 않음) 중 하나 또는 둘 이상을 조정함으로써 조정될 수 있다. 가스 분배 샤워헤드(1100A 및 1100B)의 수평적인 프로파일들을 제어하기 위해서, 가스 분배 샤워헤드(1100A 및 1100B)를 백킹 플레이트(140)로부터 멀리 밀어내거나 또는 가스 분배 샤워헤드(1100A 및 1100B)를 백킹 플레이트(140)를 향해서 끌어당기기 위하여 중앙 지지 부재(150)의 조정이 이용될 수 있다. 제 1 공간 갭(G') 및 제 2 공간 갭(G")이 실질적으로 동일하게 또는 상이하게 유지되거나 조정될 수 있다. 일례에서, 제 1 공간 갭(G') 및 제 2 공간 갭(G")은 실질적으로 동일하다. 다른 예에서, 제 1 공간 갭(G')은 제 2 공간 갭(G') 보다 작을 수 있다. 일 실시예에서, 가스 통로들(175)은, 가스 분배 샤워헤드(1100A)의 중앙에서의 가스 통로들(175)에 대해서 가스 분배 샤워헤드(1100A)의 둘레에서 더 긴 길이를 가진다. 일 양태에서, 각각의 가스 통로들(175)의 펼쳐진 보어들은 가스 분배 샤워헤드(1100A)의 중앙에서 더 긴 길이를 포함하고, 그 길이는 가스 분배 샤워헤드(1100A)의 중앙으로부터 가스 분배 샤워헤드(1100A)의 둘레까지 점진적으로 증가된다.
도 11b는 백킹 플레이트(140)에 대해서 볼록한 수평적인 프로파일에서의 가스 분배 샤워헤드(1100B) 및 백킹 플레이트(140)의 중앙 구역(700)을 도시한다. 도시되지 않지만, 제 1 공간 갭(G') 및 제 2 공간 갭(G")은 가스 분배 샤워헤드(1100B)의 상부 표면(185A)과 백킹 플레이트(140)의 내부 표면(146) 사이에 포함된다. 제 1 공간 갭(G') 및 제 2 공간 갭(G")은 도 11a를 참조하여 설명된 바와 같이 유지되거나 조정될 수 있다. 일 실시예에서, 제 1 공간 갭(G') 및 제 2 공간 갭(G")은 실질적으로 동일하다. 다른 예에서, 제 2 공간 갭(G')은 제 1 공간 갭(G')보다 더 작을 수 있다. 일 실시예에서, 가스 통로들(175)은, 가스 분배 샤워헤드(1100B)의 둘레에서의 가스 통로들(175)에 대해서, 가스 분배 샤워헤드(1100B)의 중앙에서 보다 더 긴 길이를 가진다. 일 양태에서, 각각의 가스 통로들(175)의 펼쳐진 보어들은 가스 분배 샤워헤드(1100B)의 중앙에서 더 긴 길이를 포함하고, 그 길이는 가스 분배 샤워헤드(1100B)의 중앙으로부터 가스 분배 샤워헤드(1100B)의 둘레까지 점진적으로 감소된다.
중앙 지지 부재들(150)을 설치하는 방법은 도 3a-5b를 참조하여 설명될 것이다. 현수 피팅(220)은 가스 분배 샤워헤드(145) 내의 암형 나사산들 내로 삽입된다. 나사산형 지지 부재(215)는 백킹 플레이트(140) 내의 제 2 개구부(205B) 내로 삽입되고, 키이 부분들(410)은 현수 피팅(220) 내에 형성된 중앙 개구부(510) 내에 결합된다. 나사산형 지지 부재(215)는, 현수 피팅(220) 내의 핀(들)(525)과 접촉하는 키이 부분들(410)에 의해서 결정되는 현수 피팅(220) 내의 록킹된 위치로 약 45°회전된다. 지지 너트(225)는 나사산형 부분(235) 상에 결합될 수 있으며, 백킹 플레이트(140)의 상부 표면(245) 근처의 표면과 접촉할 때까지 회전될 수 있다.
백킹 플레이트(140)의 상부 표면(245) 근처의 표면에 대하여 위치되는 지지 너트(225)의 상대적인 위치는 가스 분배 샤워헤드(145)의 수평적인 프로파일을 제어하기 위해서 이용될 수 있다. 일 실시예에서, 백킹 플레이트(140)의 내부 표면(146)과 가스 분배 샤워헤드(145)의 상부 표면(185A) 사이의 공간 갭을 유지하기 위해서, 중앙 지지 부재(150)의 지지 너트(225)와 백킹 플레이트(140)의 상부 표면(245) 사이의 접촉이 이용될 수 있다. 만약 사용자가 가스 분배 샤워헤드(145)의 중앙 구역의 상승을 원하거나 또는 의도된 프로세스상 타당하다면(warrant), 지지 너트(225)는 백킹 플레이트(140)에 대해서 가스 분배 샤워헤드(145)의 수평적인 프로파일을 변경하고 그리고/또는 중앙 구역을 상승시키도록, 추가적으로 회전될 수 있다. 그에 따라, 백킹 플레이트(140)의 내부 표면(146)과 가스 분배 샤워헤드(145)의 상부 표면(185A) 사이의 공간 갭은 필요에 따라 조정될 수 있다. 추가적으로, 중앙 지지 부재(150)의 지지 너트(225)와 백킹 플레이트(140)의 상부 표면(245) 사이의 접촉에 의해서 프로세싱 동안에 조정된 공간 갭이 유지될 수 있다.
가스 분배 샤워헤드(145)의 프로파일을 조정하는 상기 방법은 진공 조건들하에서 또는 대기압 조건들에서 수행되고, 모니터링되고, 그리고 조정될 수 있다. 모든 조정들이 이루어졌을 때 그리고 가스 분배 샤워헤드(145)가 희망하는 수평적인 프로파일을 나타낼 때, 또는 알고 있는(known) 변형들을 예상하기 위한 미리 결정된 수평적인 프로파일에 있을 때, 캡(250)이 설치될 수 있다.
예들
기판 상의 이산화실리콘(SiO2)의 필름 두께를 결정하기 위해서 테스트들을 실시하였다. 제어 테스트들은 본원에서 기술된 챔버(100)와 유사한 챔버를 이용하여 수행되었다. 제어 테스트들은, 가스 분배 샤워헤드의 가스 통로들(175)이 중앙 지지 부재를 위해서 희생된 곳에서 가스 분배 샤워헤드와 백킹 플레이트 사이에 커플링된 지지 부재들을 가지는 가스 분배 샤워헤드를 이용하였다. 제어 테스트들은 지지 지점들로서 사용하기 위해서 희생된 가스 통로들(175)의 위치들 아래의 기판 상의 위치들에서 기판 상의 증착물의 2-차원적인 맵핑을 포함하였다. 지지 지점들을 위해서 희생된 가스 통로들(175) 아래의 기판의 구역들 상의 평균 필름 두께는 중앙 지지 부재들 아래에 있지 않은 기판의 구역들 상의 평균 필름 두께보다 약 2.8% 더 얇았다. 그에 따라, 지지 부재들의 패턴은 기판상에서 인지될 수 있었다.
본원에서 기술된 바와 같은 길이방향 보어(355)를 가지는 현수 피팅(220)을 이용하는 중앙 지지 부재들(150)을 가지는 가스 분배 샤워헤드(145)를 이용하는 다른 테스트들이 또한 수행되었다. 테스트들은 중앙 지지 부재들(150)의 위치들 아래의 장소들에서의 기판 상의 SiO2 필름 두께의 2-차원적인 맵핑을 포함하였다. 중앙 지지 부재들(150) 아래의 기판의 구역들은, 중앙 지지 부재들(150) 아래가 아닌 기판의 구역들 상의 필름 두께와 실질적으로 동일한 평균 SiO2 필름 두께를 나타냈다. 그에 따라, 본원에 기재된 바와 같이 길이방향 보어(355)를 가지는 현수 피팅(220)을 이용하는 중앙 지지 부재들(150)은 기판의 표면에 걸친 필름 두께 균일성을 개선하였다.
추가적인 테스트들은, 0.10" 지름, 0.12" 지름 및 0.14" 지름을 가지는 제 1 보어들을 가지는 스크류 디바이스들(220)을 이용하는 것이 증가된 필름 두께를 초래하였으나 기판 상에서 패턴들이 검출되는 곳에서 필름 두께가 변경되었다는 것을 보여주었다. 약 0.14"의 지름을 가지는 길이방향 보어(355)를 가지는 현수 피팅(220)의 테스팅은 기판 상에 인지가능한 패턴이 없다는 것을 보여 주었다.
기판과 가스 분배 샤워헤드 사이의 프로세싱 공간을 유지하는 그리고 가스 분배 샤워헤드를 통한 가스 유동과 간섭하지 않는, 가스 분배 샤워헤드를 지지하기 위한 장치 및 방법이 기술된다. 이 장치는 가스 분배 샤워헤드(145)의 단면적인 곡률 또는 수평적인 프로파일을 유지하는 그리고/또는 그 조작을 제공하는 하나 또는 둘 이상의 중앙 지지 부재들(150)을 포함한다. 가스 분배 샤워헤드(145)는 평면형, 오목형 또는 볼록형 중 하나인 수평적인 프로파일을 제공하도록 조작될 수 있다. 가스 분배 샤워헤드(145)의 수평적인 프로파일은 챔버 내의 백킹 플레이트(140) 및/또는 기판 지지부(120)에 대해서 조정될 수 있다. 본원에 기술된 바와 같은 중앙 지지 부재들(150)의 실시예들은 또한 가스 유동과 간섭하지 않으며, 그에 의해서 중앙 지지 부재들(150) 근처의 기판의 위치들에서 증착을 용이하게 한다.
전술한 내용들은 본원 발명의 실시예들에 관한 것이지만, 본원 발명의 다른 그리고 추가적인 실시예들은 본원 발명의 기본적인 범위로부터 벗어나지 않고도 고안될 수 있고, 본원 발명의 범위는 이하의 청구항들에 의해서 결정된다.

Claims (17)

  1. 진공 챔버를 위한 가스 분배 샤워헤드로서:
    제 1 측면과 상기 제 1 측면에 대향하는 제 2 측면, 및 본체를 통해서 길이 방향으로 형성된 복수의 개구들을 가지는 본체 ― 복수의 개구들 각각은 제한 오리피스(restricting orifice)에 의해서 상기 제 2 측면 내에 형성된 제 2 보어(bore)에 유체적으로 커플링된, 제 1 측면 내에 형성된 제 1 보어를 포함함 ― ;
    상기 본체를 통해서 형성된 제 1 가스 통로 ― 상기 제 1 가스 통로는 상기 제 1 측면으로부터 상기 복수의 개구들의 길이 방향에 대하여 경사진 각도로 연장하며, 상기 복수의 개구들의 제 1 보어들 중 하나 이상과 교차함(intersect) ― ; 및
    상기 본체를 통해서 형성된 제 2 가스 통로 ― 상기 제 2 가스 통로는 상기 제 1 측면으로부터 상기 복수의 개구들의 길이 방향에 대하여 경사진 각도로 연장하며, 상기 복수의 개구들의 제 1 보어들 중 하나와 교차함 ― ;를 포함하는,
    가스 분배 샤워헤드.
  2. 제 1 항에 있어서,
    상기 제 1 보어들 중 하나의 일부는 상기 가스 분배 샤워헤드를 위한 현수 피쳐(suspension feature)를 포함하는,
    가스 분배 샤워헤드.
  3. 제 2 항에 있어서,
    상기 현수 피쳐는 암형의 짝을 이루는(female mating) 인터페이스를 포함하는,
    가스 분배 샤워헤드.
  4. 제 3 항에 있어서,
    상기 제 1 가스 통로 또는 상기 제 2 가스 통로 각각은 상기 복수의 개구들의 다른 제 1 보어들 근처에 배치된 상기 가스 분배 샤워헤드의 상기 본체 내에 형성된 측방향 배향 보어를 포함하는,
    가스 분배 샤워헤드.
  5. 제 4 항에 있어서,
    상기 측방향 배향 보어는 복수의 개구들의 길이 방향에 대하여 방사 방향으로 형성되는,
    가스 분배 샤워헤드.
  6. 제 4 항에 있어서,
    상기 측방향 배향 보어는 상기 복수의 개구들의 하나 또는 둘 이상의 근처의 제 1 보어들을 적어도 부분적으로 통해서 연장하는,
    가스 분배 샤워헤드.
  7. 제 4 항에 있어서,
    상기 측방향 배향 보어는 상기 제한 오리피스의 상류 위치에서 종료하는,
    가스 분배 샤워헤드.
  8. 제 4 항에 있어서,
    상기 측방향 배향 보어의 직경은 상기 복수의 개구들의 다른 제 1 보어의 직경과 동일한,
    가스 분배 샤워헤드.
  9. 제 4 항에 있어서,
    상기 제 1 가스 통로 및 상기 제 2 가스 통로는 상기 제 1 보어들 중 하나에 대해 대칭으로 위치되는,
    가스 분배 샤워헤드.
  10. 진공 챔버를 위한 가스 분배 샤워헤드로서:
    제 1 측면 및 상기 제 1 측면에 대향하는 제 2 측면을 가지는 본체 ― 상기 본체는 상기 제 1 측면과 상기 제 2 측면 사이에 형성된 복수의 제 1 개구들을 가지고, 상기 복수의 제 1 개구들 각각은 제한 오리피스에 의해서 상기 제 2 측면 내에 형성된 제 2 보어에 유체적으로 커플링된, 상기 제 1 측면 내에 형성되는 제 1 보어를 가짐 ― ; 및
    상기 복수의 제 1 개구들의 개구들 중 하나를 둘러싸는 복수의 제 2 개구들 ― 복수의 제 2 개구들 각각은, 상기 제 1 측면으로부터 상기 복수의 제 1 개구들의 개구들 중 하나의 길이 방향에 대해 각도를 가지고 상기 본체를 통해서 형성되고, 상기 개구들 중 하나와 교차하도록 상기 본체 내에서 종료함 ―;을 포함하는,
    가스 분배 샤워헤드.
  11. 제 10 항에 있어서,
    상기 복수의 제 2 개구들 각각은 측방향 배향 보어를 포함하는,
    가스 분배 샤워헤드.
  12. 제 11 항에 있어서,
    상기 측방향 배향 보어는 상기 가스 분배 샤워헤드의 표면에 의해 정의된 수평면에 대해 각도를 가지고 형성되는,
    가스 분배 샤워헤드.
  13. 제 12 항에 있어서,
    상기 측방향 배향 보어는 상기 복수의 제 1 개구들의 하나 또는 둘 이상의 근처의 제 1 보어들을 적어도 부분적으로 통해서 연장하는,
    가스 분배 샤워헤드.
  14. 제 12 항에 있어서,
    상기 측방향 배향 보어는 상기 제한 오리피스의 상류 위치에서 종료하는,
    가스 분배 샤워헤드.
  15. 제 11 항에 있어서,
    상기 측방향 배향 보어는 상기 복수의 제 1 개구들의 하나 또는 둘 이상의 제 1 보어들을 적어도 부분적으로 통해서 연장하는,
    가스 분배 샤워헤드.
  16. 제 4 항에 있어서,
    상기 측방향 배향 보어는 상기 복수의 개구들의 길이 방향에 대해 각도를 가지고 형성되며, 상기 각도는 30 내지 60도인,
    가스 분배 샤워헤드.
  17. 제 12 항에 있어서,
    상기 측방향 배향 보어의 직경은 상기 개구들 중 하나의 직경과 동일한,
    가스 분배 샤워헤드.
KR1020127031363A 2010-07-28 2011-07-08 개선된 가스 흐름을 위한 샤워헤드 지지 구조물 KR101831667B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US36860110P 2010-07-28 2010-07-28
US61/368,601 2010-07-28
US13/163,241 US8721791B2 (en) 2010-07-28 2011-06-17 Showerhead support structure for improved gas flow
US13/163,241 2011-06-17
PCT/US2011/043358 WO2012015578A1 (en) 2010-07-28 2011-07-08 Showerhead support structure for improved gas flow

Publications (2)

Publication Number Publication Date
KR20130115083A KR20130115083A (ko) 2013-10-21
KR101831667B1 true KR101831667B1 (ko) 2018-02-23

Family

ID=45526996

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020127031363A KR101831667B1 (ko) 2010-07-28 2011-07-08 개선된 가스 흐름을 위한 샤워헤드 지지 구조물

Country Status (6)

Country Link
US (2) US8721791B2 (ko)
JP (2) JP5937591B2 (ko)
KR (1) KR101831667B1 (ko)
CN (2) CN105463409B (ko)
TW (1) TWI523079B (ko)
WO (1) WO2012015578A1 (ko)

Families Citing this family (347)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050223986A1 (en) * 2004-04-12 2005-10-13 Choi Soo Y Gas diffusion shower head design for large area plasma enhanced chemical vapor deposition
US8083853B2 (en) * 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
TWI385272B (zh) * 2009-09-25 2013-02-11 Ind Tech Res Inst 氣體分佈板及其裝置
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
DE102011056589A1 (de) * 2011-07-12 2013-01-17 Aixtron Se Gaseinlassorgan eines CVD-Reaktors
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
KR101935881B1 (ko) * 2012-04-26 2019-01-08 주성엔지니어링(주) 대면적 기판처리장치, 대면적 가스공급장치 및 샤워 헤드 지지유닛
US9267205B1 (en) * 2012-05-30 2016-02-23 Alta Devices, Inc. Fastener system for supporting a liner plate in a gas showerhead reactor
CN104471678B (zh) * 2012-07-27 2018-06-29 应用材料公司 用于输送工艺气体至基板的方法和设备
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10316409B2 (en) 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
TWI627305B (zh) * 2013-03-15 2018-06-21 應用材料股份有限公司 用於轉盤處理室之具有剛性板的大氣蓋
US9677176B2 (en) * 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US20150345019A1 (en) * 2014-05-30 2015-12-03 Applied Materials, Inc. Method and apparatus for improving gas flow in a substrate processing chamber
US10858737B2 (en) * 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
DE102015118765A1 (de) * 2014-11-20 2016-06-09 Aixtron Se Vorrichtung zum Beschichten eines großflächigen Substrats
DE102015110440A1 (de) * 2014-11-20 2016-05-25 Aixtron Se CVD- oder PVD-Reaktor zum Beschichten großflächiger Substrate
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
DE102015101461A1 (de) * 2015-02-02 2016-08-04 Aixtron Se Vorrichtung zum Beschichten eines großflächigen Substrats
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11384432B2 (en) 2015-04-22 2022-07-12 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US9406536B1 (en) * 2015-06-29 2016-08-02 Hermes-Epitek Corp. Method and system for manufacturing semiconductor epitaxy structure
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
JP2018528616A (ja) * 2015-09-22 2018-09-27 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated シャワーヘッド支持構造
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
WO2017074700A1 (en) * 2015-10-26 2017-05-04 Applied Materials, Inc. High productivity pecvd tool for wafer processing of semiconductor manufacturing
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
KR102619029B1 (ko) * 2016-09-05 2023-12-28 주성엔지니어링(주) 기판 처리장치
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10403476B2 (en) 2016-11-09 2019-09-03 Lam Research Corporation Active showerhead
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR102269479B1 (ko) * 2016-12-08 2021-06-24 어플라이드 머티어리얼스, 인코포레이티드 시간적 원자 층 증착 프로세싱 챔버
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR101855654B1 (ko) * 2016-12-23 2018-05-08 주식회사 테스 대면적 샤워헤드 어셈블리
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11955362B2 (en) * 2017-09-13 2024-04-09 Applied Materials, Inc. Substrate support for reduced damage substrate backside
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US11538666B2 (en) * 2017-11-15 2022-12-27 Lam Research Corporation Multi-zone cooling of plasma heated window
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US11139149B2 (en) * 2017-11-29 2021-10-05 Taiwan Semiconductor Manufacturing Co., Ltd. Gas injector
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
JP2021505766A (ja) 2017-12-08 2021-02-18 ラム リサーチ コーポレーションLam Research Corporation 遠隔プラズマ膜蒸着を可能にするためにラジカルおよび前駆体ガスを下流チャンバに供給するための改良された孔パターンを備える統合シャワーヘッド
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
JP2021522687A (ja) * 2018-05-03 2021-08-30 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 流量分布調節のための万能調整可能遮蔽板
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US20190348261A1 (en) * 2018-05-09 2019-11-14 Asm Ip Holding B.V. Apparatus for use with hydrogen radicals and method of using same
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
WO2019244790A1 (ja) * 2018-06-20 2019-12-26 株式会社アルバック 真空処理装置、支持シャフト
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112368796B (zh) * 2018-06-28 2024-05-03 应用材料公司 用于真空腔室的部件、真空腔室和制造排气孔的方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US10883174B2 (en) * 2018-11-27 2021-01-05 Applied Materials, Inc. Gas diffuser mounting plate for reduced particle generation
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202115815A (zh) * 2019-10-04 2021-04-16 美商應用材料股份有限公司 用於易碎板以防止破裂的氣體分配組件安裝
KR20210041354A (ko) 2019-10-07 2021-04-15 삼성전자주식회사 가스 공급 유닛 및 이를 포함하는 기판 처리 장치
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
TW202125573A (zh) 2019-11-16 2021-07-01 美商應用材料股份有限公司 具有嵌入式螺帽的噴淋頭
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
KR102618455B1 (ko) * 2019-12-02 2023-12-27 주식회사 원익아이피에스 샤워헤드조립체 및 이를 포함하는 기판처리장치
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146691A (zh) * 2020-02-13 2021-12-16 荷蘭商Asm Ip私人控股有限公司 氣體分配總成、噴淋板總成、及調整至反應室之氣體的傳導率之方法
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US20220049355A1 (en) * 2020-08-14 2022-02-17 Changxin Memory Technologies, Inc. Spray head, chemical vapor deposition device, and working method of chemical vapor deposition device
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US20220108891A1 (en) * 2020-10-06 2022-04-07 Applied Materials, Inc. Modular zone control for a processing chamber
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
KR102371435B1 (ko) * 2021-05-03 2022-03-08 주식회사 기가레인 샤워 헤드
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
KR102612876B1 (ko) * 2021-12-21 2023-12-12 주식회사 테스 샤워헤드 어셈블리

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050133161A1 (en) * 2002-07-08 2005-06-23 Carpenter Craig M. Apparatus and method for depositing materials onto microelectronic workpieces
JP2007123840A (ja) 2005-09-02 2007-05-17 Applied Materials Inc プロセスチャンバ内のシャワーヘッド用サスペンション

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04294478A (ja) 1991-03-22 1992-10-19 Hitachi Maxell Ltd Icカードリーダライタ
US5792269A (en) * 1995-10-31 1998-08-11 Applied Materials, Inc. Gas distribution for CVD systems
US6148761A (en) 1998-06-16 2000-11-21 Applied Materials, Inc. Dual channel gas distribution plate
US6477980B1 (en) 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US6772827B2 (en) 2000-01-20 2004-08-10 Applied Materials, Inc. Suspended gas distribution manifold for plasma chamber
US6797639B2 (en) * 2000-11-01 2004-09-28 Applied Materials Inc. Dielectric etch chamber with expanded process window
JP2003332314A (ja) * 2002-05-14 2003-11-21 Tokyo Electron Ltd プラズマ処理装置用電極及びプラズマ処理装置
US6942753B2 (en) * 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
US20050109276A1 (en) * 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US7429410B2 (en) * 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support
TWI287279B (en) * 2004-09-20 2007-09-21 Applied Materials Inc Diffuser gravity support
US20060288934A1 (en) * 2005-06-22 2006-12-28 Tokyo Electron Limited Electrode assembly and plasma processing apparatus
US7641762B2 (en) * 2005-09-02 2010-01-05 Applied Materials, Inc. Gas sealing skirt for suspended showerhead in process chamber
US7776178B2 (en) * 2006-10-25 2010-08-17 Applied Materials, Inc. Suspension for showerhead in process chamber
US20080317973A1 (en) * 2007-06-22 2008-12-25 White John M Diffuser support
US9714465B2 (en) * 2008-12-01 2017-07-25 Applied Materials, Inc. Gas distribution blocker apparatus

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050133161A1 (en) * 2002-07-08 2005-06-23 Carpenter Craig M. Apparatus and method for depositing materials onto microelectronic workpieces
JP2007123840A (ja) 2005-09-02 2007-05-17 Applied Materials Inc プロセスチャンバ内のシャワーヘッド用サスペンション

Also Published As

Publication number Publication date
US8721791B2 (en) 2014-05-13
US20140246521A1 (en) 2014-09-04
US20120027918A1 (en) 2012-02-02
KR20130115083A (ko) 2013-10-21
WO2012015578A1 (en) 2012-02-02
CN102933743A (zh) 2013-02-13
JP2013533388A (ja) 2013-08-22
CN105463409B (zh) 2018-06-12
CN102933743B (zh) 2015-12-16
TW201207905A (en) 2012-02-16
CN105463409A (zh) 2016-04-06
JP5937591B2 (ja) 2016-06-22
JP2016211075A (ja) 2016-12-15
TWI523079B (zh) 2016-02-21
JP6466364B2 (ja) 2019-02-06
US10087524B2 (en) 2018-10-02

Similar Documents

Publication Publication Date Title
KR101831667B1 (ko) 개선된 가스 흐름을 위한 샤워헤드 지지 구조물
KR101929192B1 (ko) 샤워헤드 지지 구조들
US20210254216A1 (en) Gas distribution assembly and method of using same
US7429410B2 (en) Diffuser gravity support
JP2023055713A (ja) 粒子発生を低減するためのガスディフューザー取付板
JP7244623B2 (ja) 粒子生成を低減するためのガスディフューザー支持構造
KR20100071604A (ko) 분사각도의 조절이 가능한 분사노즐을 가지는 고밀도 플라즈마 화학기상증착장치
US20220136107A1 (en) Showerhead with configurable gas outlets

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant