CN102933743A - 改善气流的喷头支撑结构 - Google Patents

改善气流的喷头支撑结构 Download PDF

Info

Publication number
CN102933743A
CN102933743A CN2011800267341A CN201180026734A CN102933743A CN 102933743 A CN102933743 A CN 102933743A CN 2011800267341 A CN2011800267341 A CN 2011800267341A CN 201180026734 A CN201180026734 A CN 201180026734A CN 102933743 A CN102933743 A CN 102933743A
Authority
CN
China
Prior art keywords
gas distribution
distribution showerhead
hole
gas
suspends
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2011800267341A
Other languages
English (en)
Other versions
CN102933743B (zh
Inventor
R·L·蒂纳
崔寿永
王群华
J·J·陈
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to CN201510891705.1A priority Critical patent/CN105463409B/zh
Publication of CN102933743A publication Critical patent/CN102933743A/zh
Application granted granted Critical
Publication of CN102933743B publication Critical patent/CN102933743B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05BSPRAYING APPARATUS; ATOMISING APPARATUS; NOZZLES
    • B05B1/00Nozzles, spray heads or other outlets, with or without auxiliary devices such as valves, heating means
    • B05B1/005Nozzles or other outlets specially adapted for discharging one or more gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本发明的实施例大致上提供用以支撑工艺腔室中的气体分配喷头的设备与方法。在一个实施例中,提供一种用于真空腔室的气体分配喷头。所述气体分配喷头包含:主体,所述主体具有第一侧与第二侧以及多个气体通道,所述第二侧与所述第一侧相对,所述多个气体通道形成为穿过所述主体,所述气体通道包含形成在所述第一侧中的第一孔洞,所述第一孔洞通过限缩孔口流体地耦接到第二孔洞,所述第二孔洞形成在所述第二侧中;及悬置特征结构,所述悬置特征结构形成在所述气体通道中至少一个的所述第一孔洞中。

Description

改善气流的喷头支撑结构
技术领域
本发明的实施例大体上关于支撑在等离子体腔室内的气体分配喷头。更具体地,本发明关于经由气体分配喷头向腔室供应气体。
背景技术
等离子体增强化学气相沉积(PECVD)是一种将工艺气体经由气体分配喷头引导到工艺腔室内的沉积方法。喷头受到电性偏压,以将工艺气体点燃成等离子体。座落在喷头对面的基座电接地且作用成阳极。当工艺气体流动到喷头与基座之间的处理空间内时,喷头将工艺气体分散。
近来,对于将材料沉积在大面积基板上,PECVD已经变得广受欢迎。大面积基板可具有大于约一平方米的表面积。大面积基板可用于平板显示器(FPD)、太阳能面板、有机发光显示器(OLED)与其它应用。这些工艺需要使大面积基板经受300℃至400℃或更高数量级的温度,并且需要在沉积期间将大面积基板维持在相对于喷头的固定位置,以确保所沉积的层的均匀性。
大致上,喷头是以定距离间隔关系被支撑在大面积基板上方的穿孔板,从而适于分散工艺气体,并且喷头通常具有与待处理的基板实质上相等的面积。喷头一般由铝制成,并且喷头在PECVD工艺期间在耐受温度的同时经受膨胀与收缩。喷头一般被支撑在边缘与中心的周围,以维持基板与喷头之间的处理空间。然而,典型的中心支撑机制会影响通过喷头的气流。当气流在沉积期间没有经由喷头被足够地分配时,所述工艺可能无法在基板上产生均匀的沉积,这会导致不能使用的大面积基板。
所以,需要一种用以支撑气体分配喷头的设备与方法,所述设备与方法维持基板与气体分配喷头之间的处理空间且不会干扰通过气体分配喷头的气流。
发明内容
本发明大体上关于一种用以支撑真空腔室中的气体分配喷头的设备与方法。在一个实施例中,提供用于真空腔室的气体分配喷头。所述气体分配喷头包含:主体,所述主体具有第一侧、第二侧以及多个气体通道,所述第二侧与所述第一侧相对,所述多个气体通道形成为穿过所述主体,所述气体通道包含形成在所述第一侧中的第一孔洞,所述第一孔洞通过限缩孔口流体地耦接到第二孔洞,所述第二孔洞形成在所述第二侧中;及悬置特征结构,所述悬置特征结构形成在所述气体通道中至少一个的所述第一孔洞中。
在另一个实施例中,提供一种用于真空腔室的气体分配喷头。所述气体分配喷头包含:主体,所述主体具有第一侧与第二侧,所述第一侧与背板相对,所述第二侧与所述第一侧相对,所述主体具有形成在所述第一侧与所述第二侧之间的多个气体通道,所述多个气体通道中的每一个具有第一孔洞,所述第一孔洞形成在所述第一侧中,所述第一孔洞通过限缩孔口流体地耦接到第二孔洞,所述第二孔洞形成在所述第二侧中;悬置配件,所述悬置配件设置在所述多个气体通道的至少一个的所述第一孔洞中,而形成堵塞的气体通道;及替代气体通道,所述替代气体通道与所述背板和所述气体分配喷头的所述第一侧之间的容积流体连通,以向所述堵塞的气体通道提供气流。
在另一个实施例中,提供一种真空腔室。所述真空腔室包含背板,所述背板设置成贴近气体分配喷头,从而在所述背板与所述气体分配喷头之间界定中间容积。所述气体分配喷头包含:主体,所述主体具有第一侧和第二侧,所述第一侧与所述中间容积连通;多个气体通道,所述多个气体通道形成在所述第一侧与所述第二侧之间,所述多个气体通道中的每一个都具有第一孔洞,所述第一孔洞形成在所述第一侧中,所述第一孔洞通过限缩孔口流体地耦接到第二孔洞,所述第二孔洞形成在所述第二侧中;支撑构件,所述支撑构件设置在所述多个气体通道的至少一个之中,所述支撑构件至少部分地限制到阻塞的第二孔洞的气流;及替代气体通道,所述替代气体通道与所述中间容积流体连通,从而向所述悬置配件设置在其中的所述多个气体通道的所述至少一个提供气流。
在另一个实施例中,提供一种用以处理基板的方法。所述方法包含下列步骤:通过至少一个支撑构件来在腔室中悬置气体分配喷头,所述气体分配喷头具有多个气体通道,所述至少一个支撑构件设置在所述气体分配喷头与背板之间,所述至少一个支撑构件堵塞到所述多个气体通道中至少一个的气流。所述方法还包含下列步骤:使工艺气体流动到介于所述背板与所述气体分配喷头之间的容积;使所述工艺气体的一部分从所述容积流动通过所述多个气体通道的一部分;及使所述工艺气体的另一部分流动通过替代气体通道,而到达被所述支撑构件堵塞的所述多个气体通道的所述至少一个气体通道。
附图说明
为了更详细地了解本发明的上述特征,可通过参考本发明的实施例(某些描绘于附图中)来对如上面所简要概括的本发明作更具体的描述。但是应注意的是,附图仅示出本发明的典型实施例,且因此附图不应被视为会对本发明的范围构成限制,这是因为本发明可允许其它等效的实施例。
图1是腔室的一个实施例的示意侧视截面图。
图2是图1的腔室的部分放大截面图。
图3A是背板的另一个实施例的部分截面图,所述背板可用在图1的腔室中。
图3B是螺钉器件的一个实施例的部分放大截面图,所述螺钉器件可用在图3A的背板中。
图4A是螺纹化支撑构件的一个实施例的侧视图。
图4B是图4A的螺纹化支撑构件的平面图。
图5A是螺钉器件的另一个实施例的侧视截面图。
图5B是图5A所显示的螺钉器件的俯视图。
图5C是图5B所显示的螺钉器件的俯视图。
图6A是支撑螺母的一个实施例的侧视图。
图6B是图6A所显示的支撑螺母的俯视图。
图7是背板的一个实施例的平面图,所述背板可用在图1的腔室中。
图8是腔室的示意侧视截面图,所述腔室具有另一个实施例的气体分配喷头。
图9是图8的气体分配喷头的一部分的放大视图。
图10是气体分配喷头的一个实施例的一部分的示意平面图。
图11A和11B是气体分配喷头的替代实施例的示意部分截面图。
为促进理解,尽可能地已经使用相同的附图标记来表示所述附图共有的相同元件。也可预期,一个实施例的元件与特征结构可有益地并入到其它实施例而无需进一步详述。
具体实施方式
本发明的实施例大致上提供用以支撑在工艺腔室中的气体分配喷头的设备与方法。在一个实施例中,耦接到气体分配喷头的中心区域的至少一个支撑构件配置以支撑气体分配喷头,并且可促进对于由重力、高处理温度和负压的一个或组合所引起的中心下垂与弯曲的抗耐性,由此维持气体分配喷头中的期望水平分布。期望水平分布可以是齐平的(例如,平坦)水平分布、凸起的水平分布或凹入的水平分布中的至少一个。可至少部分地通过至少一个支撑构件所提供的力来形成或维持期望水平分布。本文使用的气体分配分头或扩散器的水平分布是指可应用的附图中所显示的气体分配喷头的截面。本发明将在下文中关于PECVD设备来描述,其中所述PECVD设备可从AKTAmerica,Inc.获得,AKT America(美国),Inc.是美国加州圣大克劳拉市的应用材料公司的子公司。应理解,本发明也可应用在其它沉积腔室,所述其它沉积腔室包括可从其它制造商获得的沉积腔室与PECVD设备。
图1是腔室100的一个实施例的示意侧视截面图。腔室100适于用在由玻璃、聚合物制成的大面积基板105或其它适当的基板上制造电路的等离子体增强化学气相沉积(PECVD)工艺。腔室100配置为在大面积基板105上形成结构与器件,以用于制造液晶显示器(LCD)或平板显示器、太阳能电池组的光伏器件、或其它结构。所述结构可以是包含多个顺序沉积与掩模步骤的多个背通道蚀刻逆交错型(底栅型)薄膜晶体管。其它结构可包括用以形成光伏电池的二极管的p-n结。
腔室100包括腔室侧壁110、底部115、基板支撑件120(诸如基座),基板支撑件120在处理期间支撑大面积基板105。气体分配喷头145定位成与基板支撑件120和大面积基板105相对。腔室100还具有端口125(诸如,狭缝阀),端口125通过选择性地开启与关闭而促进大面积基板105上的传送以及在大面积基板105上的沉积工艺。腔室100还包括盖结构130、背板140和气体分配喷头145。在一个实施例中,盖结构130支撑背板140与气体分配喷头145。在一个实施例中,背板140的内表面146与腔室壁110的内表面147界定了可变压力区域148。在一个方面中,腔室100包含主体,所述主体包括腔室侧壁110、底部115与背板140,腔室侧壁110、底部115与背板140界定了所述可变压力区域148。通过背板140与盖结构130可彼此接触的界面处的合适的O形环,将背板140密封在背板140的周边上。O形环促进电绝缘,并且当耦接到腔室100的真空泵提供负压时,O形环可密封所述可变压力区域148。
在一个实施例中,通过一个或多个中心支撑构件150,由背板140将气体分配喷头145支撑在气体分配喷头145的中心区域处。所述一个或多个中心支撑构件150可促进气体分配喷头145在气体分配喷头145的中心区域处的支撑,以控制气体分配喷头145的水平分布,从而减少气体分配喷头145因热、重力与真空的中一个或组合而低垂或下垂的倾向。也可由柔性悬置件155将气体分配喷头145支撑在气体分配喷头145的周边处。柔性悬置件155适于从气体分配喷头145的边缘来支撑气体分配喷头145,并且适于容许气体分配喷头145的横向膨胀与收缩。公元2002年11月12日授予且发明名称为“FlexiblySuspended Gas Distribution Manifold for A Plasma Chamber(用于等离子体腔室的柔性悬置的气体分配歧管)”的美国专利第6,477,980号中揭示了一种柔性悬置件,所述专利的内容以引用方式并入本文。
腔室100耦接到气体入口160,气体入口160耦接到气体源与等离子体源165。等离子体源165可以是直流功率源、射频(RF)功率源或远程等离子体源。RF功率源可电感地或电容地耦接到腔室100。气体入口160经由孔洞162将来自气体源的工艺或清洁气体输送到中间区域170,其中所述中间区域170被界定在背板140与气体分配喷头145之间。在操作的一个实例中,从气体源输送工艺气体,同时由真空泵将腔室100的内部抽气到适当的压力。一个或多个工艺气体流动通过气体入口160到被界定在背板140与气体分配喷头145之间的中间区域170。接着,所述一个或多个工艺气体从中间区域170流动通过多个开口或气体通道175到处理区域180,所述多个开口或气体通道175形成为穿过气体分配喷头145,所述处理区域180被界定在气体分配喷头145下方以及基板支撑件120上方的区域中。
通过将基板支撑件120朝向气体分配喷头145移动,使大面积基板105从传送位置升高到处理区域180。可基于气体分配喷头145的下表面与基板支撑件120的基板接收表面190之间的间隔,作为工艺参数改变处理区域180的高度。可由整合式加热器(诸如,耦接到基板支撑件120或设置在基板支撑件120内的加热线圈或电阻式加热器)来加热基板支撑件120。
可通过耦接到腔室100的等离子体源165,在处理区域180中形成等离子体。等离子体激发气体被沉积在大面积基板105上,以在大面积基板105上形成结构。在一个实施例中,基板支撑件120处于接地电位,以促进处理区域180中的等离子体形成。也可通过其它装置(诸如,热引发的等离子体)在腔室100中形成等离子体。尽管此实施例中所示的等离子体源165耦接到气体入口160,等离子体源165可耦接到气体分配喷头145或腔室100的其它部分。
气体分配喷头145由导电材料制成或被涂覆有导电材料,并且经由气体入口160或其它连接方式耦接到等离子体源165,以使气体分配喷头145可作为腔室100内的第一电极。经选择用于气体分配喷头145的材料可包括钢、钛、铝或上述材料的组合,并且可对表面进行研磨或阳极化。气体分配喷头145可包括第一或上表面185A与第二或下表面185B。在一个实施例中,上表面185A与下表面185B在截面中是基本平行的。在另一个实施例中,上表面185A与下表面185B中的至少一个在截面中可以是弯曲的,以界定凹入的表面。在另一个实施例中,上表面185A与下表面185B中的至少一个是弯曲的,以界定凸起的表面。在另一个实施例中,上表面185A与下表面185B中的至少一个是非平行的。在一个实施例中,气体分配喷头145的厚度或截面尺寸在气体分配喷头145的周边处较厚且在气体分配喷头145的中心处较薄,以形成凹状的(dished)或“勺状的(scooped)”下表面185B。在此实施例中,至少上表面185A基本上为平坦的或齐平的。因此,在气体分配喷头145的周边处相对于气体分配喷头145的中心处的较厚截面尺寸形成了相对于基板支撑件120的水平分布为凹入的期望水平分布。在另一个实施例中,基板支撑件120的基板接收表面190基本为平坦的,并且气体分配喷头145的下表面185B相对于基板接收表面190是凹入的。
图2是图1的腔室100的部分放大截面图。背板140包括延伸穿过背板140的多个开口,诸如第一开口205A以及一个或多个第二开口205B。在一个实施例中,所述第一开口205A适于接收气体入口160,并且所述一个或多个第二开口205B配置以接收各个中心支撑构件150。在一个实施例中,第一开口205A位于背板140的大致上的几何中心处,尽管第一开口205A可位于其它位置处。在使用盖板135的实施例中,盖板135含有用以分别接收气体入口160与中心支撑构件150的通孔210A与210B。
在一个实施例中,中心支撑构件150中的每一个都包括第一支撑构件215,第一支撑构件215可分离地耦接到第二支撑构件(诸如,悬置配件220)。可以任何适当方式(诸如,通过匹配卡栓紧固件、匹配螺纹化部分、匹配四分之一转紧固件等等),将第一支撑构件215可分离地耦接到悬置配件220。在图2图示的实施例中,螺纹化支撑构件215包括轴230与螺纹化部分235,其中所述轴230位于螺纹化支撑构件215的第一端处,所述螺纹化部分235位于螺纹化支撑构件215的第二端处。螺纹化部分235适于耦接到支撑螺母225。支撑螺母225适于相对于螺纹化部分235与背板140的上表面245旋转。悬置配件220可紧固、焊接、接合或压配到悬置特征结构222中的气体分配喷头145,所述悬置特征结构222形成在气体分配喷头145的主体之中或之上。悬置特征结构222可以是位于气体分配喷头145的主表面上的安装孔或结构,其中所述悬置特征结构222能够以容许由施加到悬置配件220的力通常向上拉拽气体分配喷头145的方式,来容许悬置配件220和气体分配喷头145匹配。由悬置配件220施加到气体分配喷头145的力可仅抵消作用在气体分配喷头145上的重力。悬置配件220可具有键或包括螺纹,键或螺纹可与设置在气体分配喷头145中形成的悬置特征结构222中的沟槽或螺纹啮合。悬置配件220还可包括卡栓安装结构或其它四分之一转安装界面的一部分,卡栓安装结构或其它四分之一转安装界面可与气体分配喷头145中形成的悬置特征结构222耦接。悬置特征结构222配置有相应的基板,所述相应的基板与悬置配件220匹配,如上所述。
背板140的截面比气体分配喷头145的截面相对较厚。由于气体分配喷头145中的相对厚度与穿孔,气体分配喷头145相对于背板140更加柔性。背板140配置为比气体分配喷头145更加刚硬,因而背板140较不会受诸如重力、真空与热之类力的影响。背板140可因这些力而偏斜,但不会偏斜到气体分配喷头145可经历的程度。因此,气体分配喷头145可经历由上述力造成的一些变形,但所述变形有效地受到背板140的刚硬性的局限。因此,可通过调整支撑螺母225来预先确定并抵消气体分配喷头145及/或背板140中的下垂或变形。
支撑螺母225还接触背板140的上表面245上或附近的表面。支撑螺母225抵靠背板140的表面的旋转相对于背板140升高或降低轴230、悬置配件220与气体分配喷头145,从而控制气体分配喷头145的水平分布。在一个实施例中,轴230的第一端包括耦接机构240,耦接机构240促进螺纹化支撑构件215与悬置配件220的耦接和去耦接。帽250设置在各个支撑螺母225上方,以促进第二开口205B周围的真空密封。在使用盖板135的实施例中,帽250包括管状导管255,管状导管255设置在背板140的上表面245与盖板135之间的空间中。在一个实施例中,帽250包括夹持部260,夹持部260通过紧固件265耦接到盖板135或直接地耦接到背板140(未图示)。夹持部260压缩密封件270,所述密封件270位于帽250与盖板135之间或位于帽250与背板140(未图示)之间。
图3A是背板140的另一个实施例的部分截面图。在此实施例中,中心支撑构件150的支撑螺母225设置在凹部305中,凹部305形成在背板140的上表面245中。支撑螺母225可相对于轴230的螺纹化部分310与凹部305的表面旋转。由减少摩擦的材料制成的垫圈(未图示)可设置在凹部305的表面与支撑螺母225之间。垫圈也可作为密封件,以促进腔室的真空密封。支撑螺母225的旋转促进施加到气体分配喷头145的局部力,支撑螺母225的旋转连同其它中心支撑构件150控制气体分配喷头145的水平分布。在此实施例中,示出帽250直接地耦接到背板140,以密封凹部305。
在此图中,更清楚地显示了中心支撑构件150的耦接机构240。在一个方面中,耦接机构240包含沟槽/键器件,所述沟槽/键器件提供含有一个或多个键320的可分离界面,其中所述一个或多个键设置在轴230的远端且与形成在悬置配件220中的各个沟槽325匹配。耦接机构240容许螺纹化支撑构件215旋转,以与悬置配件220耦接和去耦接,从而提供螺纹化支撑构件215从背板140的移除。此外,当中心支撑构件150被安装且被张紧时,耦接机构240配置为容许悬置配件220与螺纹化支撑构件215之间的轻微移动。气体分配喷头145用于处理,气体分配喷头145经历从约为环境温度(例如,约25℃)到约350℃到约450℃之间的温度,具体取决于扩散器的材料,气体分配喷头145的部分会在工艺循环期间经历膨胀与收缩。由于气体分配喷头145会因热膨胀与收缩而经受横向移动,耦接机构240所提供的间隔空间或“余隙(slop)”能容许螺纹化支撑构件215与悬置配件220之间发生轻微移动。由此,此移动避免或最小化可作用在螺纹化支撑构件215上且使螺纹化支撑构件215断裂或弯曲的剪切力。
在此实施例中,第二开口205B包括膨胀部330,膨胀部330邻近背板140的内表面146。由于气体分配喷头145会经受从膨胀到收缩的横向移动,膨胀部330容许用于使中心支撑构件150移动而不受干扰的间隔。因此,通过耦接机构240与膨胀部330中的一个或两个,响应于气体分配喷头145所遇到的任何膨胀或收缩,容许气体分配喷头145的部分的横向移动。在一个实施例中,耦接机构240及/或膨胀部330容许气体分配喷头145的部分在约0.25英寸至约0.5英寸之间的移动。尽管未图示,密封件(诸如,O形环或波纹管)可安装在轴230的外表面与第二开口205B的表面之间,以促进真空密封,同时容许螺纹化支撑构件215相对于背板140的相对移动。
在此实施例中,耦接机构240包含悬置配件220的上部,悬置配件220的上部配置为阴匹配界面,而轴230的下部适于作为阳匹配界面。在另一个实施例中(未图示),可将耦接机构240反转,使得轴230的下部包含阴匹配界面,而悬置配件220的上部包含阳匹配界面。
图3B是设置在气体分配喷头145中的悬置配件220的一个实施例的放大截面图。在一个实施例中,气体分配喷头145包括多个气体通道175,所述多个气体通道175具有从气体分配喷头145的上表面185A延伸到下表面185B的第一孔洞335。第一孔洞335耦接到至少第二孔洞340,所述第二孔洞340流体地耦接到第一孔洞335。第二孔洞340能以锥状或平锥头状的形式而呈喇叭形。在一些实施例中,第一孔洞335与第二孔洞340通过限缩孔口345来耦接,限缩孔口345的直径小于第一孔洞335与第二孔洞340的直径。
悬置配件220设置在扩大的第二孔洞350中,扩大的第二孔洞350的直径大于第一孔洞335的直径但小于相邻的第一孔洞335之间的节距或距离。由此,相邻的第一孔洞335与扩大的第二孔洞350之间维持有壁352,以容许悬置配件220的螺纹化部分354耦接到形成在气体分配喷头145中的匹配螺纹。在一个方面中,悬置配件220的使用在气体分配喷头145中产生了两种类型的气体通道175,例如,因悬置配件220的存在而堵塞的第一类型以及未堵塞的第二类型。因此,不包括悬置配件220的气体通道175提供从中间区域170到处理区域180的未堵塞的气体的流动,而通过悬置配件220设置在气体通道175中的气体通道175的气流会至少部分地因悬置配件220的存在而堵塞。为了将气体提供到悬置配件220设置在气体通道175中的堵塞的气体通道175,悬置配件220包括纵向孔洞355。纵向孔洞355沿着或基本平行于悬置配件220的纵向轴形成。纵向孔洞355适于作为替代气体通道,以容许气体从中间区域170通过悬置配件220流到第二孔洞350,并且通过限缩孔口345流到处理区域180。气体可从中间区域170绕着轴230流动且通过间隙360(图上显示成虚线),其中所述间隙360形成在悬置配件220中且与纵向孔洞355流体连通。
图4A是螺纹化支撑构件215的一个实施例的侧视图。螺纹化支撑构件215包括支撑主体405,支撑主体405在一个实施例中包括圆形截面。支撑主体405包括一个或多个键部410与螺纹化部分235,所述键部410在第一端415处从支撑主体405径向地向外延伸,所述螺纹化部分235位于和第一端415相对的第二端420处。第一端415的至少一部分的尺寸能使第一端415被插入到悬置配件220内(图2至3B),而螺纹化部分235适于被支撑螺母225接收(图2与3A)。在一个实施例中,第一端415包括锥件425,锥件425可以是斜边或半径,以促进到悬置配件220内的插入。第二端420还可包括工具界面430,以促进螺纹化支撑构件215的旋转控制,所述旋转控制用于支撑螺母225的拧紧及/或松开。工具界面430可以是阴开口,所述阴开口适于六键、方键、
Figure BDA00002491650900131
扳手或其它类型的螺钉驱动配置。
图4B是图4A的螺纹化支撑构件215的平面图。键部410包括外尺寸(诸如,外径435),所述外尺寸的大小可使所述键部被插入到悬置配件220的内部通道且能在悬置配件220的内部通道内旋转。
图5A是悬置配件220的一个实施例的侧视截面图,其中螺纹化支撑构件215的轴230(图上显示成虚线)设置在所述悬置配件220中。悬置配件220包括支撑主体505,支撑主体505的形状大致上为圆形,并且支撑主体505锥形过渡到螺纹化部分354。支撑主体505含有中心开口510,中心开口510包括内部通道515。内部通道515至少部分地由中心开口510的表面以及唇部520来容纳,所述唇部520外接中心开口510的直径的一部分。在一个实施例中,螺纹化部分354包含第一匹配机构(例如,阳匹配机构),而中心开口510与唇部520包含第二匹配机构(诸如,适于接收螺纹化支撑构件215的第一端415的阴匹配机构)。螺纹化支撑构件215的第一端415适于在内部通道515中旋转。在一个实施例中,界定中心开口510的唇部520包含沟槽化容室555,所述沟槽化容室555适于接收螺纹化支撑构件215的键部410和螺纹化支撑构件215的键部410匹配。
支撑主体505还包括至少一个销525(图5B中显示两个销),所述销525配置以作为螺纹化支撑构件215的键部410的止挡件,及/或限制螺纹化支撑构件215在安装后的旋转。所述销525可如图所示纵向地被定位,或所述销525可耦接到垂直于悬置配件220的纵向轴的支撑主体505。支撑主体505的中心包括中心开口510,中心开口510适于接收轴230的一部分。在此实施例中,螺纹化支撑构件215的轴230位于固定位置,而使得键部410与悬置配件220的唇部520接触,并且键部410促进气体分配喷头145从背板140(两者皆显示在图1至3A中)的支撑。包括类似于键部410的至少一个特征结构的工具也可被插入到中心开口510,以在将悬置配件220安装到气体分配喷头145上时,促进悬置配件220的旋转和拧紧。
在一个实施例中,中心开口510包括从内部通道515朝向纵向孔洞355的圆锥形凹部或锥形化表面530。锥形化表面530配置为减少悬置配件220中的限制并促进通过悬置配件220的气体的流动。在一个实施例中,被包含在轴230与锥形化表面530下方的容积包含位于悬置配件220内的腔室535。
在一个实施例中,支撑主体505包含头部540与所述螺纹化部分354,所述头部540位于支撑主体505的第一端处,而所述螺纹化部分354位于支撑主体505的第二端处。头部540包括相对于螺纹化部分354的直径为更大的尺寸或直径。在一个实施例中,头部540包括第一直径,并且螺纹化部分354包括小于第一直径的第二直径。在一个方面中,头部540与螺纹化部分354通过平锥头状圆锥形表面(诸如,外锥件545)来耦接。头部540包括中心开口510与内部通道515,而螺纹化部分354含有纵向孔洞355。在一个实施例中,内部通道515包括圆形内部直径550,圆形内部直径550通过锥形化表面530直接地耦接到纵向孔洞355。在一个方面中,具有逐渐减少直径的流动路径通过圆形内部直径550、锥形化表面530与纵向孔洞355中的一个或组合被包含在悬置配件220内。
图5B是图5A所显示的悬置配件220的俯视图。键部410在图上显示成虚线,位于唇部520下方,使得螺纹化支撑构件215通过悬置配件220位于固定的位置。位于轴230的相对侧上的间隙360在图上显示成暴露悬置配件220的锥形化表面530的一部分。间隙360容许气体从中间区域170(图1至3A)流动通过悬置配件220的纵向孔洞355进入处理区域180(图1至3A)。
图5C是图5B所显示的悬置配件220的俯视图。在此实施例中,轴230在图上显示成虚线,位于可移除的位置。轴230被旋转约45°,以容许键部410能与介于唇部520的相对侧之间的开口对准。当所述键部410如图5C所示对准时,螺纹化支撑构件215可从悬置配件220的中心开口510移除。
图6A是支撑螺母225的一个实施例的侧视图,并且图6B是图6A所显示的支撑螺母225的俯视图。支撑螺母225包括主体605与通孔610,通孔610形成在下表面615与上表面620之间。通孔610包括沿着通孔610的长度形成的螺纹625,通孔610适于接收形成在螺纹化支撑构件215的螺纹化部分235上的螺纹。支撑螺母225适于相对于螺纹化部分235旋转,以在背板140的上表面245与支撑螺母225的下表面615之间形成接触后促进气体分配喷头145的支撑及/或调整。可通过手动及/或适于耦接到支撑螺母225的主体605的扳手或工具来实现支撑螺母225的旋转。
在一个实施例中,主体605配置以作为具有外径630的环状构件。在此实施例中,支撑螺母225包括至少两个形成在主体605中的孔洞635。所述至少两个孔洞635适于提供用于工具(未图示)的耦接点,诸如活动扳手。在另一个实施例中,主体605的外表面包括至少两个平坦部(例如,六个平边640(图上显示成虚线)),以促进到工具(诸如,六角扳手或槽式工具)的耦接。
图7是背板140的一个实施例的平面图。在此实施例中,中心区域700在图上显示成位于背板140上,并且多个中心支撑构件150的图案描绘成位于中心区域700内。中心区域700可以是背板140与气体分配喷头145的任何位于中心的区域,并且中心区域700确定为供气体分配喷头145所用的支撑点。气体分配喷头145(此图中未示出)典型地位于背板140下方,并且所述气体分配喷头145具有的尺寸基本上等于背板140的尺寸。由此,气体分配喷头145具有相应的中心区域700,所述相应的中心区域700可容许描绘在背板140中的任何元件与气体分配喷头145匹配。
在此实施例中,尽管图上以对称的图案显示十二个中心支撑构件150,所述多个中心支撑构件150可在背板140的中心区域700中为任何图案、数量与尺寸。图上还显示在背板140中的第一开口205A,所述第一开口205A适于接收用以供给气体分配喷头145的气体入口160。图上还显示替代气体通道705,并且所述替代气体通道705可用于单独地或与气体入口160组合地来将工艺气体提供到气体分配喷头145。还可使用额外的气体通道,以输送气体通过背板140。
图8是腔室100的示意侧视截面图,所述腔室100具有另一个实施例的气体分配喷头845。气体分配喷头845与图1所显示的气体分配喷头基本上相同,除了形成在气体分配喷头845的主体中的内部气体绕道孔805A与外部气体绕道孔805B以外。气体绕道孔805A、805B适于作为替代气体通道,以将气流提供到被中心支撑构件150堵塞的气体通道175。气体绕道孔805A、805B是形成在气体分配喷头845的主体中的倾斜横向定向导管,以从被界定在背板140与气体分配喷头845之间的中间区域170提供气体到邻近耦接机构240的形成在气体分配喷头845中的一个或多个气体通道175。举例而言,气体绕道孔805A、805B可相对于气体通道175的中心线以锐角倾斜。气体分配喷头845可包括多个内部气体绕道孔805A、多个外部气体绕道孔805B、或这两者的组合。
图9是图8的气体分配喷头845的一部分的放大图。在此实施例中,悬置配件220设置在多个气体通道175的一个之中,并且悬置配件220不包括如图3B与5A所示的纵向孔洞355,由此形成堵塞的气体通道905。气体绕道孔805A、805B中的一个或两个用来将气流提供到位于堵塞的气体通道905的位置下方区域的处理区域180。气体绕道孔805A、805B用来提供气流通过在至少部分地被中心支撑构件150(具体地,悬置配件220)堵塞的气体分配喷头845区域中的气体分配喷头845。气体绕道孔805A、805B设置成相对于气体分配喷头845的表面900的平面呈一角度。在一个实施例中,此角度是约30°至约60°,例如,约40°至约50°,诸如约45°。气体绕道孔805A、805B还通过一个或多个邻近的第一孔洞335,气体绕道孔805A、805B将增强的气流或传导提供到第一孔洞335,气体绕道孔805A、805B设置在第一孔洞335中。气体绕道孔805A、805B包括的直径基本上等于第一孔洞335的直径,使得将足够的气流提供到第一孔洞335。气体绕道孔805A、805B也终止在限缩孔口345上游的位置处、位于介于堵塞的气体通道905的扩大的第二孔洞350之间的区域中。使气体绕道孔805A、805B终止在限缩孔口345上游的位置处,容许到堵塞的气体通道905的气流主要受限缩孔口345的控制,类似于由限缩孔口345对到邻近堵塞的气体通道905的气体通道175的气流的控制。
图10是气体分配喷头845的一个实施例的一部分的示意仰视图。气体分配喷头845包括图上显示成虚线且位于气体分配喷头845的主体1000中的多个内部气体绕道孔805A和多个外部气体绕道孔805B。与气体绕道孔805A、805B相交的放大的第二孔洞350没有显示在图10中。所述气体绕道孔805A、805B可在气体分配喷头845中以基本上对称的图案形成,以将对称的气流提供到堵塞的气体通道905(显示在图9中)。对称的图案不局限于所显示的图案。可单独地使用所述内部气体绕道孔805A,或者所述内部气体绕道孔805A可连同所述外部气体绕道孔805B一起使用。同样地,可单独地使用所述外部气体绕道孔805B,或者所述外部气体绕道孔805B可连同所述内部气体绕道孔805A一起使用。尽管图上显示内部气体绕道孔805A与外部气体绕道孔805B对应于各个悬置配件220,但每一个悬置配件220的气体绕道孔805A、805B的数量可少于每一个悬置配件220的一个气体绕道孔。在一个实施例中,多个内部气体绕道孔805A可与各个悬置配件220交错。替代地或附加地,多个外部气体绕道孔805B可与所述多个内部气体绕道孔805A及/或各个悬置配件220交错。
图11A与11B分别是气体分配喷头1100A与1100B的实施例的示意性部分截面图。图11A显示背板140与气体分配喷头1100A的中心区域700,中心区域700相对于背板140呈入的水平分布。由此,在此实施例中,气体分配喷头1100A的下表面185B相对于背板140的水平定向为非平行的或凹状的(dished)。在一个实施例中,第一空间间隙G’包含在背板140的内表面146与气体分配喷头1100A的中心区域700中的上表面185A之间,而第二空间间隙G”包含在背板140的内表面146与气体分配喷头1100A的周边中的上表面185A之间。
可通过调整一个或多个中心支撑构件150(图8A与8B中未示出)来调整第一空间间隙G’。可利用中心支撑构件150的调整,推动气体分配喷头1100A、1100B远离背板140或将气体分配喷头1100A、1100B朝向背板140拉近,从而控制气体分配喷头1100A、1100B的水平分布。可将第一空间间隙G’与第二空间间隙G”维持或调整成基本上相等或不同。在一个实例中,第一空间间隙G’与第二空间间隙G”基本上相等。在另一个实例中,第一空间间隙G’可小于第二空间间隙G”。在一个实施例中,相对于在气体分配喷头1100A的中心处,气体通道175的长度在气体分配喷头1100A的周边处更大。在一个方面中,各个气体通道175的喇叭形孔洞的长度在气体分配喷头1100A的中心处较大,并且所述长度从气体分配喷头1100A的中心到气体分配喷头1100A的周边逐渐地增大。
图11B显示背板140与气体分配喷头1100B的中心区域700,中心区域700相对于背板140呈凸起的水平分布。尽管图上未示出,第一空间间隙G’与第二空间间隙G”包含在背板140的内表面146与气体分配喷头1100B的上表面185A之间。可如同参照图11A所述般地维持或调整第一空间间隙G’与第二空间间隙G”。在一个实施例中,第一空间间隙G’与第二空间间隙G”基本上相等。在另一个实例中,第二空间间隙G”可小于第一空间间隙G’。在一个实施例中,相对于在气体分配喷头1100B的周边处,气体通道175的长度在气体分配喷头1100B的中心处更大。在一个方面中,各个气体通道175的喇叭形孔洞的长度在气体分配喷头1100B的中心处较大,并且所述长度从气体分配喷头1100B的中心到气体分配喷头1100B的周边逐渐地减小。
将参照图3A至5B来描述一种安装中心支撑构件150的方法。悬置配件220被插入到气体分配喷头145中的阴螺纹内。螺纹化支撑构件215被插入到背板140中的第二开口205B内,并且键部410被配合在形成于悬置配件220中的中心开口510中。螺纹化支撑构件215被旋转约45°到位于悬置配件220中的锁定位置,其中所述锁定位置通过将键部410接触悬置配件220中的销525来确定。支撑螺母225可被配合到螺纹化部分235上且被旋转,直到支撑螺母225与邻近于背板140的上表面245的表面接触为止。
可利用所述支撑螺母225定位抵靠邻近背板140上表面245的表面的相对位置来控制气体分配喷头145的水平分布。在一个实施例中,可利用中心支撑构件150的支撑螺母225与背板140的上表面245之间的接触来维持背板140的内表面146与气体分配喷头145的上表面185A之间的空间间隙。若用户希望或打算进行的工艺有必要升高气体分配喷头145的中心区域,支撑螺母225可进一步被旋转以升高中心区域及/或改变气体分配喷头145相对于背板140的水平分布。由此,若有需要,可调整背板140的内表面146与气体分配喷头145的上表面185A之间的空间间隙。此外,在处理期间,可通过中心支撑构件150的支撑螺母225与背板140的上表面245之间的接触来维持经调整的空间间隙。
可在真空状态下或在环境压力状态下执行、监控与调整上述调整气体分配喷头145的分布的方法。当进行了所有的调整并且气体分配喷头145呈现期望的水平分布或处于能预期已知变形的预定水平分布时,可安装帽250。
实例
进行测试,以确定基板上的二氧化硅(SiO2)的膜厚度。使用类似本文所述的腔室100的腔室来执行控制测试。控制测试利用气体分配喷头,其中所述气体分配喷头具有耦接在背板与气体分配喷头之间的支撑构件,而气体分配喷头的气体通道175被牺牲以供中心支撑构件所用。控制测试包括基板上的沉积在基本上多个位置处的二维映射(two-dimensional mapping),其中所述多个位置位于被牺牲用于支撑点的气体通道175的位置下方。位于被牺牲用于支撑点的气体通道175下方的基板的区域上的平均膜厚度比不位于中心支撑构件下方的基板的区域上的平均膜厚度小约2.8%。由此,可在基板上辨识出多个支撑构件的图案。
还执行利用气体分配喷头145的其它测试,其中所述气体分配喷头145如本文所述具有使用悬置配件220的中心支撑构件150,且悬置配件220具有纵向孔洞355。测试包括在基板上的SiO2膜厚度在中心支撑构件150位置下方的多个位置处的二维映射。位于中心支撑构件150下方的基板的区域显示的平均SiO2膜厚度基本上等于不位于中心支撑构件150下方的基板的区域上的膜厚度。由此,如本文所述,使用悬置配件220的中心支撑构件150改善了遍布基板表面的膜厚度的均匀性,其中所述悬置配件220具有纵向孔洞355。
进一步测试显示,使用具有0.10”直径、0.12”直径与0.14”直径的第一孔洞的螺钉器件220产生了增加的膜厚度,但基板上检测到图案处的膜厚度发生了改变。对于具有约0.14”直径的纵向孔洞355的悬置配件220的测试,显示基板上没有可辨识的图案。
本文描述一种用以支撑气体分配喷头的设备与方法,所述设备与方法维持基板与气体分配喷头之间的处理空间,且不会干扰通过气体分配喷头的气流。所述设备包括一个或多个中心支撑构件150,所述一个或多个中心支撑构件150维持及/或提供气体分配喷头145的截面弯曲度或水平分布的操控。可操控气体分配喷头145,以呈现平坦、凸起或凹入其中之一的水平分布。可相对于腔室中的基板支撑件120及/或背板140来调整气体分配喷头145的水平分布。本文所述的中心支撑构件150的实施例也不会干扰气流,由此促进了在邻近中心支撑构件150的基板的位置处的沉积。
尽管上述说明针对于本发明的实施例,但可在不背离本发明的基本范围下设想出本发明的其它与进一步的实施例,并且本发明的范围由随附的权利要求书确定。

Claims (17)

1.一种用于真空腔室的气体分配喷头,所述气体分配喷头包含:
主体,所述主体具有第一侧与第二侧以及多个气体通道,所述第二侧与所述第一侧相对,所述多个气体通道形成为穿过所述主体,所述气体通道包含形成在所述第一侧中的第一孔洞,所述第一孔洞通过限缩孔口流体地耦接到第二孔洞,所述第二孔洞形成在所述第二侧中;及
悬置特征结构,所述悬置特征结构形成在所述气体通道中至少一个的所述第一孔洞中。
2.如权利要求1所述的气体分配喷头,其特征在于,所述气体分配喷头进一步包含:
悬置配件,所述悬置配件设置在所述悬置特征结构中。
3.如权利要求2所述的气体分配喷头,其特征在于,所述气体分配喷头进一步包含:
替代气体通道,所述替代气体通道与一容积流体连通,所述容积设置在所述气体分配喷头的所述第一侧上,所述替代气体通道将气流提供到形成在所述气体分配喷头的所述主体中的所述限缩孔口。
4.如权利要求3所述的气体分配喷头,其特征在于,所述替代气体通道包含横向定向孔洞,所述横向定向孔洞形成在所述气体分配喷头的所述主体中。
5.如权利要求4所述的气体分配喷头,其特征在于,所述孔洞形成为相对于水平面呈角度,所述水平面由所述气体分配喷头的表面界定。
6.如权利要求4所述的气体分配喷头,其特征在于,所述孔洞至少部分地延伸通过一个或多个邻近的气体通道。
7.如权利要求4所述的气体分配喷头,其特征在于,所述孔洞终止在所述限缩孔洞上游的位置处。
8.如权利要求3所述的气体分配喷头,其特征在于,所述替代气体通道是至少部分地形成在所述悬置配件中的纵向孔洞。
9.如权利要求8所述的气体分配喷头,其特征在于,所述悬置配件包含支撑主体,所述支撑主体在所述支撑主体的第一端处具有螺纹化部分,所述螺纹化部分界定阳匹配界面。
10.如权利要求9所述的气体分配喷头,其特征在于,所述悬置配件进一步包含:
沟槽化容室,所述沟槽化容室位于所述支撑主体的第二端处,从而界定阴界面。
11.一种用于真空腔室的气体分配喷头,所述气体分配喷头包含:
主体,所述主体具有第一侧与第二侧,所述第二侧与所述第一侧相对,所述主体具有形成在所述第一侧与所述第二侧之间的多个气体通道,所述多个气体通道中的每一个具有第一孔洞,所述第一孔洞形成在所述第一侧中,所述第一孔洞通过限缩孔口流体地耦接到第二孔洞,所述第二孔洞形成在所述第二侧中;
悬置配件,所述悬置配件设置在所述多个气体通道中至少一个的所述第一孔洞中,从而形成堵塞的气体通道;及
替代气体通道,所述替代气体通道与介于所述背板与所述气体分配喷头的所述第一侧之间的容积流体连通,以将气流提供到所述堵塞的气体通道。
12.如权利要求11所述的气体分配喷头,其特征在于,所述替代气体通道是至少部分地形成在所述悬置配件中的纵向孔洞。
13.如权利要求12所述的气体分配喷头,其特征在于,所述悬置配件包含:支撑主体,所述支撑主体在所述支撑主体的第一端处具有螺纹化部分,从而界定阳匹配界面;和沟槽化容室,所述沟槽化容室位于所述支撑主体的第二端处,从而界定阴界面。
14.如权利要求12所述的气体分配喷头,其特征在于,所述纵向孔洞包含中心开口,所述中心开口具有第一直径,所述中心开口耦接到具有第二直径的锥形化孔洞,所述第二直径小于所述第一直径。
15.如权利要求11所述的气体分配喷头,其特征在于,所述替代气体通道包含横向定向孔洞,所述横向定向孔洞以相对于水平面呈角度的方式形成在所述主体中,所述水平面由所述气体分配喷头的表面确定。
16.如权利要求15所述的气体分配喷头,其特征在于,所述孔洞至少部分地延伸通过一个或多个邻近的气体通道。
17.如权利要求16所述的气体分配喷头,其特征在于,所述孔洞终止在所述限缩孔口上游的位置处。
CN201180026734.1A 2010-07-28 2011-07-08 改善气流的喷头支撑结构 Active CN102933743B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201510891705.1A CN105463409B (zh) 2010-07-28 2011-07-08 改善气流的喷头支撑结构

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US36860110P 2010-07-28 2010-07-28
US61/368,601 2010-07-28
US13/163,241 2011-06-17
US13/163,241 US8721791B2 (en) 2010-07-28 2011-06-17 Showerhead support structure for improved gas flow
PCT/US2011/043358 WO2012015578A1 (en) 2010-07-28 2011-07-08 Showerhead support structure for improved gas flow

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN201510891705.1A Division CN105463409B (zh) 2010-07-28 2011-07-08 改善气流的喷头支撑结构

Publications (2)

Publication Number Publication Date
CN102933743A true CN102933743A (zh) 2013-02-13
CN102933743B CN102933743B (zh) 2015-12-16

Family

ID=45526996

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201180026734.1A Active CN102933743B (zh) 2010-07-28 2011-07-08 改善气流的喷头支撑结构
CN201510891705.1A Active CN105463409B (zh) 2010-07-28 2011-07-08 改善气流的喷头支撑结构

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN201510891705.1A Active CN105463409B (zh) 2010-07-28 2011-07-08 改善气流的喷头支撑结构

Country Status (6)

Country Link
US (2) US8721791B2 (zh)
JP (2) JP5937591B2 (zh)
KR (1) KR101831667B1 (zh)
CN (2) CN102933743B (zh)
TW (1) TWI523079B (zh)
WO (1) WO2012015578A1 (zh)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108140551A (zh) * 2015-10-26 2018-06-08 应用材料公司 用于半导体制造的晶片处理的高生产率pecvd工具
CN110050333A (zh) * 2016-12-08 2019-07-23 应用材料公司 时间性原子层沉积处理腔室
CN111183512A (zh) * 2017-09-13 2020-05-19 应用材料公司 用于减少损坏基板背侧的基板支撑件
CN111601910A (zh) * 2018-06-20 2020-08-28 株式会社爱发科 真空处理装置及支撑轴
CN115283152A (zh) * 2021-05-03 2022-11-04 吉佳蓝科技股份有限公司 喷头

Families Citing this family (334)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050223986A1 (en) * 2004-04-12 2005-10-13 Choi Soo Y Gas diffusion shower head design for large area plasma enhanced chemical vapor deposition
US8083853B2 (en) * 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
TWI385272B (zh) * 2009-09-25 2013-02-11 Ind Tech Res Inst 氣體分佈板及其裝置
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
DE102011056589A1 (de) * 2011-07-12 2013-01-17 Aixtron Se Gaseinlassorgan eines CVD-Reaktors
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
KR101935881B1 (ko) * 2012-04-26 2019-01-08 주성엔지니어링(주) 대면적 기판처리장치, 대면적 가스공급장치 및 샤워 헤드 지지유닛
US9267205B1 (en) * 2012-05-30 2016-02-23 Alta Devices, Inc. Fastener system for supporting a liner plate in a gas showerhead reactor
KR20150038406A (ko) * 2012-07-27 2015-04-08 어플라이드 머티어리얼스, 인코포레이티드 공정 가스를 기판에 전달하기 위한 방법 및 장치
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10316409B2 (en) 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
TWI627305B (zh) * 2013-03-15 2018-06-21 應用材料股份有限公司 用於轉盤處理室之具有剛性板的大氣蓋
US9677176B2 (en) * 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US20150345019A1 (en) * 2014-05-30 2015-12-03 Applied Materials, Inc. Method and apparatus for improving gas flow in a substrate processing chamber
US10858737B2 (en) * 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
DE102015118765A1 (de) 2014-11-20 2016-06-09 Aixtron Se Vorrichtung zum Beschichten eines großflächigen Substrats
DE102015110440A1 (de) * 2014-11-20 2016-05-25 Aixtron Se CVD- oder PVD-Reaktor zum Beschichten großflächiger Substrate
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
DE102015101461A1 (de) 2015-02-02 2016-08-04 Aixtron Se Vorrichtung zum Beschichten eines großflächigen Substrats
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11384432B2 (en) * 2015-04-22 2022-07-12 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US9406536B1 (en) * 2015-06-29 2016-08-02 Hermes-Epitek Corp. Method and system for manufacturing semiconductor epitaxy structure
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
JP2018528616A (ja) * 2015-09-22 2018-09-27 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated シャワーヘッド支持構造
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
KR102619029B1 (ko) * 2016-09-05 2023-12-28 주성엔지니어링(주) 기판 처리장치
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10403476B2 (en) 2016-11-09 2019-09-03 Lam Research Corporation Active showerhead
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR101855654B1 (ko) * 2016-12-23 2018-05-08 주식회사 테스 대면적 샤워헤드 어셈블리
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US11538666B2 (en) * 2017-11-15 2022-12-27 Lam Research Corporation Multi-zone cooling of plasma heated window
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US11139149B2 (en) * 2017-11-29 2021-10-05 Taiwan Semiconductor Manufacturing Co., Ltd. Gas injector
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
JP2021505766A (ja) 2017-12-08 2021-02-18 ラム リサーチ コーポレーションLam Research Corporation 遠隔プラズマ膜蒸着を可能にするためにラジカルおよび前駆体ガスを下流チャンバに供給するための改良された孔パターンを備える統合シャワーヘッド
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
WO2019212676A1 (en) * 2018-05-03 2019-11-07 Applied Materials, Inc. Universal adjustable blocker plate for flow distribution tuning
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
US20190348261A1 (en) * 2018-05-09 2019-11-14 Asm Ip Holding B.V. Apparatus for use with hydrogen radicals and method of using same
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR102476182B1 (ko) * 2018-06-28 2022-12-08 어플라이드 머티어리얼스, 인코포레이티드 진공 챔버를 위한 컴포넌트, 진공 챔버, 및 디개싱 홀을 제조하는 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US10883174B2 (en) * 2018-11-27 2021-01-05 Applied Materials, Inc. Gas diffuser mounting plate for reduced particle generation
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202115815A (zh) * 2019-10-04 2021-04-16 美商應用材料股份有限公司 用於易碎板以防止破裂的氣體分配組件安裝
KR20210041354A (ko) 2019-10-07 2021-04-15 삼성전자주식회사 가스 공급 유닛 및 이를 포함하는 기판 처리 장치
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
TW202125573A (zh) 2019-11-16 2021-07-01 美商應用材料股份有限公司 具有嵌入式螺帽的噴淋頭
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR102618455B1 (ko) * 2019-12-02 2023-12-27 주식회사 원익아이피에스 샤워헤드조립체 및 이를 포함하는 기판처리장치
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
KR20210103953A (ko) * 2020-02-13 2021-08-24 에이에스엠 아이피 홀딩 비.브이. 가스 분배 어셈블리 및 이를 사용하는 방법
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US20220049355A1 (en) * 2020-08-14 2022-02-17 Changxin Memory Technologies, Inc. Spray head, chemical vapor deposition device, and working method of chemical vapor deposition device
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US20220108891A1 (en) * 2020-10-06 2022-04-07 Applied Materials, Inc. Modular zone control for a processing chamber
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050133161A1 (en) * 2002-07-08 2005-06-23 Carpenter Craig M. Apparatus and method for depositing materials onto microelectronic workpieces
US20080099145A1 (en) * 2005-09-02 2008-05-01 Applied Materials, Inc. Gas sealing skirt for suspended showerhead in process chamber
US7429410B2 (en) * 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04294478A (ja) 1991-03-22 1992-10-19 Hitachi Maxell Ltd Icカードリーダライタ
US5792269A (en) * 1995-10-31 1998-08-11 Applied Materials, Inc. Gas distribution for CVD systems
US6148761A (en) 1998-06-16 2000-11-21 Applied Materials, Inc. Dual channel gas distribution plate
US6477980B1 (en) 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US6772827B2 (en) 2000-01-20 2004-08-10 Applied Materials, Inc. Suspended gas distribution manifold for plasma chamber
US6716302B2 (en) * 2000-11-01 2004-04-06 Applied Materials Inc. Dielectric etch chamber with expanded process window
JP2003332314A (ja) * 2002-05-14 2003-11-21 Tokyo Electron Ltd プラズマ処理装置用電極及びプラズマ処理装置
US6942753B2 (en) 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
US20050109276A1 (en) * 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
TWI287279B (en) * 2004-09-20 2007-09-21 Applied Materials Inc Diffuser gravity support
US20060288934A1 (en) * 2005-06-22 2006-12-28 Tokyo Electron Limited Electrode assembly and plasma processing apparatus
TWI306782B (en) 2005-09-02 2009-03-01 Applied Materials Inc Suspension for showerhead in process chamber
US7776178B2 (en) * 2006-10-25 2010-08-17 Applied Materials, Inc. Suspension for showerhead in process chamber
US20080317973A1 (en) * 2007-06-22 2008-12-25 White John M Diffuser support
WO2010065473A2 (en) * 2008-12-01 2010-06-10 Applied Materials, Inc. Gas distribution blocker apparatus

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050133161A1 (en) * 2002-07-08 2005-06-23 Carpenter Craig M. Apparatus and method for depositing materials onto microelectronic workpieces
US7429410B2 (en) * 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support
US20080099145A1 (en) * 2005-09-02 2008-05-01 Applied Materials, Inc. Gas sealing skirt for suspended showerhead in process chamber

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108140551A (zh) * 2015-10-26 2018-06-08 应用材料公司 用于半导体制造的晶片处理的高生产率pecvd工具
CN110050333A (zh) * 2016-12-08 2019-07-23 应用材料公司 时间性原子层沉积处理腔室
CN110050333B (zh) * 2016-12-08 2023-06-09 应用材料公司 时间性原子层沉积处理腔室
CN111183512A (zh) * 2017-09-13 2020-05-19 应用材料公司 用于减少损坏基板背侧的基板支撑件
CN111183512B (zh) * 2017-09-13 2023-09-26 应用材料公司 用于减少损坏基板背侧的基板支撑件
CN111601910A (zh) * 2018-06-20 2020-08-28 株式会社爱发科 真空处理装置及支撑轴
CN111601910B (zh) * 2018-06-20 2022-11-01 株式会社爱发科 真空处理装置及支撑轴
CN115283152A (zh) * 2021-05-03 2022-11-04 吉佳蓝科技股份有限公司 喷头
CN115283152B (zh) * 2021-05-03 2023-03-24 吉佳蓝科技股份有限公司 喷头

Also Published As

Publication number Publication date
CN102933743B (zh) 2015-12-16
JP6466364B2 (ja) 2019-02-06
KR20130115083A (ko) 2013-10-21
CN105463409B (zh) 2018-06-12
WO2012015578A1 (en) 2012-02-02
KR101831667B1 (ko) 2018-02-23
TW201207905A (en) 2012-02-16
US10087524B2 (en) 2018-10-02
JP2016211075A (ja) 2016-12-15
TWI523079B (zh) 2016-02-21
US20140246521A1 (en) 2014-09-04
CN105463409A (zh) 2016-04-06
JP2013533388A (ja) 2013-08-22
US8721791B2 (en) 2014-05-13
JP5937591B2 (ja) 2016-06-22
US20120027918A1 (en) 2012-02-02

Similar Documents

Publication Publication Date Title
CN102933743B (zh) 改善气流的喷头支撑结构
JP5543088B2 (ja) スリットバルブ補償を備えた拡散プレート
JP7049488B2 (ja) シャワーヘッド支持構造
CN102315150B (zh) 用于等离子体处理室的可移动基环
US9982340B2 (en) Shower head apparatus and method for controlling plasma or gas distribution
TWI376425B (en) Pecvd process chamber backing plate reinforcement
CN101587814B (zh) 等离子体处理装置和其使用的处理气体供给装置
JP2009035821A5 (zh)
CN101144154B (zh) 采用气体扩散板通道设计的等离子体均匀度控制
CN103270192A (zh) 用于基底的固位器和用于涂覆基底的方法
JP7244623B2 (ja) 粒子生成を低減するためのガスディフューザー支持構造
US11685994B2 (en) CVD device pumping liner
KR20230141246A (ko) 기판지지부 및 그를 가지는 기판처리장치
TW202400836A (zh) 具有中心至邊緣可調諧性的雙充氣部噴淋頭
TWI475708B (zh) 利用流量梯度設計以沉積均勻矽膜之方法與設備
CN101921997B (zh) 扩散器重力支撑件
CN107937886A (zh) 化学气相沉积设备及成膜方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant