JP2012526282A - 保護付熱電対ジャンクションを有する熱電対アッセンブリ - Google Patents

保護付熱電対ジャンクションを有する熱電対アッセンブリ Download PDF

Info

Publication number
JP2012526282A
JP2012526282A JP2012509857A JP2012509857A JP2012526282A JP 2012526282 A JP2012526282 A JP 2012526282A JP 2012509857 A JP2012509857 A JP 2012509857A JP 2012509857 A JP2012509857 A JP 2012509857A JP 2012526282 A JP2012526282 A JP 2012526282A
Authority
JP
Japan
Prior art keywords
thermocouple
support member
sheath
thermocouple assembly
junction
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2012509857A
Other languages
English (en)
Other versions
JP5335992B2 (ja
Inventor
アグガーワル,ラビンダー・ケイ
ハロ,ロバート・シー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM America Inc
Original Assignee
ASM America Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM America Inc filed Critical ASM America Inc
Publication of JP2012526282A publication Critical patent/JP2012526282A/ja
Application granted granted Critical
Publication of JP5335992B2 publication Critical patent/JP5335992B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01KMEASURING TEMPERATURE; MEASURING QUANTITY OF HEAT; THERMALLY-SENSITIVE ELEMENTS NOT OTHERWISE PROVIDED FOR
    • G01K7/00Measuring temperature based on the use of electric or magnetic elements directly sensitive to heat ; Power supply therefor, e.g. using thermoelectric elements
    • G01K7/02Measuring temperature based on the use of electric or magnetic elements directly sensitive to heat ; Power supply therefor, e.g. using thermoelectric elements using thermoelectric elements, e.g. thermocouples
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01KMEASURING TEMPERATURE; MEASURING QUANTITY OF HEAT; THERMALLY-SENSITIVE ELEMENTS NOT OTHERWISE PROVIDED FOR
    • G01K7/00Measuring temperature based on the use of electric or magnetic elements directly sensitive to heat ; Power supply therefor, e.g. using thermoelectric elements
    • G01K7/02Measuring temperature based on the use of electric or magnetic elements directly sensitive to heat ; Power supply therefor, e.g. using thermoelectric elements using thermoelectric elements, e.g. thermocouples
    • G01K7/04Measuring temperature based on the use of electric or magnetic elements directly sensitive to heat ; Power supply therefor, e.g. using thermoelectric elements using thermoelectric elements, e.g. thermocouples the object to be measured not forming one of the thermoelectric materials

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Measuring Temperature Or Quantity Of Heat (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

温度測定を提供するための改善された熱電対アッセンブリが提供される。熱電対アッセンブリは、測定チップを有するシースと、シース内に収容される支持部材と、支持部材内に収容された第1線及び第2線とを含む。第1線及び第2線の各端部が互いに融合されてそれらの間に電熱対ジャンクションを形成する。凹部領域が支持部材の遠位端に形成され、電熱対ジャンクションが、凹部領域がシースの測定チップに対して実質的に固定された位置に電熱対ジャンクションを維持するように凹部領域のベースに固定的に配置される。
【選択図】図6A

Description

本発明は、温度測定デバイスに関し、より具体的には本発明は、半導体処理で使用する熱電対アッセンブリに関する。
半導体処理チャンバは、基板の表面または表面上に様々な物質層を堆積するために使用される。処理チャンバは、低温処理、高温処理、またはその高温処理と低温処理の両方の組み合わせに使用されることができる。シリコンウェハなどの1つまたは複数の基板やワークは、処理チャンバ内のワーク支持体上に配置される。基板及びワーク支持体の双方は所望の温度に加熱される。典型的な化学蒸着(“CVD”)処理ステップでは、反応ガスが各加熱された基板上を通過し、それによって、CVD反応は、基板表面に反応ガスの反応物質の薄層を堆積する。また、処理は、原子層堆積(“ALD”)、プラズマ拡大原子層堆積(“PEALD”)、減圧CVD(“RPCVD”)又は基板上に材料の薄層を堆積させるための他のプロセスを含む。その後の工程を経て、これらの層は、集積回路に作られ、基板の大きさ及び回路の複雑さに応じて数十から数千又は何百万もの統合されたデバイスに作られる。
様々なプロセスパラメータは、得られた堆積層の高品質を確保するために慎重に制御する必要がある。そのような重要なパラメータは、各処理ステップ中の基板の温度である。CVD中、例えば、成膜ガスが基板上に薄い層を堆積させるために特定の温度で反応する。温度は、基板の表面全体にわたって大きく変化する場合、堆積層は、不均一になるかあるいは完成した基板の表面に使用不能の領域につながる可能性の欠陥を有する。したがって、反応ガスが処理チャンバに導入されている間、基板温度が安定して均一であることが重要である。
同様に、他の熱処理中に基板全体の温度の不均一性や不安定性は、基板の表面上に生じる構造の均一性に影響を与える。温度制御が重要になる他の処理は、酸化、窒化、ドーパント拡散、スパッタ堆積、フォトリソグラフィー、ドライエッチング、プラズマプロセス、および高温アニールを含むがこれに限定しない。
処理される基板近傍の又は処理される基板に直に隣接する様々な位置で温度を測定するための方法及びシステムが知られている。一般的に、熱電対は、処理される基板の近くの様々な場所に配置されており、これらの熱電対は、基板の表面全体にわたってより均一な温度を提供することを支援するためにコントローラに作動的に接続される。例えば、バンビルゼンに発行された米国特許第6121061は、基板を囲む様々なポイントで温度を測定する複数のセンサを開示し、基板の前端近くに、後縁近くに、基板の側面に隣接して、及びそれらの中央近くの基板の下方に配置された熱電対を含む。
半導体処理チャンバで使用されている熱電対は、通常、反応室内へ導入されるガス及び反応体からそれと共に配置された熱電対ワイヤを保護するための細長いシースを有する。また、熱電対は、通常、シースの長さを拡張し、それらがそれらの間に熱電対を形成するように異なる金属で形成された一対のワイヤを収容するように構成された支持部材を含む。より短い寿命は、スループット又は所定の時間にわたって処理されるワークの数が品質の重要な指標及びツール全体の所有コストである製造のより頻繁な休止時間を必要とするので、熱電対の寿命は、半導体処理ツールで重要である。したがって、熱電対は温度や圧力の周期的な変化に耐えることができることが重要である。短縮熱電対の寿命に関連する典型的な課題は、断線と一貫性のない温度測定を含む。熱電対の一貫性のない温度測定は、シースの測定チップに対するワイヤの接合(すなわち、熱電対の接点)の一貫性のない場所から生じる。ジャンクションの位置が変化すると、温度測定の精度と一貫性が減少する。熱電対で障害が発生したと考えられる場合の一例は、測定温度が正確でない場合、または測定から測定まで一貫性がないときである。反応チャンバは、その後、一時中止され、障害が発生した熱電対が取り除かれ、ツールの休止時間が収益性を低下させ、ツールの所有コストを増大する。従って、シースに対するジャンクションの移動を防止するためにジャンクションが配置されている一貫性のある位置を提供する熱電対の設計が必要である。
必要性は、ジャンクションとシースとの間の間隙が続いて生産される熱電対間で容易に繰り返し可能であるように容易に製造可能なシースの測定チップから離間されたガード付きジャンクションを含む温度検知熱電対のために存在する。本発明の一態様では、反応器内の温度を測定するための熱電対アッセンブリが提供される。熱電対アッセンブリは、シースの遠位端に配置された測定チップを有するシースを含む。また、熱電対アッセンブリは、支持部材を含む。支持部材の少なくとも一部分がシース内に収容される。第1線及び第2線が異なる金属で形成され、支持部材内に収容される。第1線及び第2線の各端部は互いに融合されてそれらの間に電熱対ジャンクションを形成する。凹部領域が支持部材の遠位端に形成され、支持部材の遠位端がシース内に収容される。電熱対ジャンクションは、凹部領域のベースに直に隣接して配置される。
本発明の他の態様では、化学蒸着反応器内の温度を測定するための熱電対アッセンブリが提供される。熱電対アッセンブリは、細長い支持部材を含む。支持部材は、それと共に第1線及び第2線の少なくとも一部分を収容するように構成され、第1線及び第2線は異なる金属で形成される。また、熱電対アッセンブリは、測定チップを有する細長いシースを含む。シースは、支持部材の遠位端が測定チップでシースの内面と接するように支持部材を収容するように構成される。熱電対アッセンブリは、第1線及び第2線の各々の端部を融合することによって形成された電熱対ジャンクションを更に含む。凹部領域は、測定チップに隣接した支持部材の遠位端の中に形成され、電熱対ジャンクションは、シースの測定チップに対して実質的に固定された位置に維持される。
本発明のさらに他の態様では、半導体処理反応器で使用するための温度制御システムが提供される。温度制御システムは、反応器内に配置された少なくとも一つの熱要素を含む。また、温度制御システムは、少なくとも一つの熱要素に作動的に接続されたコントローラを含み、コントローラは、少なくとも一つの熱要素を制御するように構成される。さらに、温度制御システムは、反応器内に配置された少なくとも一つの温度センサを含み、温度センサは、コントローラに温度データを提供するためにコントローラに作動的に接続される。少なくとも一つの温度センサは、熱電対アッセンブリであり、熱電対アッセンブリは、シースの遠位端に配置された測定チップを有するシースを含む。また、熱電対アッセンブリは、支持部材を含み、支持部材の少なくとも一部分がシース内に収容される。また、熱電対アッセンブリは、異なる金属で形成された第1線及び第2線を含み、第1線及び第2線の一部分が支持部材内に収容される。第1線及び第2線の各端部が互いに融合されてそれらの間に電熱対ジャンクションを形成する。凹部領域は、支持部材の遠位端に形成され、支持部材の遠位端は、シースの測定チップと接する。電熱対ジャンクションは、シースの測定チップに対して実質的に固定された位置に配置される。
本発明の利点は、図示によって示され説明された本発明の実施形態の以下の説明から当業者に明らかになるであろう。実現されるように、本発明は、他の及び異なる実施形態が可能であり、その詳細は様々な点で変更が可能である。従って、図面及び説明は、本質的に例示し、制限的ではないとみなされる。
図1は、CVD反応器の実施形態の断面図である。 図2は、温度制御システムの実施形態の概略図である。 図3は、熱電対アッセンブリの実施形態である。 図4は、一般に当該分野で公知の熱電対の接点の拡大図である。 図5は、保護付き電熱対ジャンクションを有する熱電対アッセンブリの実施形態である。 図6Aは、保護付き電熱対ジャンクションの実施形態の拡大断面図である。 図6Bは、保護付き電熱対ジャンクションの他の実施形態の拡大断面図である。 図6Cは、保護付き電熱対ジャンクションの他の実施形態の拡大断面図である。 図6Dは、保護付き電熱対ジャンクションの更に別の実施形態の拡大断面図である。 図6Eは、保護付き電熱対ジャンクションの更に別の実施形態の拡大断面図である。
図1を参照すると、半導体基板を処理するための化学蒸着(“CVD”)反応器10の例示的な実施形態が示される。図示の実施形態は、単一の基板で水平方向に流れる冷壁反応器であるが、本明細書中に記載された熱電対概念は、半導体処理反応器の他のタイプに使用されると共に、正確な温度センサを必要とする他の非半導体処理アプリケーションで使用されることを当業者に理解されるべきである。CVD反応器10は、反応空間14を画定する反応チャンバ12と、反応チャンバ12の両側に配置された熱要素16と、基板支持構造18とを含む。反応チャンバ12は、反応空間14の中に反応ガスを導入するインレット20と、そこを通じて反応ガスとプロセスの副産物が反応空間14を出るアウトレット22を有する細長い部材である。実施形態では、反応チャンバ12は、透明な石英で形成されている。反応チャンバ12は、その中の堆積プロセスに対して実質的に非反応性であるのに十分な他の材料で形成されることができることを当業者によって理解されるべきである。
熱要素16は、図1に示されるように、上バンクと下バンクを形成する。熱要素16は、同じバンク内で隣接する熱要素16に対して離間して配向される。実施形態では、上バンクの熱要素16は、下バンクの熱要素16に対して実質的に垂直に配向される。熱要素16は、反応チャンバ12の壁によってかなり吸収することなく反応チャンバ12に放射エネルギを提供する。熱要素16は、処理される基板及び基板支持構造18の部分によって吸収される放射熱を提供するように構成される。
基板支持構造18は、図1に示すように、その上に基板24が配置される基板ホルダ28と、サセプタ支持部材30とを含む。サセプタ支持部材30は、反応チャンバ12の下壁に依存するチューブ34を通じて下方に延びるシャフト32に接続される。モータ(図示せず)は、シャフト32を回転するように構成され、それによって、対応するように基板ホルダ28と基板24を回転する。実施形態では、基板ホルダ28は、シリコンカーバイド(SiC)被覆の黒鉛で形成され、サセプタ支持部材30は、透明な石英で形成される。基板支持機構18の部材が、反応チャンバ12の中に導入されたプロセスガスに関して実質的に不活性であり処理される基板24を支持するのに十分な材料で形成できることを当業者によって理解されるべきである。
図1−2に示すように、複数の温度センサは、基板24と、基板24の近くの様々な場所で温度を測定するための基板ホルダ28とに隣接して配置される。図示の実施形態では、温度センサは、基板ホルダ28の下面に形成された盲目の空洞内に配置された中央温度センサ36、先端温度センサ38、後端温度センサ40、及び少なくとも一方側端の温度センサ42を含む。先端温度センサ38及び後端温度センサ40は、反応空間14内のガスの流れの方向Aに対して基板24の前端及び後端に隣接して配置される。温度センサは、温度センサのチップを囲むローカライズされた領域で温度を測定するように構成される。CVD反応器10の温度制御システム44は、処理される基板24に隣接して配置された複数の温度センサ36、38、40、42を含み、温度センサは、コントローラ46に垂直な位置で温度データを提供する温度コントローラ46に作動的に接続される。コントローラ46は、基板24に隣接して配置された少なくとも一つの熱要素16に作動的に接続される。温度コントローラ46は、処理される基板24全体にわたってほぼ均一な温度分布を維持するために温度センサが提供するデータに応じて熱要素(複数の熱要素)16に供給されるエネルギを調整するように構成されている。温度制御システム44は、コントローラ46にデータを提供するためのさまざまな場所に配置された任意の数の温度センサを含むことができることを当業者によって理解されるべきである。
実施形態では、温度制御システム44で使用される温度センサは熱電対アッセンブリ48である。他の温度センサ36、38、40、42は、光高温計、当該分野で公知の熱電対及びそれらの組み合わせとして形成されることを当業者によって理解されるべきである。図3−4に示すように、熱電対アッセンブリの典型的な実施形態は、一般的に周知であり、シース50、支持部材52、カラー54、第1線56、第2線58、スプリング60、カップアッセンブリ62及びプラグ64を含む。シース50は、長手方向軸線を有する実質的に円筒形の細長い部材である。シース50は、温度測定が望まれる位置に直に隣接して配置されるように構成された測定チップを含む。また、支持部材52は、長手方向軸線を有する実質的に円筒形の細長い部材であり、支持部材52の一部分がシース50内に収容される。支持部材52は、あらゆるタイプのセラミック又は周期的温度変化及び熱電対アッセンブリ48がさらされる温度領域に耐えるのに十分な他の材料で形成されることができる。熱電対アッセンブリ48は、中央温度センサ36、先端温度センサ38、後端温度センサ40及び側端温度センサ42として使用されることができる。熱電対アッセンブリ48は、正確な温度センサが必要とされている他のアプリケーションで使用されることを当業者に理解されるべきである。図示の熱電対アッセンブリ48は、実質的に線形であるが、熱電対アッセンブリ48は、熱電対アッセンブリ48のチップが処理される基板又は処理される基板を支持する基板支持28に隣接した特に所望の位置に配置されるのを許容するのに十分な形状に形成されることができることを当業者に理解されるべきである。
熱電対アッセンブリ48は、図4に示すように、第1線56と第2線58とを含み、第1線56及び第2線58は、異なる金属で形成される。実施形態では、第1線56は、プラチナで形成され、第2線58は、13%のロジウムを有するプラチナの合金で形成されている。第1線56及び第2線58は、それの間に熱電対を形成するのに十分な異なる材料で形成されることができることを当業者に理解されるべきである。第1線56及び第2線58は、支持部材52の長手方向軸線に沿って支持部材52の中心を通って形成された対応する穴68の中に収容される。第1線56及び第2線58の各々の一部は、シース50の測定チップ66に隣接して支持部材52の端部を超えて延びる。従来の熱電対アッセンブリでは、図4に示すように、第1線56及び第2線58の部分は、ビーズを形成するために互いに融合された測定チップ66又は熱電対ジャンクション70に隣接して支持部材52の端部を超えて延びる。スプリング60は、測定チップ66で熱電対の接点70とシース50の内面との間で一定の接触を確実にするように構成されている。スプリング60が一般的に、熱電対ジャンクション70をシース50と接触した状態に維持するために中央温度センサ36として使用された熱電対アッセンブリに使用されるが、スプリングは、前端、後端、又は側端の温度センサ38、40、42で使用した熱電対アッセンブリに必要ではないことを当業者に理解されるべきである。
熱電対ジャンクション70と熱電対アッセンブリ48温度を測定する位置との間の距離は、熱電対アッセンブリ48の設計の重要な特性である。熱電対アッセンブリ48を製造する工程では、測定チップ66内の熱電対ジャンクション70の位置が熱電対から熱電対まで実質的に一定であることが重要である。スプリング60は、支持部材52に一体に取り付けられたカラー54上にスプリング力を及ぼすように構成され、カラー54にかけられたスプリング力は、カラー54を測定チップ66の方に付勢し、熱電対ジャンクション70と測定チップ66との一定の接触を確実にする。以前の周知の熱電対アッセンブリの組み立て中、熱電対ジャンクション70は摺動し又は測定チップ66内でオフセットになり、それによって、熱電対アッセンブリ48によって測定された温度の精度が低下する。さらに、反応チャンバ12(図1)内の熱サイクル中に、温度変化は、熱電対ジャンクション70が摺動又は測定チップ66内でオフセットさせる。さらに、熱電対ジャンクション70は、シース50内で支持部材52の端部を超えて延びるので、熱電対ジャンクション70と第1線56及び第2線58の一部分とは、CVD反応器内で熱要素16にさらされる。この熱要素16に対する直接的な露呈は、反応チャンバ12の熱サイクル中で熱電対ジャンクション70と第1線56及び第2線58を損傷させる。さらに、熱電対ジャンクション70は支持部材52の端部を超えて延びるので熱電対ジャンクション70と第1線56及び第2線58は、反応チャンバ内で熱要素16にさらされる。このように、熱電対ジャンクション70がさらされる高温と組み合わせでスプリング60の圧縮力は、時間をかけて熱電対ジャンクション70の形状を変形する。この変形は、熱電対によって測定された温度の精度に悪影響を与える。
改善された熱電対アッセンブリ100の例示的な実施形態が図5に示される。熱電対アッセンブリ100は、シース102、支持部材104、カラー106、第1線108、第2線110、スプリング112、カップアッセンブリ114及びプラグ116を含む。実施形態では、シース102は、長手方向軸線を有する実施的に円筒形の細長い部材である。シース102は、温度測定が望まれる位置に直に隣接して配置されるように構成された測定チップ118を含む。実施形態では、シース102は、透明な石英で形成されている。熱電対アッセンブリ100が反応チャンバ12内に収められる温度範囲に十分に耐えることができる材料で形成されることを当業者は理解されるべきである。また、支持部材104は、長手方向軸線を有する実質的に円筒形の細長い部材であり、支持部材104の一部分がシース102内に収容される。支持部材104は、シース102内に収容されるのに十分な形状として形成されることを当業者に理解されるべきである。支持部材104は、セラミック又は周期的温度変化及び熱電対アッセンブリ100がさらされる温度領域に耐えるのに十分な他の材料で形成されることができる。熱電対アッセンブリ100は、図2に示すように、中央温度センサ36、先端温度センサ38、後端温度センサ40及び側端温度センサ42として使用されることができる。熱電対アッセンブリ100は、正確な温度センサが必要とされている他のアプリケーションで使用されることを当業者に理解されるべきである。図示の熱電対アッセンブリ100は、実質的に線形であるが、熱電対アッセンブリ100は、熱電対アッセンブリ100のチップ118が特に所望の位置に配置されるのを許容するのに十分な形状に形成されることができることを当業者に理解されるべきである。
熱電対アッセンブリ100は、図5に示すように、第1線108と第2線110とを含み、第1線108及び第2線110は、異なる金属で形成される。実施形態では、第1線108は、プラチナで形成され、第2線110は、13%のロジウムを有するプラチナの合金で形成されている。第1線108及び第2線110は、それの間に熱電対を形成するのに十分な異なる材料で形成されることができることを当業者に理解されるべきである。第1線108及び第2線110は、支持部材104の長手方向軸線に沿って支持部材104の中心を通って形成された対応する穴120の中に収容される。
実施形態では、図6A−6Dに示すように、測定チップ118に隣接した支持部材104のチップは、凹部領域122を形成する。図6Aに示された実施形態では、凹部領域122は、傾斜した側面124を含む。傾斜した側面124は、傾斜した側面124が支持部材104の遠位端から離れる方向に延びるように支持部材104の長手方向軸線の方に内方に傾斜する。ベース126は、支持部材104の端部において凹部領域122に底面を形成する。ベース126は、実質的に平面である。凹部領域122は、支持部材104の端部の中に概ね頭を切断された円錐形状のくぼみを形成する。凹部領域122は、支持部材104の遠位端を形成する縁部128によって囲まれる。実施形態では、傾斜した側面124は、縁部128が実質的に平らな棚を形成するように支持部材104の外面から半径方向内方に離間される。他の実施形態では、傾斜した側面124は、支持部材104の遠位端が環状のリングを形成するように支持部材104の外面(図示せず)から延びる。実施形態では、凹部領域122は、支持部材104を成形するときに形成されることができる。他の実施形態では、凹部領域122は、支持部材104の一部分を切断又は粉砕することによって形成されることができる。凹部領域122は、熱電対ジャンクション130を保護するために構成された側壁138を提供するのに十分な方法によって形成されることを当業者によって理解されるべきである。
組み立て中に、図6Aに示されるように、第1線108及び第2線110の一部分は凹部領域122の中にそれらの対応する穴120から延びる。第1線108及び第2線110のさらされた部分は、ビーズ又は熱電対ジャンクション130を形成するために互いに融合される。熱電対ジャンクション130は、凹部領域122のベース126に直接隣接して又はベース126と接触して配置され、傾斜した側面124によって保護される。ひとたび熱電対ジャンクション130が形成されると、支持部材104は、縁部128が測定チップ118でシース102の内面と接するまでシース102の中に挿入される。組み立てられると、熱電対ジャンクション130は、シース102の測定チップ118に対して実質的に固定した位置に配置される。
図6A−6Dは、シース102の内面と接する熱電対ジャンクション130を図示し、図6Eは、シースに対して離間された関係に配置された熱電対ジャンクション130を図示する。熱電対アッセンブリ100は、熱電対ジャンクション130がシース102と接するか直に隣接するが、熱電対ジャンクション130がスプリング112からスプリング力に従わないで測定チップ118に対して実質的に固定された場所又は位置に存在するように組み立てられることができることを当業者によって理解されるべきである。例えば、実施形態では、熱電対ジャンクション130は、熱電対ジャンクション130が測定チップ118でシース102の内面と接するように凹部領域122内に配置される。しかしながら、スプリング112は、シースの内面に対して支持部材104を付勢するので、熱電対ジャンクション130は、静止し、スプリング112からシース102に対するスプリング力を受けない。他の実施形態では、ジャンクションは、シース112の内面に直に隣接して離間配置されたように配置される。
図6Bに示す熱電対アッセンブリ100の他の実施形態では、凹部領域122は、側面132とベース134とを含む。側面132は、支持部材104の長手方向軸線に対して実質的に平行なように支持部材104の厚さの中に遠位端から延びる。ベース134は、支持部材104の端部で凹部領域122の底面を形成する。ベース134は、側面132に対して90度に形成された平面である。凹部領域122は、支持部材104の端部の中に概ね円筒形のくぼみを形成する。凹部領域122は、支持部材104の遠位端を形成する縁部128によって囲まれる。実施形態では、側面132は、縁部128が実質的に平らな棚を形成するように支持部材104の外面から半径方向内方に離間される。
組み立て中に、図6Bに示されるように、第1線108及び第2線110の一部分は凹部領域122の中にそれらの対応する穴120から延びる。第1線108及び第2線110のさらされた部分は、ビーズ又は熱電対ジャンクション130を形成するために互いに融合される。熱電対ジャンクション130は、凹部領域122のベース126に直接隣接して又はベース126と接触して配置され、側面132によって保護される。ひとたび熱電対ジャンクション130が形成されると、支持部材104は、縁部128が測定チップ118でシース102の内面と接するまでシース102の中に挿入される。組み立てられると、熱電対ジャンクション130は、シース102の測定チップ118に対して実質的に固定した位置に配置される。
図6Cに示す実施形態では、凹部領域122は傾斜した面136を含む。傾斜した面136は、傾斜した面136が支持部材104の遠位端から離れる方向に延びるように支持部材104の長手方向軸線の方に内方に傾斜する。凹部領域122は、支持部材104の端部の中に概ね半球状のくぼみを形成する。凹部領域122は、支持部材104の遠位端を形成する縁部によって囲まれる。実施形態では、傾斜した側面136は、縁部128が実質的に平らな棚を形成するように支持部材104の外面から半径方向内方に離間される。他の実施形態では、傾斜した側面136は、支持部材104の遠位端が環状のリングを形成するように支持部材104の外面から延びる。実施形態では、凹部領域122は、支持部材104を成形するときに形成されることができる。他の実施形態では、凹部領域122は、支持部材104の一部分を切断又は粉砕することによって形成されることができる。凹部領域122は、熱電対ジャンクション130を保護するために構成された側壁138を提供するのに十分な方法によって形成されることを当業者によって理解されるべきである。
組み立て中に、図6Cに示されるように、第1線108及び第2線110の一部分は凹部領域122の中にそれらの対応する穴120から延びる。第1線108及び第2線110のさらされた部分は、ビーズ又は熱電対ジャンクション130を形成するために互いに融合される。熱電対ジャンクション130は、凹部領域122のベース126に直接隣接して又はベース126と接触して配置され、傾斜した面136によって保護される。ひとたび熱電対ジャンクション130が形成されると、支持部材104は、縁部128が測定チップ118でシース102の内面と接するまでシース102の中に挿入される。組み立てられると、熱電対ジャンクション130は、シース102の測定チップ118に対して実質的に固定した位置に配置される。
図6Dに示す実施形態では、凹部領域122は傾斜した面140を含む。傾斜した面140は、傾斜した面140が支持部材104の遠位端から離れる方向に延び、傾斜した面140が支持部材104の長手方向軸線上に又はその軸線に実質的に近傍にポイントを形成するように支持部材104の長手方向軸線の方に内方に傾斜する。凹部領域122は、支持部材104の端部の中に概ねV形状又は円錐形のくぼみを形成する。凹部領域122は、支持部材104の遠位端を形成する縁部128によって囲まれる。実施形態では、傾斜した面140は、縁部128が実質的に平らな棚を形成するように支持部材104の外面から半径方向内方に離間される。他の実施形態では、縁部128が半径方向に延びる厚さがほとんどない又は全くない棚を形成するように傾斜した側面136は支持部材104の外面から延びる。実施形態では、凹部領域122は、支持部材104の端部の中に傾斜した表面140を切断又は粉砕することによって形成されることができる。凹部領域122は、熱電対ジャンクション130を保護するために構成された側壁138を提供するのに十分な方法によって形成されることを当業者によって理解されるべきである。
組み立て中に、図6Dに示されるように、第1線108及び第2線110の一部分は凹部領域122の中にそれらの対応する穴120から延びる。第1線108及び第2線110のさらされた部分は、ビーズ又は熱電対ジャンクション130を形成するために互いに融合される。熱電対ジャンクション130は、傾斜した面140が半径方向内方に延びるように傾斜した面140によって形成されたポイントに直に隣接して配置される。ひとたび熱電対ジャンクション130が形成されると、支持部材104は、縁部128が測定チップ118でシース102の内面と接するまでシース102の中に挿入される。組み立てられると、熱電対ジャンクション130は、シース102の測定チップ118に対して実質的に固定した位置に配置される。
図6A−6Dに示された凹部領域122は、支持部材104の長手方向軸線に沿って実質的に対称なくぼみであるように示される。しかしながら、支持部材104の端部は、凹部領域122を含む必要はなく、その長手方向軸線を中心にして対称である必要はない。例えば、図6Eは、支持部材の端部が、支持部材の傾斜した面142が実質的に平らで支持部材104の長手方向軸線にたいして傾斜して形成されるように切り捨てられた実施形態を示す。それに隣接して熱電対ジャンクション130が平らに形成された支持部材104の端部がくぼみ又は凹部領域を含み、あるいは、熱電対ジャンクション130が測定チップ118でシース102の内面に対して相対的に配置されるのを許容するように形状づけられることを当業者に理解されるべきである。
実施形態では、熱電対ジャンクション130は、図6A−6Eに示されるように、測定チップ118でシース102の内面と接合関係である。支持部材104の側壁138とシース102との間の接触は、測定チップ118に対して熱電対ジャンクション130を付勢するスプリング力が実質的にないようにスプリング112によって支持部材104にかけられたスプリング力のほぼすべてを吸収する。スプリング力が支持部材104とシース102との間の接触によって吸収されるので、熱電対ジャンクション130は、スリップ又は変形しないで測定チップ118と接触して実質的に固定された位置にある。他の実施形態では、図6Eに示されるように、熱電対ジャンクション130は、測定チップ118でシース102の内面に直に隣接して配置され、それによって、熱電対ジャンクション130とシース102との間に少しの間隙を提供する。熱電対ジャンクション130は測定チップ118でシース102の内面と接触して又は内面から離れる方向に離間され、支持部材104とシース102との接触は、熱電対から熱電対まで測定チップ118に対して実施的に固定された位置に熱電対ジャンクション130が存在するのを許容するように、測定チップ118に対して熱電対ジャンクション130を典型的に付勢するスプリング力を減少又は排除するように構成されることを当業者に理解されるべきである。
図6Eに示された実施形態では、熱電対ジャンクション130が測定チップ118でシース102の内面から離間される距離は、約1mmである。他の実施形態では、熱電対ジャンクション130が測定チップ118でシース102の内面から離間される距離は、約0.5mmである。さらに他の実施形態では、熱電対ジャンクション130が測定チップ118でシース102の内面から離間される距離は、約5mmより小さく、より具体的には約1mmより小さい。他の実施形態では、熱電対ジャンクション130が測定チップ118でシース102の内面から離間される距離は、約0.1mm乃至1.5mmである。熱電対ジャンクション130との間の離間された距離は、あらゆる距離にすることができるが、離間された距離は、各熱電対アッセンブリ100に対する熱電対ジャンクション130と測定チップ118との間の離間された距離が実質的に同じであるように続いて製造される熱電対間のメンテナンスを容易にする必要がある。
図6A−6Dに示されるように、熱電対ジャンクション130は、支持部材104に形成された凹部領域122のベースに配置される。凹部領域122のベースに隣接して配置されると、スプリング112が熱電対アッセンブリ100の測定チップ118の方に支持部材104を付勢するようにスプリング112は熱電対ジャンクション130に圧縮力を伝えない。一般的に周知の中央熱電対では、スプリングは、ジャンクションと測定チップとの間に接触を維持するためにジャンクションを測定チップと接触状態に付勢する。しかしながら、ジャンクションにかけられたこのスプリング力は、シースの内面に対してジャンクションを圧縮させ、典型的に、熱電対の温度測定のエラー及び早期故障を生じるジャンクションの変形を生じる。これに対して、本発明の改善された熱電対アッセンブリ100の熱電対ジャンクション130は、スプリング112からのスプリング力によって支持部材104とシース102との間の一定の圧縮のもとに熱電対ジャンクション130があった場合に生じる熱電対ジャンクション130が測定チップ118に対して摺動せず又はオフセットにならないように、測定チップ118でシース102と接触してあるいはシース102に直に隣接して、凹部領域122内に実質的に固定された位置にあることができる。熱電対ジャンクション130は、シース102に対して摺動しない又はオフセットにならないで支持部材104の凹部領域122内に実質的に固定された位置にあるので、熱電対アッセンブリ100によって提供された温度データは、一貫性がある。さらに、シース102に対する熱電対ジャンクション130の不慮の摺動又はオフセットを防止することは、熱電対アッセンブリ100の温度データが反応チャンバ12内の多くの熱サイクル後に一貫性があるため、熱電対アッセンブリ100の寿命を増加させる。
凹部領域122は、熱電対アッセンブリ100の支持部材104の遠位端の中に形成された概ねくぼんだ領域である。図6A−6Dに示す実施形態では、凹部領域122は、支持部材104の長手方向軸線を中心に実質的に対称である。凹部領域122は、支持部材104の長手方向軸線を中心に非対称にすることができることを当業者は理解されるべきである。また、凹部領域122の形状は、凹部領域122のベースに配置された熱電対ジャンクション130を実質的に囲むのに十分な形状にすることができることを当業者は理解されるべきである。凹部領域122によって形成されたくぼみは、側壁138が完全にジャンクションを囲むように支持部材104の遠位端の方に凹部領域122のベースから延びる側壁138を形成する。さらに、シース102の測定チップ118に対して実質的に固定された位置に熱電対ジャンクション130を維持することに加えて、凹部領域122の側壁138は、熱要素16(図1)によって生じた放射熱から熱電対ジャンクション130の保護を提供する。従って、熱電対ジャンクション130は、直接放射線から遮蔽され、それによって、熱電対ジャンクション130及び凹部領域122内の第1線108、第2線110のさらされた部分への損傷を減少する。
図6A−6Eに示されるように、シース102の測定チップ118は、シース102の遠位端にある曲がったチップとして形成される。代替的な実施形態では、測定チップ118は、シース102の側壁138に実質的に垂直に形成されることができる。測定チップ118の形状は、あらゆる形状にすることができることを当業者は理解されるべきである。凹部領域122のベースの実質的に固定された位置に形成されたジャンクション及び測定チップ118での支持部材104の縁部128とシース102の内面との間の接触は、熱電対ジャンクション130が続いて起こる熱電対100の製造中測定チップ118に対して実質的に同じ位置に配置されるのを許容する。熱電対ジャンクション130は、凹部領域122内に実質的に固定された位置に維持されるので、熱電対ジャンクション130と測定チップ118との間の関係は実質的に一定である。製造業の熱電対における重要な変数の一つは、シース102の測定チップ118に対し実質的に固定された位置に熱電対ジャンクション130を一貫して維持する能力である。続いて製造される熱電対の測定チップ118に対する熱電対ジャンクション130の実質的に一貫性のある位置を提供する能力は、製造中又は反応チャンバインサートの熱サイクル中にジャンクションが摺動し、圧縮された平坦になり、あるいは支持部材の遠位端でオフセットになる一般的に周知の熱電対以上の改善を提供する。
本発明の好適な実施形態について説明したが、本発明は限定されず、変形は本発明から逸脱しないでなされることができる。本発明の範囲は添付の特許請求の範囲によって定義され、クレームの意味内に入るすべてのデバイス、プロセス、方法は、文字どおりに又は等価的にそこに包含されることが意図される。

Claims (22)

  1. 化学蒸着反応器内の温度を測定するための熱電対アッセンブリであって、
    シースの遠位端に配置された測定チップを有するシースと、
    支持部材であって、支持部材の少なくとも一部分がシース内に収容される支持部材と、
    異なる金属で形成された第1線及び第2線であって、第1線及び第2線の一部分が支持部材内に収容され、第1線及び第2線の各端部が互いに融合されてそれらの間に電熱対ジャンクションを形成する、第1線及び第2線と、
    支持部材の遠位端に形成された凹部領域であって、支持部材の遠位端がシースと接し、電熱対ジャンクションが凹部領域のベースに直に隣接して配置された、凹部領域とを備える、熱電対アッセンブリ。
  2. 請求項1記載の熱電対アッセンブリにおいて、
    電熱対ジャンクションは、シースの測定チップから固定された離間された距離で配置される熱電対アッセンブリ。
  3. 請求項2記載の熱電対アッセンブリにおいて、
    電熱対ジャンクションとシースの測定チップとの間の固定された離間された距離は、5mmよりも小さい熱電対アッセンブリ。
  4. 請求項2記載の熱電対アッセンブリにおいて、
    電熱対ジャンクションとシースの測定チップとの間の固定された離間された距離は、1mmよりも小さい熱電対アッセンブリ。
  5. 請求項1記載の熱電対アッセンブリにおいて、
    電熱対ジャンクションは、シースの測定チップと接する熱電対アッセンブリ。
  6. 請求項1記載の熱電対アッセンブリにおいて、
    縁部が支持部材の遠位端で凹部領域の回りに形成される熱電対アッセンブリ。
  7. 請求項6記載の熱電対アッセンブリにおいて、
    縁部は、支持部材の長手方向軸線に対して実質的に垂直に延びる棚である熱電対アッセンブリ。
  8. 請求項6記載の熱電対アッセンブリにおいて、
    縁部は、測定チップでシースの内面と接する熱電対アッセンブリ。
  9. 請求項1記載の熱電対アッセンブリにおいて、
    電熱対ジャンクションは、凹部領域のベースに対して実質的に固定した位置に維持される熱電対アッセンブリ。
  10. 請求項1記載の熱電対アッセンブリにおいて、
    凹部領域の周りに形成された側壁を更に備え、側壁は、電熱対ジャンクションを保護する熱電対アッセンブリ。
  11. 請求項1記載の熱電対アッセンブリにおいて、
    凹部領域は、実質的に半球状のくぼみを形成する熱電対アッセンブリ。
  12. 請求項1記載の熱電対アッセンブリにおいて、
    凹部領域は、実質的に円筒形のくぼみを形成する熱電対アッセンブリ。
  13. 請求項1記載の熱電対アッセンブリにおいて、
    凹部領域は、先端が切り取られた円錐形のくぼみを形成する熱電対アッセンブリ。
  14. 請求項1記載の熱電対アッセンブリにおいて、
    凹部領域は、円錐形のくぼみを形成する熱電対アッセンブリ。
  15. 請求項1記載の熱電対アッセンブリにおいて、
    第1線は、プラチナで形成され、第2線は、約13%のロジウムを有するプラチナの合金で形成される熱電対アッセンブリ。
  16. 化学蒸着反応器内の温度を測定するための熱電対アッセンブリであって、
    それと共に第1線及び第2線の少なくとも一部分を収容するように構成された細長い支持部材であって、第1線及び第2線は異なる金属で形成された細長い支持部材と、
    測定チップを有する細長いシースであって、支持部材の遠位端が測定チップでシースの内面と接するように支持部材を収容するように構成された細長いシースと、
    第1線及び第2線の各々の端部を融合することによって形成された電熱対ジャンクションと、
    細長い支持部材の遠位端の中に形成された凹部領域であって、電熱対ジャンクションがシースの測定チップに対して実質的に固定された位置に維持される熱電対アッセンブリ。
  17. 半導体処理反応器で使用するための温度制御システムであって、
    反応器内に配置された少なくとも一つの熱要素と、
    少なくとも一つの熱要素に作動的に接続され、少なくとも一つの熱要素を制御するように構成されたコントローラと、
    コントローラに温度データを提供するためにコントローラに作動的に接続された、反応器内に配置された少なくとも一つの温度センサであって、熱電対アッセンブリを備える少なくとも一つの温度センサとを備え、
    熱電対アッセンブリは、
    シースの遠位端に配置された測定チップを有するシースと、
    支持部材であって、支持部材の少なくとも一部分がシース内に収容される支持部材と、
    異なる金属で形成された第1線及び第2線であって、第1線及び第2線の一部分が支持部材内に収容され、第1線及び第2線の各端部が互いに融合されてそれらの間に電熱対ジャンクションを形成する、第1線及び第2線と、
    支持部材の遠位端に形成された凹部領域であって、支持部材の遠位端がシースの測定チップと接し、電熱対ジャンクションがシースの測定チップに対して実質的に固定された位置に配置される、凹部領域とを有する温度制御システム。
  18. 請求項17記載の温度制御システムにおいて、
    凹部領域は、電熱対ジャンクションを囲む側壁を形成し、それによって、少なくとも一つの熱要素からの放射エネルギから電熱対ジャンクションを保護する温度制御システム。
  19. 請求項17記載の温度制御システムにおいて、
    凹部領域は、支持部材の遠位端の実質的に半球状のくぼみとして形成される温度制御システム。
  20. 請求項17記載の温度制御システムにおいて、
    凹部領域は、支持部材の遠位端の実質的に円筒形のくぼみとして形成される温度制御システム。
  21. 請求項17記載の温度制御システムにおいて、
    凹部領域は、平面として形成され、平面は、支持部材の長手方向軸線に対して傾斜して配向される温度制御システム。
  22. 請求項17記載の温度制御システムにおいて、
    支持部材の遠位端で凹部領域の周りに形成された縁部を更に備え、縁部は、電熱対ジャンクションと測定チップとの間に離間した距離を提供するためにシースの内面と接する温度制御システム。
JP2012509857A 2009-05-06 2010-04-30 保護付熱電対ジャンクションを有する熱電対アッセンブリ Active JP5335992B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/436,300 US8382370B2 (en) 2009-05-06 2009-05-06 Thermocouple assembly with guarded thermocouple junction
US12/436,300 2009-05-06
PCT/US2010/033244 WO2010129428A1 (en) 2009-05-06 2010-04-30 Thermocouple assembly with guarded thermocouple junction

Publications (2)

Publication Number Publication Date
JP2012526282A true JP2012526282A (ja) 2012-10-25
JP5335992B2 JP5335992B2 (ja) 2013-11-06

Family

ID=43050380

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2012509857A Active JP5335992B2 (ja) 2009-05-06 2010-04-30 保護付熱電対ジャンクションを有する熱電対アッセンブリ

Country Status (4)

Country Link
US (2) US8382370B2 (ja)
JP (1) JP5335992B2 (ja)
TW (1) TWI489526B (ja)
WO (1) WO2010129428A1 (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8616765B2 (en) 2008-12-08 2013-12-31 Asm America, Inc. Thermocouple
USD702188S1 (en) 2013-03-08 2014-04-08 Asm Ip Holding B.V. Thermocouple
US9267850B2 (en) 2009-05-06 2016-02-23 Asm America, Inc. Thermocouple assembly with guarded thermocouple junction
US9297705B2 (en) 2009-05-06 2016-03-29 Asm America, Inc. Smart temperature measuring device

Families Citing this family (311)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2007149959A2 (en) * 2006-06-22 2007-12-27 Bae Systems Programmable circuit for drift compensation
US20090052498A1 (en) * 2007-08-24 2009-02-26 Asm America, Inc. Thermocouple
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8100583B2 (en) * 2009-05-06 2012-01-24 Asm America, Inc. Thermocouple
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8734012B2 (en) * 2009-08-21 2014-05-27 Therm-O-Disc, Incorporated Temperature sensor
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
EP2700923B1 (en) * 2012-07-04 2017-06-21 Services Pétroliers Schlumberger Apparatus for determining fluid characteristics
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US10153185B2 (en) * 2013-03-14 2018-12-11 Applied Materials, Inc. Substrate temperature measurement in multi-zone heater
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10728956B2 (en) * 2015-05-29 2020-07-28 Watlow Electric Manufacturing Company Resistive heater with temperature sensing power pins
GB2594416B (en) * 2015-06-17 2022-02-02 Busway Solutions Llc Systems, methods, and apparatus useful for busway power distribution
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10186437B2 (en) * 2015-10-05 2019-01-22 Lam Research Corporation Substrate holder having integrated temperature measurement electrical devices
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10373794B2 (en) 2015-10-29 2019-08-06 Lam Research Corporation Systems and methods for filtering radio frequencies from a signal of a thermocouple and controlling a temperature of an electrode in a plasma chamber
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
CN106289558A (zh) * 2016-08-19 2017-01-04 宁波中家院电器技术有限公司 一种测量微波炉加热均匀性的方法改进
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
RU174817U1 (ru) * 2017-05-10 2017-11-03 федеральное государственное бюджетное образовательное учреждение высшего образования "Омский государственный университет им. Ф.М. Достоевского" Микрокалориметр с вакуумированной измерительной ячейкой
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
RU181370U1 (ru) * 2017-11-07 2018-07-11 федеральное государственное бюджетное образовательное учреждение высшего образования "Омский государственный университет им. Ф.М. Достоевского" Микрокалориметр с вакуумированной измерительной ячейкой
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
CN111413002A (zh) * 2019-01-08 2020-07-14 日新离子机器株式会社 基板温度测定装置和半导体制造装置
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111141401B (zh) * 2019-12-12 2020-10-27 西安交通大学 一种探针式薄膜热电偶及其制备方法
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US11815403B2 (en) 2020-07-16 2023-11-14 Solar Manufacturing, Inc. Specialty control thermocouple for vacuum heat treat furnaces
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0523079U (ja) * 1991-09-06 1993-03-26 川崎炉材株式会社 熱電対装置
JPH11287715A (ja) * 1998-04-02 1999-10-19 Canon Inc 熱電対
JP2006153706A (ja) * 2004-11-30 2006-06-15 Taiyo Nippon Sanso Corp 測温体および気相成長装置
US20090052498A1 (en) * 2007-08-24 2009-02-26 Asm America, Inc. Thermocouple

Family Cites Families (171)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US154695A (en) * 1874-09-01 Improvement in processes of brightening tobacco
US2059480A (en) * 1933-09-20 1936-11-03 John A Obermaier Thermocouple
US2266416A (en) * 1939-01-14 1941-12-16 Western Electric Co Control apparatus
US2563931A (en) * 1946-04-02 1951-08-14 Honeywell Regulator Co Rate responsive thermocouple
US2480557A (en) * 1946-08-02 1949-08-30 Harry S Cummins Detachable thermocouple housing
US2660061A (en) * 1949-03-05 1953-11-24 Dominion Eng Works Ltd Immersion type thermocouple temperature measuring device
GB752277A (en) 1953-10-28 1956-07-11 Canadian Ind 1954 Ltd Improved thermocouple unit
US3011006A (en) * 1958-11-10 1961-11-28 Carborundum Co Process and apparatus for measuring high temperatures
US3038951A (en) * 1961-01-19 1962-06-12 Leeds & Northrup Co Fast acting totally expendable immersion thermocouple
US3263502A (en) * 1964-01-21 1966-08-02 Redwood L Springfield Multiple thermocouple support
FR1408266A (fr) 1964-06-30 1965-08-13 Realisations Electr Et Electro Prise de raccordement pour thermocouples
US3588192A (en) * 1969-06-02 1971-06-28 Trw Inc Hydraulic skid control system
CA1002299A (en) * 1971-06-24 1976-12-28 William H. Trembley Installation tool
FR2181175A5 (ja) * 1972-04-20 1973-11-30 Commissariat Energie Atomique
JPS5132766B2 (ja) * 1972-07-25 1976-09-14
US4217463A (en) * 1978-03-13 1980-08-12 National Distillers And Chemical Corporation Fast responsive, high pressure thermocouple
US4234449A (en) * 1979-05-30 1980-11-18 The United States Of America As Represented By The United States Department Of Energy Method of handling radioactive alkali metal waste
JPS5611329A (en) * 1979-07-09 1981-02-04 Nippon Kokan Kk <Nkk> Measuring method of melted metal temperature in vessel
US4355912A (en) * 1980-09-12 1982-10-26 Haak Raymond L Spring loaded sensor fitting
JPS5819462A (ja) 1981-07-24 1983-02-04 Kawasaki Steel Corp 電縫溶接鋼管
US4454370A (en) * 1982-09-07 1984-06-12 Wahl Instruments, Inc. Thermocouple surface probe
US4444990A (en) * 1982-09-08 1984-04-24 Servo Corporation Of America Heat sensing device
US4527005A (en) * 1984-03-13 1985-07-02 The United States Of America As Represented By The United States Department Of Energy Spring loaded thermocouple module
US4590326A (en) 1984-06-14 1986-05-20 Texaco Inc. Multi-element thermocouple
US4692556A (en) * 1984-06-29 1987-09-08 Electro-Nite Company Repeating temperature sensing immersion probe
US4592307A (en) * 1985-02-28 1986-06-03 Rca Corporation Vapor phase deposition apparatus
JPS61234789A (ja) 1985-04-10 1986-10-20 Mitsui Toatsu Chem Inc L―トリプトファンよりインドールの分離回収方法
US4721534A (en) * 1985-09-12 1988-01-26 System Planning Corporation Immersion pyrometer
JPS62134936A (ja) 1985-12-05 1987-06-18 アニコン・インコ−ポレ−テツド 腐食耐性をもつたウエ−フア−・ボ−ト及びその製造法
US4721533A (en) * 1986-08-01 1988-01-26 System Planning Corporation Protective structure for an immersion pyrometer
US4749416A (en) * 1986-08-01 1988-06-07 System Planning Corporation Immersion pyrometer with protective structure for sidewall use
US4976996A (en) * 1987-02-17 1990-12-11 Lam Research Corporation Chemical vapor deposition reactor and method of use thereof
US5198034A (en) * 1987-03-31 1993-03-30 Epsilon Technology, Inc. Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment
JPH0648217B2 (ja) * 1987-12-24 1994-06-22 川惣電機工業株式会社 溶融金属の連続測温装置
US4830515A (en) * 1987-12-28 1989-05-16 Omega Engineering, Inc. Mounting clip for a thermocouple assembly
FR2628985B1 (fr) * 1988-03-22 1990-12-28 Labo Electronique Physique Reacteur d'epitaxie a paroi protegee contre les depots
US4978567A (en) * 1988-03-31 1990-12-18 Materials Technology Corporation, Subsidiary Of The Carbon/Graphite Group, Inc. Wafer holding fixture for chemical reaction processes in rapid thermal processing equipment and method for making same
JP2859632B2 (ja) * 1988-04-14 1999-02-17 キヤノン株式会社 成膜装置及び成膜方法
IT1227708B (it) * 1988-07-29 1991-05-06 Pomini Farrel Spa Dispositivo di rilevamento della temperatura del materiale contenuto entro un apparecchio chiuso.
US5158128A (en) * 1988-09-01 1992-10-27 Sumitec, Inc. Thermocouple for a continuous casting machine
JPH0523079Y2 (ja) 1988-11-19 1993-06-14
JPH02185038A (ja) 1989-01-11 1990-07-19 Nec Corp 熱処理装置
US4934831A (en) * 1989-03-20 1990-06-19 Claud S. Gordon Company Temperature sensing device
US5360269A (en) * 1989-05-10 1994-11-01 Tokyo Kogyo Kabushiki Kaisha Immersion-type temperature measuring apparatus using thermocouple
US5061083A (en) 1989-06-19 1991-10-29 The United States Of America As Represented By The Department Of Energy Temperature monitoring device and thermocouple assembly therefor
AU622743B2 (en) * 1989-11-22 1992-04-16 Nippon Steel Corporation Thermocouple-type temperature sensor and method of measuring temperature of molten steel
LU87693A1 (fr) * 1990-03-07 1991-10-08 Wurth Paul Sa Sonde de prise d'echantillons gazeux et de mesures thermiques dans un four a cuve
JPH0464025A (ja) * 1990-07-02 1992-02-28 Matsushita Electric Ind Co Ltd 調理器用温度センサー
JP2780866B2 (ja) * 1990-10-11 1998-07-30 大日本スクリーン製造 株式会社 光照射加熱基板の温度測定装置
US5228114A (en) 1990-10-30 1993-07-13 Tokyo Electron Sagami Limited Heat-treating apparatus with batch scheme having improved heat controlling capability
US5071258A (en) * 1991-02-01 1991-12-10 Vesuvius Crucible Company Thermocouple assembly
US5104514A (en) * 1991-05-16 1992-04-14 The United States Of America As Represented By The Secretary Of The Navy Protective coating system for aluminum
CN2101223U (zh) 1991-06-10 1992-04-08 李国飞 热电偶温度计保护套管
JP3040212B2 (ja) * 1991-09-05 2000-05-15 株式会社東芝 気相成長装置
US5294778A (en) * 1991-09-11 1994-03-15 Lam Research Corporation CVD platen heater system utilizing concentric electric heating elements
JPH05118928A (ja) 1991-10-25 1993-05-14 Tokyo Electron Ltd 接触式の温度測定方法
US5193912A (en) 1991-11-18 1993-03-16 Saunders Roger I Probe for sensing and measuring temperature
US5455069A (en) * 1992-06-01 1995-10-03 Motorola, Inc. Method of improving layer uniformity in a CVD reactor
US5271967A (en) * 1992-08-21 1993-12-21 General Motors Corporation Method and apparatus for application of thermal spray coatings to engine blocks
US6438502B1 (en) 1992-10-07 2002-08-20 Dallas Semiconductor Corporation Environmental condition sensor device and method
US6235858B1 (en) * 1992-10-30 2001-05-22 Ppg Industries Ohio, Inc. Aminoplast curable film-forming compositions providing films having resistance to acid etching
DE4244189C2 (de) * 1992-12-24 1995-06-01 Busch Dieter & Co Prueftech Anlegetemperaturfühler
US5421893A (en) * 1993-02-26 1995-06-06 Applied Materials, Inc. Susceptor drive and wafer displacement mechanism
US5456761A (en) * 1993-07-15 1995-10-10 Alcan International Limited High temperature and abrasion resistant temperature measuring device
JPH07209093A (ja) 1994-01-20 1995-08-11 Tokyo Electron Ltd 温度計
US5474618A (en) * 1994-04-19 1995-12-12 Rdc Controle Ltee Protective ceramic device for immersion pyrometer
US5493987A (en) * 1994-05-16 1996-02-27 Ag Associates, Inc. Chemical vapor deposition reactor and method
JP3137164B2 (ja) * 1994-06-02 2001-02-19 信越半導体株式会社 熱処理炉
DE4429825C1 (de) * 1994-08-23 1995-11-09 Heraeus Quarzglas Beschichtetes Bauteil aus Quarzglas
US5669713A (en) 1994-09-27 1997-09-23 Rosemount Inc. Calibration of process control temperature transmitter
US5514439A (en) * 1994-10-14 1996-05-07 Sibley; Thomas Wafer support fixtures for rapid thermal processing
US5716133A (en) * 1995-01-17 1998-02-10 Applied Komatsu Technology, Inc. Shielded heat sensor for measuring temperature
US5663899A (en) 1995-06-05 1997-09-02 Advanced Micro Devices Redundant thermocouple
US6093252A (en) 1995-08-03 2000-07-25 Asm America, Inc. Process chamber with inner support
JPH0989676A (ja) 1995-09-21 1997-04-04 Casio Comput Co Ltd 電子体温計
US5791782A (en) * 1995-09-21 1998-08-11 Fusion Systems Corporation Contact temperature probe with unrestrained orientation
US5697706A (en) * 1995-12-26 1997-12-16 Chrysler Corporation Multi-point temperature probe
KR100212132B1 (ko) 1996-04-24 1999-08-02 윤종용 횡형 확산로의 프로파일 열전대
US5788799A (en) * 1996-06-11 1998-08-04 Applied Materials, Inc. Apparatus and method for cleaning of semiconductor process chamber surfaces
EP0818671A3 (en) * 1996-07-12 1998-07-08 Isuzu Ceramics Research Institute Co., Ltd. A ceramic sheath type thermocouple
US5904778A (en) * 1996-07-26 1999-05-18 Applied Materials, Inc. Silicon carbide composite article particularly useful for plasma reactors
US5806980A (en) * 1996-09-11 1998-09-15 Novellus Systems, Inc. Methods and apparatus for measuring temperatures at high potential
US5611265A (en) * 1996-09-13 1997-03-18 Ronci; Fernando F. Combination charbroiler and fryer with spinning food basket
US5857777A (en) 1996-09-25 1999-01-12 Claud S. Gordon Company Smart temperature sensing device
JP3740587B2 (ja) 1996-11-25 2006-02-01 山里産業株式会社 熱電対
US5753835A (en) * 1996-12-12 1998-05-19 Caterpillar Inc. Receptacle for holding a sensing device
US6120640A (en) * 1996-12-19 2000-09-19 Applied Materials, Inc. Boron carbide parts and coatings in a plasma reactor
JP3492135B2 (ja) 1997-02-13 2004-02-03 三菱重工業株式会社 熱流束計
JPH10239165A (ja) * 1997-02-27 1998-09-11 Sony Corp 基板の温度測定器、基板の温度を測定する方法および基板の加熱方法
JP3124506B2 (ja) * 1997-03-14 2001-01-15 白光株式会社 ヒータ・センサ複合体
US5910221A (en) * 1997-06-18 1999-06-08 Applied Materials, Inc. Bonded silicon carbide parts in a plasma reactor
EP0887632A1 (en) 1997-06-24 1998-12-30 Isuzu Ceramics Research Institute Co., Ltd. A ceramic thermocouple for measuring temperature of molten metal
US6104011A (en) 1997-09-04 2000-08-15 Watlow Electric Manufacturing Company Sheathed thermocouple with internal coiled wires
US6258170B1 (en) * 1997-09-11 2001-07-10 Applied Materials, Inc. Vaporization and deposition apparatus
WO1999018496A1 (en) * 1997-10-07 1999-04-15 Electronics Development Corporation Transducer assembly with smart connector
JPH11118615A (ja) 1997-10-09 1999-04-30 Kakunenryo Cycle Kaihatsu Kiko 伸縮性を有する被測定物用温度センサ
EP1036407A1 (en) 1997-11-03 2000-09-20 ASM America, Inc. Method of processing wafers with low mass support
WO1999023276A1 (en) 1997-11-03 1999-05-14 Asm America, Inc. Long life high temperature process chamber
WO1999023691A2 (en) * 1997-11-03 1999-05-14 Asm America, Inc. Improved low mass wafer support system
JPH11183264A (ja) 1997-12-16 1999-07-09 Tokyo Yogyo Co Ltd 熱電対をもつ温度測定器
JPH11183265A (ja) 1997-12-16 1999-07-09 Tokyo Yogyo Co Ltd 熱電対をもつ温度測定器
US6193414B1 (en) * 1998-01-06 2001-02-27 Alfiero Balzano Dual protected instant temperature detector
US6091062A (en) 1998-01-27 2000-07-18 Kinetrix, Inc. Method and apparatus for temperature control of a semiconductor electrical-test contractor assembly
JPH11281497A (ja) 1998-03-27 1999-10-15 Kawasaki Steel Corp 金属体内部の温度を測定するシース熱電対の取付け方法
US6129808A (en) * 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same
US6170429B1 (en) * 1998-09-30 2001-01-09 Lam Research Corporation Chamber liner for semiconductor process chambers
US6257758B1 (en) * 1998-10-09 2001-07-10 Claud S. Gordon Company Surface temperature sensor
US6462671B2 (en) 1998-10-20 2002-10-08 Brendyl Trent Bushner Remote securities based data reception and order system
KR100317238B1 (ko) 1998-11-03 2002-02-19 윤종용 가열로 온도검출용 스파이크 열전대 소자_
DE19936942A1 (de) 1999-08-05 2001-02-08 Bosch Gmbh Robert Brennstoffeinspritzventil
US6227140B1 (en) * 1999-09-23 2001-05-08 Lam Research Corporation Semiconductor processing equipment having radiant heated ceramic liner
US6293700B1 (en) 1999-09-24 2001-09-25 Fluke Corporation Calibrated isothermal assembly for a thermocouple thermometer
AU782587B2 (en) 1999-10-13 2005-08-11 Texaco Development Corporation Sapphire reinforced thermocouple protection tube
US6342691B1 (en) * 1999-11-12 2002-01-29 Mattson Technology, Inc. Apparatus and method for thermal processing of semiconductor substrates
US7166165B2 (en) * 2000-04-06 2007-01-23 Asm America, Inc. Barrier coating for vitreous materials
US6878906B2 (en) * 2000-08-30 2005-04-12 Ibiden Co., Ltd. Ceramic heater for semiconductor manufacturing and inspecting equipment
KR20020086763A (ko) 2001-05-10 2002-11-20 주식회사 엘지이아이 플라즈마를 이용한 연속중합장치용 열전대
US7008802B2 (en) * 2001-05-29 2006-03-07 Asm America, Inc. Method and apparatus to correct water drift
US20030002562A1 (en) * 2001-06-27 2003-01-02 Yerlikaya Y. Denis Temperature probe adapter
JP2003035574A (ja) 2001-07-23 2003-02-07 Mitsubishi Heavy Ind Ltd 応答型センサ及び応用計測システム
US6658895B2 (en) * 2001-08-16 2003-12-09 Richter Precision, Inc. Carbon nitride coating for optical media discs
SE0104252D0 (sv) 2001-12-17 2001-12-17 Sintercast Ab New device
US6580050B1 (en) * 2002-01-16 2003-06-17 Pace, Incorporated Soldering station with built-in self-calibration function
JP4157914B2 (ja) * 2002-03-20 2008-10-01 坂野 數仁 温度測定装置及び温度測定方法
US20030231698A1 (en) 2002-03-29 2003-12-18 Takatomo Yamaguchi Apparatus and method for fabricating a semiconductor device and a heat treatment apparatus
US20030192435A1 (en) * 2002-04-11 2003-10-16 Mcnair John Duncan Cooking appliance
KR20030092305A (ko) 2002-05-29 2003-12-06 삼성전자주식회사 고온 언도우프 막 증착 설비의 챔버 외벽에 대한 온도측정장치
JP4231953B2 (ja) 2002-09-24 2009-03-04 ペガサスネット株式会社 耳孔式saw体温計及び該体温計による体温管理システム
JP3671951B2 (ja) * 2002-10-08 2005-07-13 住友電気工業株式会社 測温装置及びそれを用いたセラミックスヒータ
US6676290B1 (en) * 2002-11-15 2004-01-13 Hsueh-Yu Lu Electronic clinical thermometer
US7223014B2 (en) 2003-03-28 2007-05-29 Intempco Controls Ltd. Remotely programmable integrated sensor transmitter
US20090204403A1 (en) 2003-05-07 2009-08-13 Omega Engineering, Inc. Speech generating means for use with signal sensors
DE10328660B3 (de) 2003-06-26 2004-12-02 Infineon Technologies Ag Verfahren zum Bestimmen der Temperatur eines Halbleiterwafers
US20050101843A1 (en) * 2003-11-06 2005-05-12 Welch Allyn, Inc. Wireless disposable physiological sensor
JP2005172489A (ja) 2003-12-09 2005-06-30 Tokyo Yogyo Co Ltd 溶湯用測温プローブ
WO2005086331A2 (en) 2004-03-02 2005-09-15 Rosemount, Inc. Process device with improved power generation
US7363195B2 (en) * 2004-07-07 2008-04-22 Sensarray Corporation Methods of configuring a sensor network
US7689687B2 (en) * 2004-07-30 2010-03-30 Fisher-Rosemount Systems, Inc. Communication controller with automatic time stamping
JP4698190B2 (ja) 2004-09-22 2011-06-08 川惣電機工業株式会社 測温装置
US7806587B2 (en) * 2004-09-29 2010-10-05 Citizen Holdings Co., Ltd. Electronic clinical thermometer and method of producing the same
JP4214124B2 (ja) * 2005-03-14 2009-01-28 株式会社バイオエコーネット 耳式体温計
US20060275933A1 (en) * 2005-06-02 2006-12-07 Applied Materials, Inc. Thermally conductive ceramic tipped contact thermocouple
TW200702647A (en) * 2005-07-13 2007-01-16 Actherm Inc Heat conductive structure of electronic clinical thermometer and clinical thermometer with the same
JP5017950B2 (ja) 2005-09-21 2012-09-05 株式会社Sumco エピタキシャル成長装置の温度管理方法
US7561982B2 (en) 2005-11-10 2009-07-14 Shake Awake Products, LLC Physical attribute recording method and system
US7963917B2 (en) 2005-12-05 2011-06-21 Echo Therapeutics, Inc. System and method for continuous non-invasive glucose monitoring
US7857506B2 (en) 2005-12-05 2010-12-28 Sencal Llc Disposable, pre-calibrated, pre-validated sensors for use in bio-processing applications
CN1815155A (zh) 2006-02-24 2006-08-09 吴加伦 线形动态表面热电偶及其测试方法与测温方法
EP2008068A2 (en) 2006-03-31 2008-12-31 Mesoscribe Technologies, Inc. Thermocouples
US7997795B2 (en) * 2006-05-02 2011-08-16 Watlow Electric Manufacturing Company Temperature sensors and methods of manufacture thereof
JP5027573B2 (ja) 2006-07-06 2012-09-19 株式会社小松製作所 温度センサおよび温調装置
DE502007004378D1 (de) * 2006-09-06 2010-08-26 Kistler Holding Ag Temperatursensor mit bearbeitbarer Front
TW200822253A (en) 2006-10-02 2008-05-16 Matsushita Electric Ind Co Ltd Component crimping apparatus control method, component crimping apparatus, and measuring tool
JP4270284B2 (ja) 2007-01-30 2009-05-27 トヨタ自動車株式会社 車輪状態監視システムおよび車輪状態検出装置
JP4569638B2 (ja) * 2007-01-31 2010-10-27 株式会社デンソー 温度センサ
US7871198B2 (en) 2007-02-26 2011-01-18 Battelle Energy Alliance, Llc High-temperature thermocouples and related methods
US7621672B2 (en) * 2007-03-19 2009-11-24 Babcock & Wilcox Technical Services Y-12, Llc Thermocouple shield
GB0709723D0 (en) 2007-05-22 2007-06-27 Goodrich Control Sys Ltd Temperature sensing
US7874726B2 (en) 2007-05-24 2011-01-25 Asm America, Inc. Thermocouple
US7651269B2 (en) * 2007-07-19 2010-01-26 Lam Research Corporation Temperature probes having a thermally isolated tip
US20090034582A1 (en) * 2007-08-02 2009-02-05 Tokyo Electron Limited Tbs Broadcast Center Apparatus for hot plate substrate monitoring and control
US7993057B2 (en) 2007-12-20 2011-08-09 Asm America, Inc. Redundant temperature sensor for semiconductor processing chambers
US8496377B2 (en) * 2007-12-31 2013-07-30 Covidien Lp Thermometer having molded probe component
US7946762B2 (en) 2008-06-17 2011-05-24 Asm America, Inc. Thermocouple
US8185443B2 (en) 2008-10-27 2012-05-22 Ebay, Inc. Method and apparatus for authorizing a payment via a remote device
US8262287B2 (en) 2008-12-08 2012-09-11 Asm America, Inc. Thermocouple
US8118484B2 (en) 2009-03-31 2012-02-21 Rosemount Inc. Thermocouple temperature sensor with connection detection circuitry
US9297705B2 (en) 2009-05-06 2016-03-29 Asm America, Inc. Smart temperature measuring device
US8100583B2 (en) * 2009-05-06 2012-01-24 Asm America, Inc. Thermocouple
US8382370B2 (en) 2009-05-06 2013-02-26 Asm America, Inc. Thermocouple assembly with guarded thermocouple junction
JP5819462B2 (ja) 2014-03-25 2015-11-24 彰浩 田坂 手腕分離型カバー

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0523079U (ja) * 1991-09-06 1993-03-26 川崎炉材株式会社 熱電対装置
JPH11287715A (ja) * 1998-04-02 1999-10-19 Canon Inc 熱電対
JP2006153706A (ja) * 2004-11-30 2006-06-15 Taiyo Nippon Sanso Corp 測温体および気相成長装置
US20090052498A1 (en) * 2007-08-24 2009-02-26 Asm America, Inc. Thermocouple

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8616765B2 (en) 2008-12-08 2013-12-31 Asm America, Inc. Thermocouple
US9267850B2 (en) 2009-05-06 2016-02-23 Asm America, Inc. Thermocouple assembly with guarded thermocouple junction
US9297705B2 (en) 2009-05-06 2016-03-29 Asm America, Inc. Smart temperature measuring device
USD702188S1 (en) 2013-03-08 2014-04-08 Asm Ip Holding B.V. Thermocouple

Also Published As

Publication number Publication date
TW201115627A (en) 2011-05-01
JP5335992B2 (ja) 2013-11-06
TWI489526B (zh) 2015-06-21
US20130148693A1 (en) 2013-06-13
US20100282163A1 (en) 2010-11-11
US9267850B2 (en) 2016-02-23
US8382370B2 (en) 2013-02-26
WO2010129428A1 (en) 2010-11-11

Similar Documents

Publication Publication Date Title
JP5335992B2 (ja) 保護付熱電対ジャンクションを有する熱電対アッセンブリ
US7946762B2 (en) Thermocouple
EP2156155B1 (en) Thermocouple
EP2370996B1 (en) Thermocouple
US20090052498A1 (en) Thermocouple
US9297705B2 (en) Smart temperature measuring device
JP4669476B2 (ja) 半導体製造時にウェハを支持するホルダ
US20100284438A1 (en) Thermocouple
JP5915026B2 (ja) 温度測定用板状体及びそれを備えた温度測定装置
KR20140090809A (ko) 서셉터 지지부를 구비하는 웨이퍼 에피택셜 성장 장치
KR101803513B1 (ko) 기판 처리 장치
JP2007266439A (ja) 基板処理装置および基板処理方法
US20140146854A1 (en) Temperature calibration and control for semiconductor reactors

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20130311

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130313

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130613

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130702

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130731

R150 Certificate of patent or registration of utility model

Ref document number: 5335992

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250