JP2009507372A - 珪化された溝形シリコン - Google Patents

珪化された溝形シリコン Download PDF

Info

Publication number
JP2009507372A
JP2009507372A JP2008529139A JP2008529139A JP2009507372A JP 2009507372 A JP2009507372 A JP 2009507372A JP 2008529139 A JP2008529139 A JP 2008529139A JP 2008529139 A JP2008529139 A JP 2008529139A JP 2009507372 A JP2009507372 A JP 2009507372A
Authority
JP
Japan
Prior art keywords
metal
trench
silicon
integrated circuit
mixture
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2008529139A
Other languages
English (en)
Other versions
JP4984177B2 (ja
Inventor
ネジャド,ハッサン
フィグラ,トーマス,エー.
ハラー,ゴードン,エー.
アイヤー,ラヴィ
メルドゥリム,ジョン,マーク
ハーニッシュ,ジャスティン
Original Assignee
マイクロン テクノロジー, インク.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by マイクロン テクノロジー, インク. filed Critical マイクロン テクノロジー, インク.
Publication of JP2009507372A publication Critical patent/JP2009507372A/ja
Application granted granted Critical
Publication of JP4984177B2 publication Critical patent/JP4984177B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/24Alloying of impurity materials, e.g. doping materials, electrode materials, with a semiconductor body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823835Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes silicided or salicided gate conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28097Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a metallic silicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823443MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes silicided or salicided gate conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/05Making the transistor
    • H10B12/053Making the transistor the transistor being at least partially in a trench in the substrate
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/50Peripheral circuit region structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • H01L29/4975Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2 being a silicide layer, e.g. TiSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66621Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation using etching to form a recess at the gate location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/03Making the capacitor or connections thereto
    • H10B12/033Making the capacitor or connections thereto the capacitor extending over the transistor

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Semiconductor Memories (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

溝形シリコンの完全珪化のための方法及び構造が提供される。シリコン(52)にトレンチ(50)が設けられている。金属の混合物(55)がシリコン(52)上に設けられ、ここで、それら金属のうちの一方は、シリコンが金属中へ拡散するよりもシリコン中へ拡散し易く、金属のうちの他方は、シリコンが金属中へ拡散するよりもシリコン中へ拡散し難い。例示的な混合物は、80%のニッケルと20%のコバルトを含んでいる。トレンチ(50)内のシリコン(52)は、トレンチ(50)が比較的高アスペクト比であるにも係わらず、空隙の形成なしに完全に珪化(56)されることが可能である。他にも色々あるデバイスの中でも特に溝形アクセスデバイス(RAD)が、メモリアレイ(10)のための方法によって形成可能である。
【選択図】図7

Description

本発明は、一般に珪化反応及びその生成物に係り、特には溝中でのシリコンの完全な珪化(珪化物化(silicidation))に関する。
集積回路の設計は、電力消費を低減させ、かつ、速度を増加させようとして、継続的にスケールダウンされつつある。各々の経過世代(passing generation)では、デバイスは、集積化のための各種問題を掲げつつ、より小さくなる傾向にあり、かつ、より高密度に詰め込まれる傾向にある。集積化のための問題の1つは、導電性素子のために提供された小さな容積である。受け入れ可能な回路速度を達成するためには、そのような素子が非常に高い導電率を備えていることが重要である。
他の問題は、高アスペクト比のトレンチ(trenches)又はビア(vias)を配置又は充填することの困難性に関するものである。例えば、ダマシン金属化(damascene metallization)には細長いトレンチが使用され、垂直方向のコンタクトを形成するのには分離されたホール又はビアが使用され、メモリセルキャパシタの形成には基板上にスタックされたトレンチや基板中の深いトレンチが使用される。そのようなビア中へ堆積を行なって高アスペクト比を得ることは、各々の経過世代では、より難問になりつつある。堆積又はそれに続く処理の期間中に、空隙が形成されやすくなり、これによりデバイス生産高が低下してしまう。
本発明の一態様によれば、集積回路中に金属珪化物構造を形成するための方法が提供される。この方法は、部分的に製造された集積回路内に溝(recess)を設けることを含んでいる。この溝内にシリコンが堆積される。上記溝上に上記シリコンと接触させて金属の混合物が堆積され、この金属の混合物は、シリコンに対して反対の拡散係数を有する少なくとも2つの金属を含む。この金属の混合物が溝内で反応させられて、溝内に金属珪化物が形成される。
本発明の他の態様によれば、集積回路用の溝形アクセスデバイス(recessed access device)を形成するための方法が提供される。この方法は、半導体構造中にトレンチをエッチングすることを含んでいる。このトレンチは、誘電体層で内張りされ、この内張りされたトレンチは少なくとも部分的にシリコンで充填される。トレンチ上にはシリコンと接触させて金属層が堆積される。トレンチ内のシリコンは、珪化反応において金属層と完全に反応させられる。
本発明の他の態様によれば、金属珪化物構造を含む集積回路が提供される。金属珪化物により、溝の少なくとも下方部分が空隙なしで充填される。この金属珪化物は少なくとも第1の金属の混合物を含み、この第1の金属は、シリコンが第1の金属中において有するよりも大きな拡散係数をシリコン中において有する。上記金属珪化物は第2の金属をも含み、この第2の金属は、シリコンが第2の金属中において有するよりも小さな拡散係数をシリコン中において有する。
本発明の他の態様によれば、メモリデバイスが提供される。このデバイスは、メモリアレイ中に溝形アクセスデバイスを含んでおり、このデバイスは、半導体基板中の溝と、この溝を内張りする薄い誘電体層と、トレンチの少なくとも一部分を空隙なしで充填する金属珪化物とを含んでいる。
本発明は、好ましい実施形態の詳細な説明及び添付図面から一層良く理解されるであろうが、これらは例示を意図するものであって、本発明を限定するものではない。
本発明の好ましい実施形態はピッチ二重化技術(pitch doubling technique)と組み合わせて示されているが、これらの好ましい実施形態の回路設計が何らかの集積回路内に組み込まれてもよいと理解されるべきである。特に、それらは、論理又はゲートアレイや、DRAM、RAM、フラッシュメモリのような揮発性又は不揮発性メモリデバイスを含む電気デバイスのアレイを有する何らかのデバイスを形成するのに、都合良く適用可能である。ここに記載された方法によって形成された集積回路は、マザーボード、デスクトップ又はラップトップコンピュータ、デジタルカメラ、個人向けのデジタル支援機器のような多くの一層大きなシステムにおけるいずれかや、メモリが役立つ多くのデバイスにおけるいずれかに組み入れ可能である。
本発明の一実施形態に従ってレイアウトされた1つのメモリデバイスであるDRAMの設計及び動作が、図中に示され、また、以下に一層詳細に記載されている。
図1は、メモリデバイス10の一部分の図を示している。この概略的なレイアウトは、メモリデバイス10を形成する各種の電気デバイス及びその他の構成要素を示している。勿論、これらの構成要素の多くは、純粋に視覚的な描写で識別できるものではないが、図1中に示された構成要素の幾つかは、それらの機能を強調するために、わざと他の構成要素から識別できるようにしてある。メモリデバイス10は、電気デバイスの形成される半導体材料の最下レベルを形成する基板11の上又は中に構築されている。この基板11は、典型的にはシリコンからできている。勿論、当業者に周知であるように、他の適当な材料(例えば、その他のIII−V族元素)も使用可能である。その他の構成要素について記述する場合、それらの深さ又は高さは、図2中に最も良く示されているように、基板11の上面を基準することで最も容易に理解可能である。
図1中には、メモリデバイス10に沿って延びる4本の細長いワードライン12a、12b、12c、12dも示されている。好ましい実施形態においては、これらのワードライン12はピッチ二重化技術を用いて形成された。特に、これらのワードライン12は、好ましくは、図3〜9を参照して一層詳細に議論される方法によって形成される。そのような技術を用いることで、結果的に得られる構成のピッチを、フォトリソグラフィ技術によって規定される最小ピッチよりも小さくすることが可能である。例えば、一実施形態においては、結果的に得られる構成のピッチを、フォトリソグラフィ技術によって規定される最小ピッチの半分に等しくすることが可能である。
一般に、ピッチ二重化は、当業者によって良く理解されているように、以下の順序からなるステップによって行なわれ得る。まず、フォトリソグラフィが用いられて、消耗材層及び基板の上に横たわるフォトレジスト層にラインのパターンが形成される。このフォトリソグラフィ技術は、隣接するライン間のピッチ2Fを達成し、このピッチは上述したようにフォトリソグラフィの光学特性によって制限される。一実施形態においては、Fは60から100nmまでの範囲内である。この範囲は、構成を規定するのに使用される最新式のフォトリソグラフィ技術において典型的である。或るフォトリソグラフィシステムではFは約86nmに等しいが、他のシステムではFは約78nmに等しい。
フォトリソグラフィによって規定された各ラインの幅は、典型的にはFとして規定されてもよく、これは当業者であれば良く理解し得ることであろう。続いて、このパターンが、エッチングステップ(好ましくは、異方性の)によって、消耗材の下方層に転写されて
もよく、これにより、上記の下方層にプレースホルダ(placeholders)又はマンドレル(mandrels)が形成される。その後、フォトレジストラインが剥離され、マンドレルが異方的にエッチされることが可能で、これにより、隣り合ったマンドレル間の距離が増大される。好ましくは、隣り合ったマンドレル間の距離はFから3F/2までに増大される。或いは、異方性の“シュリンク(shrink)”又は“トリム(trim)”エッチングであれば、レジストのレベルで行なわれることも可能であろう。次に、スペーサ材料のコンフォーマル層(conformal layer)がマンドレル上に堆積されてもよい。この材料層は、マンドレルの水平面及び垂直面の両方を覆う。よって、方向性のスペーサエッチにおいて、上記水平面からスペーサ材料を優先的にエッチングすることにより、スペーサ、すなわち他の材料の側壁から延びている材料が、マンドレルの側壁に形成される。その後、残りのマンドレルが、パターン化用のマスクとして共に作用するスペーサの背後のみを残して、選択的に除去される。従って、特定のピッチ2Fが、最初には1つの構成及び1つのスペースを規定するパターンを含んでいた場合、それと同じ幅が、今はスペーサによって規定された2つの構成及び2つのスペースを含んでいる。その結果、特定のフォトリソグラフィ技術で達成可能な最小の構成サイズが、有効に減少される。このピッチ二重化の方法は、これが繰り返し行なわれることで構成のサイズが更に減少させられ、これは図3〜9を参照して以下で一層詳細に議論される。
勿論、本技術分野において周知であるように、シュリンク/トリムエッチの範囲や、堆積されるスペーサの厚さが変化されることで、構成及びピッチの各種サイズが達成される。図示された実施形態においては、フォトリソグラフィ技術が2Fのピッチを溶解するようにしている一方、この例における構成、すなわちワードライン12は、Fのピッチを有している。このワードライン12は約F/2の幅によって規定されており、隣接するワードライン12a、12b、又は12c、12dは、同じ幅F/2だけ分離されている。一方、ピッチ二重化技術の副産物として、離間したワードライン12b、12c間の分離部分が3F/2になっている。好ましい一実施形態においては、分離トレンチが絶縁体で充填され、ワードライン12b、12c間の分離部分内に配置されるが、他の実施形態においては、この分離トレンチは存在する必要がない。
3Fの距離毎に2本のワードラインが存在しており、これは、3F/2の有効ピッチとは何なのかを示している。より一般的には、ワードラインは1.25Fと1.9Fとの間の有効ピッチを有しているのが好ましい。勿論、ワードラインを規定するのに使用される特定のピッチは、ほんの一例である。他の実施形態においては、ワードラインはもっと一般的な技術によって製造されてもよく、ピッチ二重化が使用される必要はない。一実施形態においては、例えば、ワードラインのそれぞれがFの幅を有していてもよく、また、F、2F、3F又は何らかの他の幅だけ分離されていてもよい。更に他の実施形態においては、ワードラインが対で形成されている必要もない。例えば、一実施形態においては、ただ1本のワードラインのみが各アクティブ領域を通っている必要がある。
ワードライン12の全長は、図1中では見ることができないが、典型的な実行例においては、各ワードライン12は何百個、何千個、又は何百万個のトランジスタを横切って延びている場合がある。ワードライン12のエッジでは、当業者に周知であるように、ワードライン12は、典型的には、ワードライン12に電流を供給可能な電源のようなデバイスに電気的に接続されている。しばしば、ワードライン12用の電源は、メモリコントローラを介してCPUに間接的に接続されている。
一実施形態においては、ワードライン12は、ボロンのドープされたシリコンのような、p形半導体からなっている。他の実施形態においては、ワードライン12は、当業者に周知であるように、n形半導体、金属珪化物、タングステン、又はその他の同様に振舞う材料からなっていてもよい。或る実施形態においては、ワードライン12は、層構造、混
合構造、又は化学結合構造での各種の材料からなっていてもよい。
図1中に見える水平ラインは、ディジットライン14a、14bによって形成されている。例示的一実施形態においては、これらディジットラインのそれぞれの幅が図1中にDLとして示されているが、これはFに等しい。これらの例示的なディジットライン14を形成するのに、ピッチ二重化は使用されていない。隣接するディジットライン14a、14bは、好ましい一実施形態においては、図1中にSとして示されているように、2Fに等しい距離だけ分離されている。これらディジットラインのピッチは、好ましくは2.5Fよりも大きく、また、好ましくは4Fよりも小さい。ピッチ二重化技術を使用しない場合、下限は、勿論、ディジットラインを形成するのに使用されるフォトリソグラフィ技術によって課せられる。一方、この範囲の上限近くでは、フォトリソグラフィの精度が悪くてもよく、従って安価にはなるが、メモリ自身が大型化しすぎてしまう。より好ましい実施形態においては、ディジットラインのピッチが2.75Fと3.25Fとの間である。この範囲は、製造の容易性とチップのサイズとの間で、望ましいバランスを示す。図示された実施形態では、ディジットライン14は3Fのピッチを有している。勿論、他の実施形態では、異なる幅及びスペースが可能である。
ワードライン12と同様、ディジットライン14の全長も図1中に見ることができないが、ディジットライン14は典型的には多くのトランジスタを横切って延びている。ディジットライン14は、そのエッジでは、当業者に周知であるように、典型的には電流センスアンプに電気的に接続されており、それにより、電源又は電圧源に接続されている。しばしば、ディジットライン14用の電源は、メモリコントローラを介してCPUに間接的に接続されてもいる。ディジットライン14間のピッチに一層余裕を持たせた結果、センスアンプが互いに遠く配置されることが可能となり、これにより、それらの製造耐性に余裕が得られ、隣接するディジット信号の容量結合の可能性が低減される。
一実施形態においては、ディジットライン14は、タングステン、銅、又は銀のような導電性金属からなっている。他の実施形態においては、当業者に周知であるように、他の導電体又は半導体が使用されてもよい。
図1中に見ることのできる他の特徴は、曲線長方形(curvilinear rectangles)内に描かれたアクティブ領域16であり、これはディジットラインの軸Bに対して角度の付いた軸Aを形成している。これらの長方形は基板11中のドープト領域又はウェルを表しているが、他の実施形態では、これらの長方形はメモリデバイス10及び基板11の中又は上の物理構造又は材料を表している必要はない。アクティブ領域16は、メモリデバイス10におけるそれらの部分、すなわち、電界効果トランジスタを含み、かつ、典型的にはフィールド分離要素(例えば、シャロートレンチ分離(STI))によって包囲された部分を規定する。好ましい一実施形態においては、これらのアクティブ領域は、それぞれ、2つのドレイン18及び1つのソース20を備えている。これらのソース及びドレインは、当業者に周知であるように、図1に示されたものよりも大きくても小さくてもよい。それらは、当業者に周知の多くの方法のうちのいずれかで製造されてもよい。
他の実施形態においては、アクチィブ領域が1つのソース及び1つのドレインを備えていてもよく、その場合、ソースはディジットラインの近くに形成され、ドレインはワードラインによってソースから離間される。そのような実施形態において、メモリデバイスは図1のメモリデバイス10と同様に構成されてもよいが、単に、各アクティブ領域を通過する1本のワードラインが存在している必要がある。勿論、他の実施形態では、アクティブ領域が1つのソース及び1つのドレインを備えていてもよく、かつ、メモリデバイスが、図1に示された対になったワードライン12c、12dと同様に構成された、アクティブ領域の近辺を延びる2本のワードラインを更に備えてもよい。そのような実施形態にお
いては、2本のワードラインの両方がソース及びドレイン間を延びることで、トランジスタの冗長制御を提供するようにしてもよい。
図示されているように、ディジットライン14は、このディジットラインの行中に横たわる各ソース20に近接して、かつ、好ましくはその上を、走っている(図2を参照)。一方、各ソース20は、そのどちらかの側がそれに隣接するドレイン18からワードライン12によって離間されている。一実施形態においては、ソース20及びドレイン18は、リン又はアンチモンのドープされたシリコンのような、n形半導体材料からなっている。他の実施形態では、ソース20及びドレイン18はp形半導体からなっていてもよく、又は、当業者に周知であるような他の材料から製造されてもよい。実際、ソース20及びドレイン18は同一の化合物から製造される必要はない。
メモリデバイス10の動作について、アクティブ領域16のうちの1つの断面を示す図2を参照して、簡単に議論する。DRAMの動作の基本的な態様についての更なる議論のためには、シーリーら(Seely et al.)に発行された米国特許第3731287号でDRAMについて一層詳細に議論されており、この文献はその参照をもって全体が本開示に含まれる。
図2に示されているように、ドレイン18及びソース20は、基板11の比較的平坦な上面からの突出部を備えていてもよい。好ましい一実施形態においては、ソース20及びドレイン18は、基板と一体のものとして製造されており、モノリシックのウェハ又は基板をエッチングすることにより、基板11の表面に対して盛り上がっている。他の構成例においては、ソース及びドレインの突出部は、当業者に周知の技術を用いて、選択的エピタキシャル堆積によって形成される。
一実施形態においては、ディジットライン14bの少なくとも一部分がソース20の上面上に位置している。図2中に示されるように、ソース20はディジットラインプラグ22によってディジットライン14bに電気的に接続されており、このプラグは、多段に形成されてもよく、或いは図示のように単一段で形成されてもよい。一方、ソース20は、ワードライン12a、12bによって2つのドレイン18から離間されている。これらのワードライン12a、12bは、好ましくは、基板11中に、その表面から下方へ延びるように埋め込まれている。この設計のトランジスタは、しばしば、溝形アクセスデバイス又はRADと呼ばれる。次に、ドレイン18は、コンタクトプラグ28によって、蓄積キャパシタ24、特に蓄積キャパシタ24の下方電極26に、電気的に接続されている。好ましい実施形態においては、蓄積キャパシタ24は、誘電体材料32によって基準電極30から離間された下方電極26を備えている。この形態において、これらスタック形の蓄積キャパシタ24は、当業者に周知なように機能する。図示されているように、蓄積キャパシタ24は、基板11の平面の上方に配置されるのが好ましいが、他の態様においてはトレンチキャパシタが使用可能である。
一実施形態においては、各蓄積キャパシタ24の一方の側が基準電極30を形成しており、一方、下方電極26がそれに関係付けられたドレイン18に電気的に接続されている。ワードライン12a、12bは、それが通過する電界効果トランジスタにおけるゲートとして機能し、一方、ディジットライン14bは、これが電気的に接続されたソースのための信号として機能する。従って、ワードライン12a、12bは、好ましくは、ディジットライン14b上を搬送される(論理「0」又は論理「1」を表す)信号が蓄積キャパシタ24に書き込まれ又は読み出されるのを、可能にし又は防止することによって、各ドレイン18に接続された蓄積キャパシタ24へのアクセスを制御する。よって、関係付けられたドレイン18に接続された2つのキャパシタ24のそれぞれは、1ビットのデータ(すなわち、論理「0」又は論理「1」)を含むことが可能である。メモリアレイにおい
て、ディジットライン及びワードラインの組み合わせを選択することで、データを書き込むべき又は読み出すべき蓄積キャパシタ24が一意に識別可能となる。
次に図1に戻り、メモリデバイス10のデザイン及び幾何学形状は、更に詳細に議論されてもよい。図1の下方右手の隅に、多くの軸が描かれている。これらの軸は、一般に、メモリデバイス10を形成する回路要素の縦軸で整列されており、各種の電気デバイス及び構成要素の間に形成される角度を一層明確に示すために描かれている。軸Aは、アクティブ領域16の縦軸を示している。各アクティブ領域16のドレイン18及びソース20は、好ましくは、縦軸を規定するのに使用されてもよい、実質的に直線的な関係を有している。図示されているように、アクティブ領域16の全てが実質的に平行である。勿論、ドレイン18及びソース20は、完全に真っ直ぐな線を形成する必要はなく、実際は、これら3点によって実質的な角度が規定されてもよい。従って、或る実施形態においては、軸Aは、2つのドレイン18によって規定されてもよく、又は、ソース20及びただ1つのドレイン18によって規定されてもよく、或いは、当業者であれば明確に理解されるであろう他の多くの方法で規定されてもよい。アクティブ領域が単一のドレイン及び単一のソースを備えているような他の実施形態においては、軸Aは単一のドレイン及び単一のソース間の線によって規定されてもよい。
軸Bは、ディジットライン14bの縦軸を表している。この図示された実施形態においては、ディジットライン14bは実質的に真っ直ぐな線を形成している。アクティブ領域16が好ましくは平行であるのと同様に、ディジットライン14a、14bも、好ましくはほぼ平行な軸を形成する。よって、好ましい一実施形態では、全てのアクティブ領域16の軸Aが、少なくとも各メモリセルの領域内においてディジットライン14の軸Bに対して同様な角度を形成している。
図1に描かれた好ましい一実施形態においては、軸Aと軸Bとの間に鋭角が形成されている。この図示された実施形態においては、軸Aと軸Bとの間に形成される鋭角θは45度である。
ディジットライン14に対してアクティブ領域16に角度を付けることで、ドレイン18とそれに関係付けられた蓄積キャパシタ24との間に延びるコンタクトプラグ28の配置が容易になる。(図2に描かれた)好ましい実施形態においては、これらのコンタクトプラグ28はドレイン18の上面から延びているので、ディジットライン14がドレイン18の上部上に延びていなければ、設計が単純化される。ディジットライン14がこれと同じアクティブ領域16のソース20と実質的にオーバラップして接触しているような場合でさえ、アクティブ領域16に角度を付けることにより、ドレインとコンタクトプラグとの間の電気的接触が容易になるようにディジットライン14とドレイン18との間の距離が選択されてもよい。
勿論、角度θは、電気デバイスのピッチを最大にするように選択された数多くの値のうちのいずれかを有していてもよい。当業者にはごく明らかであるように、角度を異ならせれば、隣接するアクティブ領域間には異なるピッチが生じる。一実施形態においては、角度θは10度と80度の間であることが好ましい。一層好ましい実施形態においては、角度θは20度と60度の間である。更に一層好ましい実施形態においては、角度θは40度と50度の間である。
図3〜10を見ると、メモリデバイス10のピッチ二重化されたワードライン12を製造する一方法が一層詳細に描かれている。当業者であれば、図示された実施形態の特定の材料が個々に又は他の族の材料と組み合わされて置換可能である、ということを容易に認識するであろう。図3は、一般的な半導体製造技術に従って薄い臨時層(temporary laye
r)40が上に形成された半導体基板11を示しており、上記臨時層は、好ましい一実施形態においては酸化物からなっている。次に、窒化シリコンのようなハードマスク層42が、基板11及び臨時層40の上に堆積される。このハードマスク層42は、とりわけ、スパッタリング、化学気相蒸着(CVD)、又は低温蒸着のような何らかの周知の堆積プロセスによって形成可能である。好ましい実施形態においてはハードマスク層42は窒化シリコンからなるが、それは例えば酸化シリコン又は以下に述べる選択性エッチステップに適した他の材料で形成されてもよい、と理解されるべきである。
次に、不図示のステップにおいて、ハードマスク層42は、その上に形成されたフォトレジスト層を用いてパターン化される。このフォトレジスト層は、一般的なフォトリソグラフィ技術を用いてマスクを形成するようにパターン化されてもよく、続いて、トレンチ46で離間されて(図1に規定されたような)y方向に延びる複数のハードマスク列44が得られるように、上記パターン化されたフォトレジストを介してハードマスク層42が異方性エッチされてもよい。その後、このフォトレジスト層は、酸素ベースプラズマを用いることのような一般的な技術によって除去可能である。
図5を参照すると、トレンチ46がハードマスク層42中に形成された後、スペーサ材料のコンフォーマル層(conformal layer)がメモリデバイス10の全面を覆うように堆積されてもよい。好ましくは、このスペーサ材料は基板11及び臨時層40に対して選択的にエッチ可能であり、また、基板11及び臨時層40はそれぞれスペーサ材料に対して選択的にエッチ可能である。図示された実施形態においては、スペーサ材料はポリシリコンからなっている。スペーサ材料は、例えばCVD又は物理気相蒸着(PVD)のような適当な堆積プロセスを用いて堆積されてもよい。
スペーサ材料をメモリデバイス10の垂直面上及び水平面上に設けた後、異方性エッチが用いられて、方向性スペーサエッチ中に水平面からスペーサ材料が優先的に除去されてもよい。このようにして、スペーサ材料が、スペーサ48に、すなわち他の材料の側壁から延びる材料に、形成される。図5に示されるように、スペーサ48はトレンチ46内に形成されて、それを狭めている。
図5Bを参照すると、次に、第2のハードマスク層49がメモリデバイス10の全面上に堆積されてもよい。このハードマスク層49は、好ましい実施形態においては窒化シリコンであってもよく、好ましくはトレンチ46を充填するのに十分な厚さまで堆積される。勿論、このハードマスク材料49は、CVD又はPVDを含む数多くの適当な堆積プロセスのうちのいずれかによって堆積されてもよい。十分な量のハードマスク材料49が堆積された後、スペーサ48の上や、先に堆積されたハードマスク42の他の部分の上に形成された過剰部分が、当業者に周知の数多くのプロセスのうちのいずれかによって除去されてもよい。例えば、デバイス10の表面が図5B中の点線のレベルまで平坦化されることで、残りのスペーサ48の側壁がほぼ垂直になるようにしてもよい。例えば化学機械平坦化のような何らかの適当な平坦化プロセスが使用されてもよい。
メモリデバイス10の上面に露出されているスペーサ48は、数多くのプロセスのうちのいずれかを用いて剥離されてもよい。図示された実施形態においては、窒化シリコンに対してポリシリコンを選択的に剥離するプロセスが使用されてもよい。例えば、一実施形態においては、選択性ウェットエッチが使用されてもよい。スペーサ48のエッチされた場所に形成されたトレンチは、基板11と共に臨時層40を選択的にエッチする第2のエッチによって、更に深くされる。これらのトレンチはまた、好ましくは、例えばイオンミリング又は反応性イオンエッチングのような方向性プロセスを用いて形成されもする。
図6は、これらのプロセスの結果を示しており、開口及び溝が、フォトリソグラフィ技
術のみを用いて可能な最小ピッチよりも狭い幅で離間されたトレンチ50の形態をなしている。好ましくは、トレンチ50は、その上部で約25nmと75nmの間の幅を有している。勿論、当業者であれば、図6に示された段階へと到達するのに、ピッチ多重化のための他の多くの技術が使用されてもよい、と認識するであろう。そのような多くの技術は、一般にスペーサプロセスを含んでおり、このような物理蒸着により、フォトリソグラフィ技術単独でよりも小さなピッチを達成可能である。トレンチ50はまた、典型的には、1:1よりも大きなアスペクト比を有しており、好ましくは2:1よりも大きい。深さが増したことで、利用可能な容積が最大化され、よって、適当な材料で充填する上での困難性を犠牲にして、ワードラインの導電率が最大化される。
これらのトレンチ50が形成された後、当業者に周知な数多くの方法のうちのいずれかによって、ハードマスク層42が選択的に剥離される。図7において、ゲート誘電体層54は、デバイス上に堆積されて熱成長されたブランケットであり、トレンチ50の内面を内張りしている。図示されたゲート誘電体層54は、一実施形態においては熱酸化によって形成された酸化シリコンからなっているが、他の実施形態においては、堆積された高K材料(high K material)であってもよい。続いて、図示された実施形態ではポリシリコンからなるゲート材料層52も、メモリデバイス10の全体上に堆積されたブランケットであってよい。一実施形態においては、ゲート層52がトレンチ50を完全に満たし、デバイス10の上面を形成している。好ましい一実施形態においては、このポリシリコンはドープされていない。
トランジスタのドレイン及びソースを規定するための一連のドーピングステップの後、ゲート層52の上部が基板11の上面よりも下方に来るまで、トレンチ50内の非ドープトポリシリコンがエッチバックされる。プロセスのこの段階が、図8に示されている。図8の溝形ポリシリコン52は、適宜ドープされることで、メモリセルトランジスタ用のワードライン及びゲート電極としての役目をなすことができる。
しかし、好ましくは、アレイ中のゲート電極は、伝統的なポリシリコンゲートよりも高導電性の材料で形成される。これは、溝形ゲート12(図1及び2を参照)が典型的なゲート電極よりも狭いという事実のためである。金属材料は、全体的にも部分的にも、アレイ中のゲートの小さな体積を補償して、ワードラインに沿った横方向の信号伝搬速度を向上させる。従って、図8の非ドープトポリシリコンは、溝を形成した後にその上に金属を体積させて反応させることにより、珪化(珪化物化)させることが可能である。金属珪化物は、ドープトポリシリコンよりも10倍良好な導電率を有し、適切な仕事関数を示すことができる。
図9〜12を参照すると、他の態様においては、溝を形成するのではなく、最初にポリシリコン52がゲート酸化物54までエッチバック又は下方平坦化され、これにより、この段階で溝を形成することなく、トレンチ50内のポリシリコンが分離される。トレンチ50内のゲート層52のポリシリコンが珪化(セルフアラインされた珪化)反応下に置かれることで、導電材料56の層が形成される。金属層55(図9)は、堆積されたブランケットであってもよく、ポリシリコンゲート層52上のような、金属がシリコンと接触する場所では、アニールステップによって珪化物材料56(図12)が形成されてもよい。一実施形態においては、この珪化金属が、シリコンと、例えばタングステン、チタン、ルテニウム、タンタル、コバルト、又はニッケルのような1つ以上の金属とからなっている。選択性金属エッチは、過剰な金属を除去するが、珪化物56を除去しない。よって、金属珪化物56は、ワードラインに沿った横方向の導電率を増加させるセルフアライン層を形成する。
好ましくは、横方向の導電率を最大にするために、ゲート層52が完全に珪化される。
完全反応はまた、珪化物がトレンチ50の底まで形成されるのを保証する。図示された溝形アクセスデバイス(RAD)においては、その経路がゲートの底を横切って延びるだけでなく、ゲートの側壁にも沿って延びている。よって、珪化が不完全であれば、結果的に、RAD経路の長さに沿って異なる仕事関数が得られてしまう。更に、完全珪化により、1つのウェハを横切ってアレイからアレイへと、かつ、ウェハからウェハへと、アレイを横切る同様なゲート仕事関数が保証される。しかし、図示されたトレンチ50の窮屈な境界線内において、導電材料56を形成するための単一材料を用いて完全珪化を達成するのは困難であることがわかっている。例えばニッケルかコバルトのどちらかが、高アスペクト比のトレンチ50内に空隙を形成する傾向にある。他の金属は、溝形アクセスデバイスのための完全珪化に同様な困難性を示している。当業者であれば、完全珪化は、コンタクト開口又はビア、キャパシタ用のスタック形コンテナ形状、キャパシタトレンチ等のような他のタイプの溝内の材料にとって冒険になり得る、ということを認識するであろう。
理論で縛られることを欲しないのであれば、上記空隙の形成は、明らかに、珪化反応中の拡散と、高アスペクト比のトレンチ50の窮屈な境界線とが組み合わされて生じる。シリコンは、コバルトがシリコン中へ拡散するよりも容易に、コバルト中へ拡散する。従って、シリコンは、反応中にトレンチ50内に空隙を残しながら移動する傾向にある。更に、高温相変換アニールにより、珪化物がCoSiからもっと安定したCoSiへと変換される。一方、ニッケルは、シリコンがニッケル中へ拡散するよりも容易に、シリコン中へ拡散し、よって、NiSiがNiSiへ変換される反応中に空隙を形成する傾向をも有している。
従って、金属層55は、好ましくは金属の混合物を含み、その場合、この混合物中の金属のうちの少なくとも2つがシリコンに対して反対の拡散係数を有している。例えば、金属層55がニッケル及びコバルトの混合物を備えることで、拡散の方向が互いに均衡して空隙形成のリスクを最小化する傾向にあるようにする。この例では、好ましくは、コバルトが混合金属55の50at.%よりも少なく含んでおり、より好ましくは、混合物が約70〜90at.%のNiと、約10〜30at.%のCoとを含んでいる。そのようなニッケル及びコバルトの混合物により、空隙を形成することなしにゲート層の完全珪化が一層容易に成し遂げられ、よってワードラインに沿った信号伝搬速度が向上する、ということが見出されている。部分的な珪化と比べ、完全珪化されたワードラインは、より大きな導電性を有するだけでなく、その経路の長さ方向に沿って一貫した仕事関数を保証することにもなる。部分的な珪化は、局所的な温度変化等に依存した一貫性のない成分を残す傾向にあるので、完全珪化はまた、アレイを横切ってデバイスからデバイスへの、又はアレイからアレイへの、又はウェハからウェハへの、一層良好な一貫性を示すことになる。
一例では、80%のNi及び20%のCoを含むスパッタ用ターゲットがポリシリコン52上にスパッタされて、金属層55が生成される。続いて、その基板が珪化アニール処理のもとに置かれる。高温(例えば摂氏800度)のアニール処理は短時間しかできないので、好ましくは、アニール処理は低温で長時間導入される。例えば、基板は摂氏400〜600度で25〜35分間アニール処理される。実験では、珪化アニール処理は、N環境下にあるバッチ炉内に摂氏500度で30分間導入された。
当業者であれば、本開示を見ることにより、トレンチ内の完全珪化のための他の適当な金属混合物を容易に選択可能である。シリコンが金属中に拡散するよりも容易にシリコン中に拡散する金属の一例には、Ni、Pt、及びCuが含まれる。金属がシリコン中に拡散するよりも容易にシリコンが拡散する金属の一例には、Co、Ti、及びTaが含まれる。
図10A〜11Bは、酸化シリコンで内張りされた幅50nmのトレンチ内の、完全珪
化された溝形のNiCoSiゲート材料を示す顕微鏡写真である。図10A及び10Bは、一対のトレンチの幅を横切る断面を、2つの異なる倍率で示している。図11A及び11Bは、それらトレンチのうちの一方の長さに沿った断面を、2つの異なる倍率で示している。これらのトレンチは、そのアスペクト比が約3:1となるよう、その上部で約50nmの幅を有すると共に、約150nmの深さを有している。滑らかで均一な構成が観察されており、これはトレンチの少なくとも下方部分を空隙なしに充填している。図11〜12の例においては、ポリシリコン52(図7)が堆積された後に、このポリシリコンがゲート誘電体上面54までエッチされ、これにより、トレンチ内のシリコンが溝形に形成されることなく分離される。
今、図12を参照すると、珪化された層56がトレンチ内に形成可能であり、続いて、それは窒化シリコンのような第2の絶縁層58によって覆われる。これらの絶縁層58は、堆積された後にエッチ又は平坦化されてもよい。これにより、導電材料56は完成後のメモリデバイス10のワードライン12a、12bを形成し、このワードライン12a、12bは絶縁層58によって他の回路要素から分離される。よって、当業者によって良く理解されるように、ワードライン12はピッチ多重化されており、単にフォトリソグラフィ技術を用いた場合に可能なピッチのおおよそ半分のピッチを有している。しかし、本開示の或る態様では、ワードラインがピッチ多重化されるかどうかの利点が提供される、ということに注意されたい。
勿論、他の実施形態においては、ピッチ多重化は、当業者に周知な各種プロセスのうちのいずれかによって行われてもよい。
このように、図示された実施形態の珪化層56は、トレンチ50の下方部分を充填し、好ましくはトレンチの高さの50%超を充填し、一層好ましくは、トレンチの高さの75%超を充填している。図示された実施形態においては、金属珪化物56中の金属の約70〜90%がニッケルであり、金属珪化物中の金属の約10〜30%がコバルトである。
当業者によって認識されるように、好ましい一実施形態においては、上記ステップのうちの幾つかが行なわれる際、周辺の論理が好ましくは同時に規定され、これにより、チップ形成プロセスが一層効率的になる。特に、溝形のワードラインを規定するためのシリコン及び金属の堆積は、周辺のCMOSトランジスタ用の基板上のゲート電極を、好ましくは同時に規定する。
図13〜21を参照すると、他の実施形態によれば、同時に製造されたアレイ中のゲート電極及び周辺の論理領域には、異なる仕事関数及び抵抗率が確立され得る。図示された実施形態においては、これは、周辺のゲートスタックの一部を形成しているポリシリコン層を通ってアレイRADトレンチをエッチングすることにより、容易に行なわれる。
図13を参照すると、トレンチが形成される前に、基板11上にポリシリコン層60が堆積され得る。このポリシリコン層60は、まず、薄い誘電体54a(例えば、成長されたゲート酸化物)上に堆積可能である。続いて、図3〜6に関して記載されたようなピッチ二重化マスク(不図示)で基板がパターン化される。図示された実施形態においては、エッチストップ層61も形成され、これは約100〜200オングストロームのTEOS堆積された酸化物からなっている。
図14を参照すると、トレンチ50が、その上に横たわるエッチストップ層61、ポリシリコン層60、その下に横たわる誘電体54a、及び基板11を通ってエッチされる。続いて、トレンチ壁の酸化等により、ゲート誘電体54bが基板11の露出部分上に形成可能である。予め存在しているエッチストップ層61のため、図示のように、ポリシリコ
ン60の上面上には重要な更なる酸化物は成長しない。
次に、図15に示されるように、金属材料62が、ポリシリコン60上及びトレンチ50内に堆積され得る。図9〜12に関して記載したように、トレンチ50は、好ましくはポリシリコンよりも高い導電性の材料で充填される。図示された実施形態においては、金属材料62は窒化チタン(TiN)からなる。
図16を参照すると、好ましくは、金属材料62がエッチバック又は平坦化されて、トレンチ50内に導電材料62の分離されたラインが残り、これは酸化物エッチストップ層61(図15)上で止まる。エッチバックの後、トレンチ50内の誘電体層54bが金属材料62によって保護されながら、ポリシリコン層60上に横たわるエッチストップ層61が(例えば、エッチストップ層61の好ましい酸化物材料のためのHFディップを用いて)除去される。次に、金属層64、66がシリコン層60上に堆積される。当業者によって認識されるように、第1の誘電体層54a、ポリシリコン層60、及びその上に横たわる金属層64、66は、周辺におけるトランジスタゲートスタックとしての役目を有し得る。これら全ての層は、関心を置いている両領域(メモリの例では、周辺領域とメモリアレイ領域の両方)に堆積される。CMOS回路のNMOS及びPMOSの両方のためのゲートを規定するのに単一材料の堆積や異なるドーピングステップが使用可能なように、所望のトランジスタ仕事関数が確立されるよう、ポリシリコンが変動的にドープされ得る。上に横たわる金属層66は、ゲートを制御するラインに沿った横方向の信号伝搬速度を向上させる役割を有することができ、図示された実施形態においてはタングステン(W)からなっている。間にある金属層64は、ポリシリコン層60と上に横たわる層66との間の接合部分での物理的及び電気的な互換性(例えば、接合力や障壁機能の発揮)を保証可能であり、図示された実施形態においては、窒化チタン、特には金属リッチの金属窒化物からなっている。
図17を参照すると、ゲートスタックはキャップ層68をも含んでおり、これは、図示された実施形態では窒化シリコンで形成されている。図17は、基板の第1の又はメモリアレイ領域70内にある、金属材料62で充填されたトレンチ50を示している。ゲートスタック層54a、60、64、66,及び68が、アレイ領域70と、基板の第2の又は周辺の又は論理領域72の両方を横切って延びている。周辺72内のトランジスタゲートをパターン化するために、フォトレジストマスク76が形成されている。
図18に示されているように、金属層64、66を除去するための金属エッチを含む一連のエッチステップが、まずキャップ層68を介してエッチする。例えば塩素ベースの反応性イオンエッチ(RIE)は、図示されたタングステンの厚い層66及び間にある金属窒化物層64のような典型的な金属材料を選択的にエッチ可能である一方、下に横たわるポリシリコン層60上でストップする。高度な選択性により、ポリシリコン60の露出後、金属材料62が図示のようにトレンチ50内に溝形に形成されるまで、金属エッチが続行可能である。
今、図19を参照すると、アレイトレンチ内に金属ゲート材料を溝形に形成する際に、エッチの化学的性質が切り換わるようにすることも可能であり、また、シリコン60が同じマスク76を用いてパターン化可能であり、これにより、周辺72用のゲートスタック80のパターン化が完了する。
今、図20を参照すると、マスクが除去された後にスペーサ層84が基板上に堆積され、これにより、ゲートスタック層80がコンフォーマルに(conformally)コーティングされ、アレイトレンチ50の上部の溝が充填される。図示された実施形態においては、スペーサ層84は窒化シリコンからなっているが、当業者であれば、数多くの異なる絶縁材
料が使用可能であることを認識するであろう。
図21に示されるように、次のスペーサエッチ(方向性エッチ)により、ゲートスタック80の側壁に沿った側壁スペーサ86が残され、これにより、ソース/ドレイン領域のセルフアラインドーピングが可能になる。しかし、アレイ72においては、トレンチ上部の浅い溝がスペーサ層84(図20を参照)で充填されているので、スペーサエッチにより、アレイ72内でスペーサ材料がエッチバックされるだけであり、トレンチ50内のゲート材料を埋めている絶縁キャップ層88は残される。
当業者であれば、ソース/ドレイン、チャネルのエンハンスメント、ゲート電極、軽くドープされたドレイン(lightly doped drain:LDD)、及びハロードーピング(halo doping)を含む、CMOS用の各種ドーピングステップが、ここでは単純化のために省略されている、と認識するであろう。
このように、図13〜21の実施形態によれば、アレイ及び周辺内におけるトランジスタの同時プロセスが容易になる。図示された実施形態においては、アレイトランジスタが溝形アクセスデバイス(RAD)であり、一方、周辺ゲートが一般的なプレーナMOSトランジスタとして基板11上に形成されている。周辺においては一般的なCMOS回路の流れで記載したが、当業者であれば、周辺のトランジスタが他の形態をとり得るものと認識するであろう。都合良くは、図示された実施形態において、RADトレンチ内の金属層が、周辺のゲートスタックをパターン化するのと同時に溝形に形成可能である。更に、周辺の側壁スペーサが、RADゲート又はワードライン上の絶縁キャップで同時に形成される。
図示されてはいないが、図2中に示された他の回路要素を形成するのに、一般的なDRAM製造技術が使用されてもよい、と理解されるであろう。例えば、図2のドレイン18及びソース20を形成するのに、ドーピングの異なるレベルが使用されてもよく、また、スタック形の蓄積キャパシタ24が複数の堆積及びマスキングステップに従って形成されてもよい。
このデバイスレイアウト及びその製造方法の結果、図1及び2に示された完成されたメモリデバイス10は、従来のDRAMに比して数多くの利点を所有している。例えば、各メモリセルのサイズ及びメモリデバイス10の全体サイズが、隣接するセンスアンプ間の距離を実質的に減少させることなしに、実質的に減少される。更に、ワードライン12及びディジットライン14が実質的に異なるピッチを有することで、ディジットライン14がワードライン12よりも遥かに大きな離間距離を有することが可能になる。例えば、好ましい一実施形態においては、ワードライン12が1.5Fの有効ピッチを有する一方、ディジットライン14が3Fのピッチを有してもよい。加えて、ディジットライン14及びワードライン12を形成するためのステップが、それらを実質的に直線的かつ互いにほぼ垂直にすることによって、単純化される一方、これらの要素に対し角度を付けてアクティブ領域16を配置することにより、スペースの節約が実現される。この好ましい実施形態におけるワードライン12はまた、溝形に形成されており、また、従来のDRAMにおけるレイアウトとは違って、ゲートとアクティブ領域のソース又はドレインとの間の貴重な空間を使い果たすスペーサが存在しない(このことは図2において容易に理解される)。従って、メモリデバイス10は、一層の高密度化が可能である。
更に、金属の混合物を使用したことで、有害な空隙が形成されることなしに、トレンチ50内に埋め込まれたシリコンの完全珪化が容易に行なわれる。従って、比較的小体積のワードラインにおいて高導電率が達成可能である。
本発明の或る実施形態を記載してきたが、これらの実施形態は例示のみを目的として提供されたものであって、本発明の範囲を限定することを意図したものではない。実際、ここに記載された新規な方法及びデバイスは、他の各種の形態で具現化されてもよく、更には、ここに記載された方法及びデバイスの形態において、本発明の精神から逸脱することなしに様々な省略、置換、及び変更が行なわれてもよい。添付の特許請求の範囲及びそれと同等のものによって、本発明の範囲及び精神内にある形態や変更がカバーされることが意図されている。
本発明の好ましい一実施形態に従ってレイアウトされたメモリデバイスの概略平面図である。 本発明の好ましい一実施形態に係る、図1のメモリデバイスにおける線2−2に沿った概略側断面図である。 本発明の好ましい一実施形態に係る、図1及び2に示したのと同様なDRAMアクセストランジスタの形成を示す、半導体デバイスの一部分の一連の断面図である。 本発明の好ましい一実施形態に係る、図1及び2に示したのと同様なDRAMアクセストランジスタの形成を示す、半導体デバイスの一部分の一連の断面図である。 本発明の好ましい一実施形態に係る、図1及び2に示したのと同様なDRAMアクセストランジスタの形成を示す、半導体デバイスの一部分の一連の断面図である。 本発明の好ましい一実施形態に係る、図1及び2に示したのと同様なDRAMアクセストランジスタの形成を示す、半導体デバイスの一部分の一連の断面図である。 本発明の好ましい一実施形態に係る、図1及び2に示したのと同様なDRAMアクセストランジスタの形成を示す、半導体デバイスの一部分の一連の断面図である。 本発明の一実施形態に係る、トレンチの溝にシリコンを設けた後であって珪化用の金属を堆積させる前の、図7のデバイスの概略断面図である。 本発明の他の実施形態に係る、トレンチ内のシリコンを平坦化して珪化用の金属を堆積させた後の、図7のデバイスの概略断面図である。 図9のデバイス上に珪化アニール処理が行なわれた後の、メモリアクセスデバイス用の完全に珪化された溝形ゲートを示す顕微鏡写真の図である。 図9のデバイス上に珪化アニール処理が行なわれた後の、メモリアクセスデバイス用の完全に珪化された溝形ゲートを示す顕微鏡写真の図である。 図9のデバイス上に珪化アニール処理が行なわれた後の、メモリアクセスデバイス用の完全に珪化された溝形ゲートを示す顕微鏡写真の図である。 図9のデバイス上に珪化アニール処理が行なわれた後の、メモリアクセスデバイス用の完全に珪化された溝形ゲートを示す顕微鏡写真の図である。 完全に珪化されたゲートをトレンチの溝に設けて埋めた後の、図10A〜11Bの部分的に製造された半導体デバイスを示す概略断面図である。 本発明の他の実施形態に係る、(図1及び2に示したものと同様な)アレイ中の周辺トランジスタゲートスタック及び溝形アクセスデバイスの同時形成を示す、半導体デバイスの一部分の一連の断面図である。 本発明の他の実施形態に係る、(図1及び2に示したものと同様な)アレイ中の周辺トランジスタゲートスタック及び溝形アクセスデバイスの同時形成を示す、半導体デバイスの一部分の一連の断面図である。 本発明の他の実施形態に係る、(図1及び2に示したものと同様な)アレイ中の周辺トランジスタゲートスタック及び溝形アクセスデバイスの同時形成を示す、半導体デバイスの一部分の一連の断面図である。 本発明の他の実施形態に係る、(図1及び2に示したものと同様な)アレイ中の周辺トランジスタゲートスタック及び溝形アクセスデバイスの同時形成を示す、半導体デバイスの一部分の一連の断面図である。 本発明の他の実施形態に係る、(図1及び2に示したものと同様な)アレイ中の周辺トランジスタゲートスタック及び溝形アクセスデバイスの同時形成を示す、半導体デバイスの一部分の一連の断面図である。 本発明の他の実施形態に係る、(図1及び2に示したものと同様な)アレイ中の周辺トランジスタゲートスタック及び溝形アクセスデバイスの同時形成を示す、半導体デバイスの一部分の一連の断面図である。 本発明の他の実施形態に係る、(図1及び2に示したものと同様な)アレイ中の周辺トランジスタゲートスタック及び溝形アクセスデバイスの同時形成を示す、半導体デバイスの一部分の一連の断面図である。 本発明の他の実施形態に係る、(図1及び2に示したものと同様な)アレイ中の周辺トランジスタゲートスタック及び溝形アクセスデバイスの同時形成を示す、半導体デバイスの一部分の一連の断面図である。 本発明の他の実施形態に係る、(図1及び2に示したものと同様な)アレイ中の周辺トランジスタゲートスタック及び溝形アクセスデバイスの同時形成を示す、半導体デバイスの一部分の一連の断面図である。

Claims (31)

  1. 集積回路中に金属珪化物構造を形成する方法であって、
    部分的に製造された集積回路内に溝を設けることと、
    前記溝内にシリコンを堆積させることと、
    前記溝上に前記シリコンと接触する金属の混合物を堆積することであって、該金属の混合物が、シリコンに対して反対の拡散係数を有する少なくとも2つの金属を含むことと、
    前記溝内で前記金属の混合物を前記シリコンと反応させる処理を行なって、前記溝内に金属珪化物を形成することと、
    を備える方法。
  2. 前記反応処理は、前記溝内の前記シリコンを完全に消費することを含む請求項1記載の方法。
  3. 前記金属の混合物は、ニッケル、プラチナ、及び銅からなるグループの中から選択された少なくとも1つの金属を含む請求項1記載の方法。
  4. 前記金属の混合物は、コバルト、チタン、及びタンタルからなるグループの中から選択された少なくとも1つの金属を含む請求項3記載の方法。
  5. 前記金属の混合物は、ニッケル及びコバルトを含む請求項4記載の方法。
  6. 前記金属の混合物は、50原子パーセント(at.%)未満のコバルトを含む請求項5記載の方法。
  7. 前記金属の混合物は、約70〜80at.%のニッケルと約10〜30at.%のコバルトとを含む請求項6記載の方法。
  8. 前記反応処理は、約400度と600度との間の温度で前記基板をアニール処理することを含む請求項1記載の方法。
  9. 前記アニール処理は、前記部分的に製造された集積回路をバッチ炉内で窒素環境に曝すことを含む請求項8記載の方法。
  10. 前記金属の混合物を堆積させる前に前記溝内の前記シリコンを溝形に形成することを更に含む請求項1記載の方法。
  11. 前記反応処理は、前記溝内の全てのシリコンを完全に消費することを含む請求項1記載の方法。
  12. シリコンを堆積させる前に前記溝内の表面上に薄い誘電体層を形成することを更に含む請求項11記載の方法。
  13. 前記溝はメモリアレイ用の溝形アクセスデバイスを規定する請求項12記載の方法。
  14. 前記溝は前記メモリアレイ用のワードラインを規定する細長いトレンチである請求項13記載の方法。
  15. 前記溝は前記トレンチの上部で約25nmと75nmとの間の幅を有する請求項14記載の方法。
  16. 前記溝形アクセスデバイスは、共通のソース領域を共有する一対の溝形アクセスデバイスのうちの一方を形成する請求項13記載の方法。
  17. 前記金属の混合物を堆積させる前に、前記堆積されたシリコンを前記溝の上面までエッチバックすることを更に備える請求項1記載の方法。
  18. 前記溝は2:1よりも大きなアスペクト比を有する請求項1記載の方法。
  19. 前記溝を設けることは、ピッチ二重化マスキングプロセスを導入することを含む請求項1記載の方法。
  20. 集積回路用の溝形アクセスデバイスを形成する方法であって、
    半導体構造中にトレンチをエッチングすることと、
    前記トレンチを誘電体層で内張りすることと、
    前記内張りされたトレンチをシリコンで少なくとも部分的に充填することと、
    前記トレンチ上に前記シリコンと接触する金属層を堆積させることと、
    前記トレンチ中の前記シリコンを、珪化反応において前記金属層と完全に反応させることと、
    を備える方法。
  21. 前記金属層を堆積させることは、完全反応を促進するように選択された金属の混合物を堆積させることを含む請求項20記載の方法。
  22. 前記金属の混合物は第1の金属及び第2の金属を含み、シリコンが前記第1の金属中へ拡散するよりも前記第1の金属がシリコン中へ拡散し易く、シリコンが前記第2の金属中へ拡散するよりも前記第2の金属がシリコン中へ拡散し難い請求項21記載の方法。
  23. 金属珪化物構造を含む集積回路であって、該構造は溝の少なくとも下方部分を空隙なく充填する金属珪化物を備え、該金属珪化物は少なくとも第1の金属及び第2の金属の混合物を含み、前記第1の金属はシリコンが前記第1の金属中において有するよりも大きな拡散係数をシリコン中において有し、前記第2の金属はシリコンが前記第2の金属中において有するよりも小さな拡散係数をシリコン中において有する、集積回路。
  24. 前記溝は、半導体基板中に形成されたトレンチを備える請求項23記載の集積回路。
  25. 前記トレンチには誘電体層が内張りされ、前記金属珪化物はメモリアレイ用のワードラインを含む請求項24記載の集積回路。
  26. 前記溝は、約2:1よりも大きなアスペクト比を規定する請求項23記載の集積回路。
  27. 前記溝は、約25nmと75nmとの間の幅を有する開口を規定する請求項23記載の集積回路。
  28. 前記金属珪化物はニッケル及びコバルトを含む請求項23記載の集積回路。
  29. 前記金属珪化物中の金属の約70〜90at.%はニッケルからなる請求項28記載の集積回路。
  30. 前記金属珪化物中の金属の約10〜30at.%はコバルトからなる請求項29記載の
    集積回路。
  31. 前記第1の金属はニッケル、プラチナ、及び銅からなるグループの中から選択され、前記第2の金属はコバルト、チタン、及びタンタルからなるグループの中から選択される請求項23記載の集積回路。
JP2008529139A 2005-09-01 2006-08-28 珪化された溝形シリコン Active JP4984177B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/219,303 US7557032B2 (en) 2005-09-01 2005-09-01 Silicided recessed silicon
US11/219,303 2005-09-01
PCT/US2006/033374 WO2007030343A2 (en) 2005-09-01 2006-08-28 Silicided recessed silicon

Publications (2)

Publication Number Publication Date
JP2009507372A true JP2009507372A (ja) 2009-02-19
JP4984177B2 JP4984177B2 (ja) 2012-07-25

Family

ID=37492272

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008529139A Active JP4984177B2 (ja) 2005-09-01 2006-08-28 珪化された溝形シリコン

Country Status (8)

Country Link
US (3) US7557032B2 (ja)
EP (1) EP1929510A2 (ja)
JP (1) JP4984177B2 (ja)
KR (1) KR100984469B1 (ja)
CN (1) CN101297392B (ja)
SG (3) SG165336A1 (ja)
TW (1) TWI329351B (ja)
WO (1) WO2007030343A2 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013135029A (ja) * 2011-12-26 2013-07-08 Elpida Memory Inc 半導体装置の製造方法

Families Citing this family (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7349232B2 (en) * 2006-03-15 2008-03-25 Micron Technology, Inc. 6F2 DRAM cell design with 3F-pitch folded digitline sense amplifier
KR100801078B1 (ko) * 2006-06-29 2008-02-11 삼성전자주식회사 수직 채널을 갖는 비휘발성 메모리 집적 회로 장치 및 그제조 방법
US8852851B2 (en) 2006-07-10 2014-10-07 Micron Technology, Inc. Pitch reduction technology using alternating spacer depositions during the formation of a semiconductor device and systems including same
US7858471B2 (en) 2006-09-13 2010-12-28 Micron Technology, Inc. Methods of fabricating an access transistor for an integrated circuit device, methods of fabricating periphery transistors and access transistors, and methods of fabricating an access device comprising access transistors in an access circuitry region and peripheral transistors in a peripheral circuitry region spaced from the access circuitry region
US7696057B2 (en) * 2007-01-02 2010-04-13 International Business Machines Corporation Method for co-alignment of mixed optical and electron beam lithographic fabrication levels
US7989307B2 (en) 2008-05-05 2011-08-02 Micron Technology, Inc. Methods of forming isolated active areas, trenches, and conductive lines in semiconductor structures and semiconductor structures including the same
US10151981B2 (en) 2008-05-22 2018-12-11 Micron Technology, Inc. Methods of forming structures supported by semiconductor substrates
US8222159B2 (en) * 2008-08-25 2012-07-17 Elpida Memory, Inc. Manufacturing method of semiconductor device
US8273634B2 (en) 2008-12-04 2012-09-25 Micron Technology, Inc. Methods of fabricating substrates
US8796155B2 (en) 2008-12-04 2014-08-05 Micron Technology, Inc. Methods of fabricating substrates
US8247302B2 (en) * 2008-12-04 2012-08-21 Micron Technology, Inc. Methods of fabricating substrates
US8268543B2 (en) * 2009-03-23 2012-09-18 Micron Technology, Inc. Methods of forming patterns on substrates
US9330934B2 (en) 2009-05-18 2016-05-03 Micron Technology, Inc. Methods of forming patterns on substrates
CN102054526B (zh) * 2009-11-10 2012-10-31 中芯国际集成电路制造(上海)有限公司 一种dram存储器
US20110129991A1 (en) * 2009-12-02 2011-06-02 Kyle Armstrong Methods Of Patterning Materials, And Methods Of Forming Memory Cells
US20110223770A1 (en) * 2010-03-15 2011-09-15 Lam Research Corporation Nitride plasma etch with highly tunable selectivity to oxide
US8518788B2 (en) 2010-08-11 2013-08-27 Micron Technology, Inc. Methods of forming a plurality of capacitors
US8455341B2 (en) 2010-09-02 2013-06-04 Micron Technology, Inc. Methods of forming features of integrated circuitry
US8569810B2 (en) 2010-12-07 2013-10-29 International Business Machines Corporation Metal semiconductor alloy contact with low resistance
US8575032B2 (en) 2011-05-05 2013-11-05 Micron Technology, Inc. Methods of forming a pattern on a substrate
WO2013013698A1 (en) * 2011-07-22 2013-01-31 X-Fab Semiconductor Foundries Ag A semiconductor device
US9076680B2 (en) 2011-10-18 2015-07-07 Micron Technology, Inc. Integrated circuitry, methods of forming capacitors, and methods of forming integrated circuitry comprising an array of capacitors and circuitry peripheral to the array
US9177794B2 (en) 2012-01-13 2015-11-03 Micron Technology, Inc. Methods of patterning substrates
KR101901322B1 (ko) 2012-02-28 2018-09-21 삼성전자주식회사 가변 저항 메모리 소자
KR20130104200A (ko) * 2012-03-13 2013-09-25 에스케이하이닉스 주식회사 반도체 장치 및 그 제조 방법
US8629048B1 (en) 2012-07-06 2014-01-14 Micron Technology, Inc. Methods of forming a pattern on a substrate
US20140036565A1 (en) * 2012-08-02 2014-02-06 Nanya Technology Corporation Memory device and method of manufacturing memory structure
US9064745B2 (en) 2012-08-29 2015-06-23 International Business Machines Corporation Sublithographic width finFET employing solid phase epitaxy
US10103247B1 (en) 2017-10-17 2018-10-16 Globalfoundries Inc. Vertical transistor having buried contact, and contacts using work function metals and silicides
KR20210130237A (ko) * 2019-03-20 2021-10-29 도쿄엘렉트론가부시키가이샤 반도체 소자를 위한 금속 규화물을 선택적으로 형성하는 방법
US11088147B2 (en) 2019-06-26 2021-08-10 Micron Technology, Inc. Apparatus with doped surfaces, and related methods with in situ doping
DE102021203566A1 (de) 2021-04-12 2022-10-13 Robert Bosch Gesellschaft mit beschränkter Haftung MEMS Schalter mit eingebettetem Metallkontakt

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000022101A (ja) * 1998-06-22 2000-01-21 Internatl Business Mach Corp <Ibm> トレンチ・キャパシタ構造およびその製造方法
JP2001345446A (ja) * 2000-06-02 2001-12-14 Seiko Instruments Inc 縦形mosトランジスタ及びその製造方法
US20020102848A1 (en) * 2000-12-07 2002-08-01 Advanced Micro Devices, Inc. Damascene nisi metal gate high-k transistor
US6465309B1 (en) * 2000-12-12 2002-10-15 Advanced Micro Devices, Inc. Silicide gate transistors
JP2003007859A (ja) * 2001-06-12 2003-01-10 Hynix Semiconductor Inc 誘電膜を有するメモリ素子の製造方法
JP2003023150A (ja) * 2001-07-10 2003-01-24 Sony Corp トレンチゲート型半導体装置及びその作製方法
JP2004520718A (ja) * 2001-04-28 2004-07-08 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ トレンチ−ゲート構造半導体装置及びその製造方法
JP2005019943A (ja) * 2003-06-27 2005-01-20 Samsung Electronics Co Ltd ニッケル合金サリサイド工程、それを用いて半導体素子を製造する方法、これにより形成されたニッケル合金シリサイド膜及びそれを用いて製造された半導体素子
JP2005197748A (ja) * 2004-01-09 2005-07-21 Internatl Business Mach Corp <Ibm> 金属ゲート電極およびシリサイド接点を備えたfetゲート構造

Family Cites Families (286)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
BE755502A (fr) 1969-09-09 1971-03-01 Basf Ag Bismonocarboxylates du formyl-3-butanediol-1,2 et procede pour leur preparation
US3731287A (en) 1971-07-02 1973-05-01 Gen Instrument Corp Single device memory system having shift register output characteristics
US4234362A (en) 1978-11-03 1980-11-18 International Business Machines Corporation Method for forming an insulator between layers of conductive material
US4508579A (en) * 1981-03-30 1985-04-02 International Business Machines Corporation Lateral device structures using self-aligned fabrication techniques
US4432132A (en) * 1981-12-07 1984-02-21 Bell Telephone Laboratories, Incorporated Formation of sidewall oxide layers by reactive oxygen ion etching to define submicron features
US4419809A (en) 1981-12-30 1983-12-13 International Business Machines Corporation Fabrication process of sub-micrometer channel length MOSFETs
DE3242113A1 (de) * 1982-11-13 1984-05-24 Ibm Deutschland Gmbh, 7000 Stuttgart Verfahren zur herstellung einer duennen dielektrischen isolation in einem siliciumhalbleiterkoerper
US4716131A (en) 1983-11-28 1987-12-29 Nec Corporation Method of manufacturing semiconductor device having polycrystalline silicon layer with metal silicide film
US4570325A (en) * 1983-12-16 1986-02-18 Kabushiki Kaisha Toshiba Manufacturing a field oxide region for a semiconductor device
JPS60202166A (ja) 1984-03-26 1985-10-12 Toshiba Chem Corp 導電性塗料
US4648937A (en) * 1985-10-30 1987-03-10 International Business Machines Corporation Method of preventing asymmetric etching of lines in sub-micrometer range sidewall images transfer
GB8528967D0 (en) 1985-11-25 1986-01-02 Plessey Co Plc Semiconductor device manufacture
US5514885A (en) 1986-10-09 1996-05-07 Myrick; James J. SOI methods and apparatus
US4983544A (en) * 1986-10-20 1991-01-08 International Business Machines Corporation Silicide bridge contact process
JPS6413290A (en) 1987-07-07 1989-01-18 Oki Electric Ind Co Ltd Semiconductor memory
US4776922A (en) 1987-10-30 1988-10-11 International Business Machines Corporation Formation of variable-width sidewall structures
US4838991A (en) 1987-10-30 1989-06-13 International Business Machines Corporation Process for defining organic sidewall structures
US5024959A (en) 1989-09-25 1991-06-18 Motorola, Inc. CMOS process using doped glass layer
US5497497A (en) 1989-11-03 1996-03-05 Compaq Computer Corp. Method and apparatus for resetting multiple processors using a common ROM
US5387555A (en) * 1992-09-03 1995-02-07 Harris Corporation Bonded wafer processing with metal silicidation
US5328810A (en) 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
JP2792211B2 (ja) * 1990-07-06 1998-09-03 日本電気株式会社 半導体記憶装置
US5013680A (en) 1990-07-18 1991-05-07 Micron Technology, Inc. Process for fabricating a DRAM array having feature widths that transcend the resolution limit of available photolithography
US5053105A (en) 1990-07-19 1991-10-01 Micron Technology, Inc. Process for creating an etch mask suitable for deep plasma etches employing self-aligned silicidation of a metal layer masked with a silicon dioxide template
US5177027A (en) 1990-08-17 1993-01-05 Micron Technology, Inc. Process for fabricating, on the edge of a silicon mesa, a MOSFET which has a spacer-shaped gate and a right-angled channel path
US5047117A (en) 1990-09-26 1991-09-10 Micron Technology, Inc. Method of forming a narrow self-aligned, annular opening in a masking layer
US5064683A (en) * 1990-10-29 1991-11-12 Motorola, Inc. Method for polish planarizing a semiconductor substrate by using a boron nitride polish stop
DE4034612A1 (de) 1990-10-31 1992-05-07 Huels Chemische Werke Ag Verfahren zur herstellung von methacryloxy- oder acryloxygruppen enthaltenden organosilanen
IT1243919B (it) 1990-11-20 1994-06-28 Cons Ric Microelettronica Procedimento per l'ottenimento di solchi submicrometrici planarizzati in circuiti integrati realizzati con tecnologia ulsi
US5250450A (en) 1991-04-08 1993-10-05 Micron Technology, Inc. Insulated-gate vertical field-effect transistor with high current drive and minimum overlap capacitance
US5122848A (en) 1991-04-08 1992-06-16 Micron Technology, Inc. Insulated-gate vertical field-effect transistor with high current drive and minimum overlap capacitance
JP2851962B2 (ja) * 1992-01-21 1999-01-27 シャープ株式会社 半導体読み出し専用メモリ
JPH05343370A (ja) 1992-06-10 1993-12-24 Toshiba Corp 微細パタ−ンの形成方法
US5330879A (en) 1992-07-16 1994-07-19 Micron Technology, Inc. Method for fabrication of close-tolerance lines and sharp emission tips on a semiconductor wafer
US5420819A (en) 1992-09-24 1995-05-30 Nonvolatile Electronics, Incorporated Method for sensing data in a magnetoresistive memory using large fractions of memory cell films for data storage
DE4236609A1 (de) 1992-10-29 1994-05-05 Siemens Ag Verfahren zur Erzeugung einer Struktur in der Oberfläche eines Substrats
JP2884962B2 (ja) * 1992-10-30 1999-04-19 日本電気株式会社 半導体メモリ
US6042998A (en) * 1993-09-30 2000-03-28 The University Of New Mexico Method and apparatus for extending spatial frequencies in photolithography images
US5645887A (en) * 1994-01-14 1997-07-08 Lg Semicon Co., Ltd. Method for forming platinum silicide plugs
JP3720064B2 (ja) 1994-01-20 2005-11-24 株式会社ルネサステクノロジ 半導体集積回路
US5604159A (en) * 1994-01-31 1997-02-18 Motorola, Inc. Method of making a contact structure
JP2658870B2 (ja) 1994-04-22 1997-09-30 日本電気株式会社 半導体記憶装置およびその製造方法
US5841611A (en) * 1994-05-02 1998-11-24 Matsushita Electric Industrial Co., Ltd. Magnetoresistance effect device and magnetoresistance effect type head, memory device, and amplifying device using the same
US5495441A (en) * 1994-05-18 1996-02-27 United Microelectronics Corporation Split-gate flash memory cell
JPH0855920A (ja) 1994-08-15 1996-02-27 Toshiba Corp 半導体装置の製造方法
JPH0855908A (ja) 1994-08-17 1996-02-27 Toshiba Corp 半導体装置
JP3469362B2 (ja) 1994-08-31 2003-11-25 株式会社東芝 半導体記憶装置
US5600153A (en) 1994-10-07 1997-02-04 Micron Technology, Inc. Conductive polysilicon lines and thin film transistors
US6175128B1 (en) 1998-03-31 2001-01-16 International Business Machines Corporation Process for building borderless bitline, wordline and DRAM structure and resulting structure
US5539229A (en) 1994-12-28 1996-07-23 International Business Machines Corporation MOSFET with raised STI isolation self-aligned to the gate stack
US6252267B1 (en) 1994-12-28 2001-06-26 International Business Machines Corporation Five square folded-bitline DRAM cell
US5795830A (en) 1995-06-06 1998-08-18 International Business Machines Corporation Reducing pitch with continuously adjustable line and space dimensions
US5700733A (en) 1995-06-27 1997-12-23 Micron Technology, Inc. Semiconductor processing methods of forming field oxide regions on a semiconductor substrate
KR100190757B1 (ko) 1995-06-30 1999-06-01 김영환 모스 전계 효과 트랜지스터 형성방법
US5604370A (en) 1995-07-11 1997-02-18 Advanced Micro Devices, Inc. Field implant for semiconductor device
US5756395A (en) 1995-08-18 1998-05-26 Lsi Logic Corporation Process for forming metal interconnect structures for use with integrated circuit devices to form integrated circuit structures
US5638318A (en) 1995-09-11 1997-06-10 Micron Technology, Inc. Ferroelectric memory using ferroelectric reference cells
US5680344A (en) 1995-09-11 1997-10-21 Micron Technology, Inc. Circuit and method of operating a ferrolectric memory in a DRAM mode
US5677865A (en) 1995-09-11 1997-10-14 Micron Technology, Inc. Ferroelectric memory using reference charge circuit
US5771150A (en) 1996-01-03 1998-06-23 Micron Technology, Inc. Capacitor constructions
US5789320A (en) 1996-04-23 1998-08-04 International Business Machines Corporation Plating of noble metal electrodes for DRAM and FRAM
JP3164026B2 (ja) * 1996-08-21 2001-05-08 日本電気株式会社 半導体装置及びその製造方法
US5861328A (en) 1996-10-07 1999-01-19 Motorola, Inc. Method of fabricating GMR devices
US5998256A (en) 1996-11-01 1999-12-07 Micron Technology, Inc. Semiconductor processing methods of forming devices on a substrate, forming device arrays on a substrate, forming conductive lines on a substrate, and forming capacitor arrays on a substrate, and integrated circuitry
US6395613B1 (en) 2000-08-30 2002-05-28 Micron Technology, Inc. Semiconductor processing methods of forming a plurality of capacitors on a substrate, bit line contacts and method of forming bit line contacts
US6150211A (en) 1996-12-11 2000-11-21 Micron Technology, Inc. Methods of forming storage capacitors in integrated circuitry memory cells and integrated circuitry
JP2956626B2 (ja) * 1996-12-12 1999-10-04 日本電気株式会社 Mos型半導体装置の製造方法
US5748519A (en) 1996-12-13 1998-05-05 Motorola, Inc. Method of selecting a memory cell in a magnetic random access memory device
US5804458A (en) 1996-12-16 1998-09-08 Motorola, Inc. Method of fabricating spaced apart submicron magnetic memory cells
US5913116A (en) 1997-01-08 1999-06-15 Advanced Micro Devices Method of manufacturing an active region of a semiconductor by diffusing a dopant out of a sidewall spacer
JP2982895B2 (ja) 1997-02-06 1999-11-29 日本電気株式会社 Cmos半導体装置およびその製造方法
US5780349A (en) 1997-02-20 1998-07-14 National Semiconductor Corporation Self-aligned MOSFET gate/source/drain salicide formation
US5902690A (en) 1997-02-25 1999-05-11 Motorola, Inc. Stray magnetic shielding for a non-volatile MRAM
US5998257A (en) * 1997-03-13 1999-12-07 Micron Technology, Inc. Semiconductor processing methods of forming integrated circuitry memory devices, methods of forming capacitor containers, methods of making electrical connection to circuit nodes and related integrated circuitry
US6004835A (en) 1997-04-25 1999-12-21 Micron Technology, Inc. Method of forming integrated circuitry, conductive lines, a conductive grid, a conductive network, an electrical interconnection to anode location and an electrical interconnection with a transistor source/drain region
DE69803332T2 (de) * 1997-05-21 2002-08-29 Toyoda Chuo Kenkyusho Kk Hartmolybdänlegierung, verschliessfeste Legierung und Verfahren zu ihrer Herstellung
US5917749A (en) 1997-05-23 1999-06-29 Motorola, Inc. MRAM cell requiring low switching field
US6072209A (en) 1997-07-08 2000-06-06 Micro Technology, Inc. Four F2 folded bit line DRAM cell structure having buried bit and word lines
US6150687A (en) 1997-07-08 2000-11-21 Micron Technology, Inc. Memory cell having a vertical transistor with buried source/drain and dual gates
US5909618A (en) 1997-07-08 1999-06-01 Micron Technology, Inc. Method of making memory cell with vertical transistor and buried word and body lines
US6191470B1 (en) 1997-07-08 2001-02-20 Micron Technology, Inc. Semiconductor-on-insulator memory cell with buried word and body lines
US6063688A (en) 1997-09-29 2000-05-16 Intel Corporation Fabrication of deep submicron structures and quantum wire transistors using hard-mask transistor width definition
US5989966A (en) 1997-12-15 1999-11-23 Taiwan Semiconductor Manufacturing Company, Ltd. Method and a deep sub-micron field effect transistor structure for suppressing short channel effects
US5956267A (en) * 1997-12-18 1999-09-21 Honeywell Inc Self-aligned wordline keeper and method of manufacture therefor
US6165833A (en) 1997-12-19 2000-12-26 Micron Technology, Inc. Semiconductor processing method of forming a capacitor
US6291334B1 (en) 1997-12-19 2001-09-18 Applied Materials, Inc. Etch stop layer for dual damascene process
US6004862A (en) 1998-01-20 1999-12-21 Advanced Micro Devices, Inc. Core array and periphery isolation technique
US5963803A (en) 1998-02-02 1999-10-05 Advanced Micro Devices, Inc. Method of making N-channel and P-channel IGFETs with different gate thicknesses and spacer widths
JP2975917B2 (ja) * 1998-02-06 1999-11-10 株式会社半導体プロセス研究所 半導体装置の製造方法及び半導体装置の製造装置
US6104633A (en) * 1998-02-10 2000-08-15 International Business Machines Corporation Intentional asymmetry imposed during fabrication and/or access of magnetic tunnel junction devices
US6147405A (en) * 1998-02-19 2000-11-14 Micron Technology, Inc. Asymmetric, double-sided self-aligned silicide and method of forming the same
US5963469A (en) 1998-02-24 1999-10-05 Micron Technology, Inc. Vertical bipolar read access for low voltage memory cell
US6097065A (en) * 1998-03-30 2000-08-01 Micron Technology, Inc. Circuits and methods for dual-gated transistors
US6696746B1 (en) 1998-04-29 2004-02-24 Micron Technology, Inc. Buried conductors
US5933725A (en) 1998-05-27 1999-08-03 Vanguard International Semiconductor Corporation Word line resistance reduction method and design for high density memory with relaxed metal pitch
US6632718B1 (en) * 1998-07-15 2003-10-14 Texas Instruments Incorporated Disposable spacer technology for reduced cost CMOS processing
US6245662B1 (en) * 1998-07-23 2001-06-12 Applied Materials, Inc. Method of producing an interconnect structure for an integrated circuit
US6362506B1 (en) * 1998-08-26 2002-03-26 Texas Instruments Incorporated Minimization-feasible word line structure for DRAM cell
US6104068A (en) * 1998-09-01 2000-08-15 Micron Technology, Inc. Structure and method for improved signal processing
US6320222B1 (en) * 1998-09-01 2001-11-20 Micron Technology, Inc. Structure and method for reducing threshold voltage variations due to dopant fluctuations
JP4197775B2 (ja) 1998-09-10 2008-12-17 浜松ホトニクス株式会社 半導体位置検出器
KR100441258B1 (ko) * 1998-09-22 2004-07-21 가부시키가이샤 히타치세이사쿠쇼 반도체장치 및 그 제조방법
US6333866B1 (en) 1998-09-28 2001-12-25 Texas Instruments Incorporated Semiconductor device array having dense memory cell array and heirarchical bit line scheme
US6071789A (en) 1998-11-10 2000-06-06 Vanguard International Semiconductor Corporation Method for simultaneously fabricating a DRAM capacitor and metal interconnections
US6005800A (en) * 1998-11-23 1999-12-21 International Business Machines Corporation Magnetic memory array with paired asymmetric memory cells for improved write margin
US5977579A (en) 1998-12-03 1999-11-02 Micron Technology, Inc. Trench dram cell with vertical device and buried word lines
US6211044B1 (en) * 1999-04-12 2001-04-03 Advanced Micro Devices Process for fabricating a semiconductor device component using a selective silicidation reaction
US6136662A (en) 1999-05-13 2000-10-24 Lsi Logic Corporation Semiconductor wafer having a layer-to-layer alignment mark and method for fabricating the same
US6908800B1 (en) 1999-06-04 2005-06-21 Texas Instruments Incorporated Tunable sidewall spacer process for CMOS integrated circuits
JP4063450B2 (ja) * 1999-06-14 2008-03-19 エルピーダメモリ株式会社 半導体集積回路装置
JP3589346B2 (ja) 1999-06-17 2004-11-17 松下電器産業株式会社 磁気抵抗効果素子および磁気抵抗効果記憶素子
US6274905B1 (en) * 1999-06-30 2001-08-14 Fairchild Semiconductor Corporation Trench structure substantially filled with high-conductivity material
US6134139A (en) * 1999-07-28 2000-10-17 Hewlett-Packard Magnetic memory structure with improved half-select margin
JP2001077196A (ja) 1999-09-08 2001-03-23 Sony Corp 半導体装置の製造方法
US6282113B1 (en) 1999-09-29 2001-08-28 International Business Machines Corporation Four F-squared gapless dual layer bitline DRAM array architecture
US6362057B1 (en) * 1999-10-26 2002-03-26 Motorola, Inc. Method for forming a semiconductor device
SE518965C2 (sv) * 1999-11-04 2002-12-10 Ruben Haegglund Sedelhanteringsanordning
US6582891B1 (en) * 1999-12-02 2003-06-24 Axcelis Technologies, Inc. Process for reducing edge roughness in patterned photoresist
KR100311050B1 (ko) 1999-12-14 2001-11-05 윤종용 커패시터의 전극 제조 방법
US6271080B1 (en) 1999-12-16 2001-08-07 International Business Machines Corporation Structure and method for planar MOSFET DRAM cell free of wordline gate conductor to storage trench overlay sensitivity
JP4860022B2 (ja) 2000-01-25 2012-01-25 エルピーダメモリ株式会社 半導体集積回路装置の製造方法
US6573030B1 (en) 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US6967140B2 (en) * 2000-03-01 2005-11-22 Intel Corporation Quantum wire gate device and method of making same
US6297554B1 (en) 2000-03-10 2001-10-02 United Microelectronics Corp. Dual damascene interconnect structure with reduced parasitic capacitance
US6251711B1 (en) 2000-03-17 2001-06-26 United Microelectronics Corp. Method for forming bridge free silicide
US6423474B1 (en) 2000-03-21 2002-07-23 Micron Technology, Inc. Use of DARC and BARC in flash memory processing
US20050164443A1 (en) 2000-05-18 2005-07-28 Youngmin Kim Tunable sidewall spacer process for CMOS integrated circuits
CA2349139C (en) 2000-05-31 2007-09-11 Kansai Paint Co., Ltd. Cationic resin composition
US6396096B1 (en) 2000-06-21 2002-05-28 International Business Machines Corporation Design layout for a dense memory cell structure
US6424561B1 (en) 2000-07-18 2002-07-23 Micron Technology, Inc. MRAM architecture using offset bits for increased write selectivity
US6632741B1 (en) 2000-07-19 2003-10-14 International Business Machines Corporation Self-trimming method on looped patterns
US6236590B1 (en) * 2000-07-21 2001-05-22 Hewlett-Packard Company Optimal write conductors layout for improved performance in MRAM
US6455372B1 (en) 2000-08-14 2002-09-24 Micron Technology, Inc. Nucleation for improved flash erase characteristics
US6348380B1 (en) * 2000-08-25 2002-02-19 Micron Technology, Inc. Use of dilute steam ambient for improvement of flash devices
DE10041749A1 (de) * 2000-08-27 2002-03-14 Infineon Technologies Ag Vertikale nichtflüchtige Halbleiter-Speicherzelle sowie Verfahren zu deren Herstellung
US7118960B2 (en) * 2000-08-31 2006-10-10 Micron Technology, Inc. Selective polysilicon stud growth
SE517275C2 (sv) * 2000-09-20 2002-05-21 Obducat Ab Sätt vid våtetsning av ett substrat
US6667237B1 (en) 2000-10-12 2003-12-23 Vram Technologies, Llc Method and apparatus for patterning fine dimensions
JP2002124585A (ja) 2000-10-17 2002-04-26 Hitachi Ltd 不揮発性半導体記憶装置およびその製造方法
US6534243B1 (en) * 2000-10-23 2003-03-18 Advanced Micro Devices, Inc. Chemical feature doubling process
US6926843B2 (en) 2000-11-30 2005-08-09 International Business Machines Corporation Etching of hard masks
US6368950B1 (en) * 2000-12-12 2002-04-09 Advanced Micro Devices, Inc. Silicide gate transistors
US6424001B1 (en) 2001-02-09 2002-07-23 Micron Technology, Inc. Flash memory with ultra thin vertical body transistors
US6566682B2 (en) 2001-02-09 2003-05-20 Micron Technology, Inc. Programmable memory address and decode circuits with ultra thin vertical body transistors
US6496034B2 (en) 2001-02-09 2002-12-17 Micron Technology, Inc. Programmable logic arrays with ultra thin body transistors
US6377070B1 (en) * 2001-02-09 2002-04-23 Micron Technology, Inc. In-service programmable logic arrays with ultra thin vertical body transistors
US6559491B2 (en) 2001-02-09 2003-05-06 Micron Technology, Inc. Folded bit line DRAM with ultra thin body transistors
US6531727B2 (en) 2001-02-09 2003-03-11 Micron Technology, Inc. Open bit line DRAM with ultra thin body transistors
US6448601B1 (en) 2001-02-09 2002-09-10 Micron Technology, Inc. Memory address and decode circuits with ultra thin body transistors
US6649476B2 (en) 2001-02-15 2003-11-18 Micron Technology, Inc. Monotonic dynamic-static pseudo-NMOS logic circuit and method of forming a logic gate array
JP2002261161A (ja) * 2001-03-05 2002-09-13 Hitachi Ltd 半導体装置の製造方法
US20040074949A1 (en) * 2001-03-07 2004-04-22 Masayuki Narita Friction agitation joining method flat material for plastic working and closed end sleeve like body
US6545904B2 (en) * 2001-03-16 2003-04-08 Micron Technology, Inc. 6f2 dram array, a dram array formed on a semiconductive substrate, a method of forming memory cells in a 6f2 dram array and a method of isolating a single row of memory cells in a 6f2 dram array
US6475867B1 (en) 2001-04-02 2002-11-05 Advanced Micro Devices, Inc. Method of forming integrated circuit features by oxidation of titanium hard mask
US6548347B2 (en) 2001-04-12 2003-04-15 Micron Technology, Inc. Method of forming minimally spaced word lines
US6498062B2 (en) 2001-04-27 2002-12-24 Micron Technology, Inc. DRAM access transistor
US6740594B2 (en) 2001-05-31 2004-05-25 Infineon Technologies Ag Method for removing carbon-containing polysilane from a semiconductor without stripping
KR20030002863A (ko) * 2001-06-30 2003-01-09 주식회사 하이닉스반도체 코어를 가진 플러그 구조 상의 강유전체 메모리소자 및 그제조방법
US6522584B1 (en) * 2001-08-02 2003-02-18 Micron Technology, Inc. Programming methods for multi-level flash EEPROMs
US6744094B2 (en) 2001-08-24 2004-06-01 Micron Technology Inc. Floating gate transistor with horizontal gate layers stacked next to vertical body
TW497138B (en) * 2001-08-28 2002-08-01 Winbond Electronics Corp Method for improving consistency of critical dimension
DE10142590A1 (de) 2001-08-31 2003-04-03 Infineon Technologies Ag Verfahren zur Seitenwandverstärkung von Resiststrukturen und zur Herstellung von Strukturen mit reduzierter Strukturgröße
US7226853B2 (en) 2001-12-26 2007-06-05 Applied Materials, Inc. Method of forming a dual damascene structure utilizing a three layer hard mask structure
US6638441B2 (en) 2002-01-07 2003-10-28 Macronix International Co., Ltd. Method for pitch reduction
US7078296B2 (en) 2002-01-16 2006-07-18 Fairchild Semiconductor Corporation Self-aligned trench MOSFETs and methods for making the same
DE10207131B4 (de) 2002-02-20 2007-12-20 Infineon Technologies Ag Verfahren zur Bildung einer Hartmaske in einer Schicht auf einer flachen Scheibe
US6838722B2 (en) * 2002-03-22 2005-01-04 Siliconix Incorporated Structures of and methods of fabricating trench-gated MIS devices
US6759180B2 (en) 2002-04-23 2004-07-06 Hewlett-Packard Development Company, L.P. Method of fabricating sub-lithographic sized line and space patterns for nano-imprinting lithography
US20030207584A1 (en) 2002-05-01 2003-11-06 Swaminathan Sivakumar Patterning tighter and looser pitch geometries
US6951709B2 (en) 2002-05-03 2005-10-04 Micron Technology, Inc. Method of fabricating a semiconductor multilevel interconnect structure
US6602779B1 (en) 2002-05-13 2003-08-05 Taiwan Semiconductor Manufacturing Co., Ltd Method for forming low dielectric constant damascene structure while employing carbon doped silicon oxide planarizing stop layer
US6900521B2 (en) 2002-06-10 2005-05-31 Micron Technology, Inc. Vertical transistors and output prediction logic circuits containing same
TW543195B (en) 2002-06-12 2003-07-21 Powerchip Semiconductor Corp Split-gate flash memory structure and method of manufacture
US6734107B2 (en) 2002-06-12 2004-05-11 Macronix International Co., Ltd. Pitch reduction in semiconductor fabrication
US6559017B1 (en) 2002-06-13 2003-05-06 Advanced Micro Devices, Inc. Method of using amorphous carbon as spacer material in a disposable spacer process
KR100476924B1 (ko) 2002-06-14 2005-03-17 삼성전자주식회사 반도체 장치의 미세 패턴 형성 방법
US6777725B2 (en) 2002-06-14 2004-08-17 Ingentix Gmbh & Co. Kg NROM memory circuit with recessed bitline
US6924191B2 (en) 2002-06-20 2005-08-02 Applied Materials, Inc. Method for fabricating a gate structure of a field effect transistor
WO2004003977A2 (en) 2002-06-27 2004-01-08 Advanced Micro Devices, Inc. Method of defining the dimensions of circuit elements by using spacer deposition techniques
DE10228807B4 (de) * 2002-06-27 2009-07-23 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung von Mikrostrukturelementen
US6689695B1 (en) * 2002-06-28 2004-02-10 Taiwan Semiconductor Manufacturing Company Multi-purpose composite mask for dual damascene patterning
US6835663B2 (en) * 2002-06-28 2004-12-28 Infineon Technologies Ag Hardmask of amorphous carbon-hydrogen (a-C:H) layers with tunable etch resistivity
US6960510B2 (en) * 2002-07-01 2005-11-01 International Business Machines Corporation Method of making sub-lithographic features
US7182823B2 (en) * 2002-07-05 2007-02-27 Olin Corporation Copper alloy containing cobalt, nickel and silicon
US20040018738A1 (en) * 2002-07-22 2004-01-29 Wei Liu Method for fabricating a notch gate structure of a field effect transistor
US6764949B2 (en) * 2002-07-31 2004-07-20 Advanced Micro Devices, Inc. Method for reducing pattern deformation and photoresist poisoning in semiconductor device fabrication
US6673684B1 (en) * 2002-07-31 2004-01-06 Advanced Micro Devices, Inc. Use of diamond as a hard mask material
US6800930B2 (en) 2002-07-31 2004-10-05 Micron Technology, Inc. Semiconductor dice having back side redistribution layer accessed using through-silicon vias, and assemblies
US6939808B2 (en) * 2002-08-02 2005-09-06 Applied Materials, Inc. Undoped and fluorinated amorphous carbon film as pattern mask for metal etch
US20040046048A1 (en) * 2002-08-13 2004-03-11 Hsu Shih Hao Sprayer assembly for positioning hoses
US7071043B2 (en) 2002-08-15 2006-07-04 Micron Technology, Inc. Methods of forming a field effect transistor having source/drain material over insulative material
US6566280B1 (en) 2002-08-26 2003-05-20 Intel Corporation Forming polymer features on a substrate
US6794699B2 (en) 2002-08-29 2004-09-21 Micron Technology Inc Annular gate and technique for fabricating an annular gate
US7205598B2 (en) * 2002-08-29 2007-04-17 Micron Technology, Inc. Random access memory device utilizing a vertically oriented select transistor
US6756284B2 (en) * 2002-09-18 2004-06-29 Silicon Storage Technology, Inc. Method for forming a sublithographic opening in a semiconductor process
US7041047B2 (en) * 2002-10-04 2006-05-09 Boston Scientific Scimed, Inc. Method and apparatus for the delivery of brachytherapy
US6706571B1 (en) * 2002-10-22 2004-03-16 Advanced Micro Devices, Inc. Method for forming multiple structures in a semiconductor device
US6888755B2 (en) * 2002-10-28 2005-05-03 Sandisk Corporation Flash memory cell arrays having dual control gates per memory cell charge storage element
US6804142B2 (en) * 2002-11-12 2004-10-12 Micron Technology, Inc. 6F2 3-transistor DRAM gain cell
US7119020B2 (en) 2002-12-04 2006-10-10 Matsushita Electric Industrial Co., Ltd. Method for fabricating semiconductor device
US6825529B2 (en) 2002-12-12 2004-11-30 International Business Machines Corporation Stress inducing spacers
JP2004193483A (ja) 2002-12-13 2004-07-08 Renesas Technology Corp 半導体記憶装置
US6686245B1 (en) * 2002-12-20 2004-02-03 Motorola, Inc. Vertical MOSFET with asymmetric gate structure
US7084076B2 (en) 2003-02-27 2006-08-01 Samsung Electronics, Co., Ltd. Method for forming silicon dioxide film using siloxane
US6861701B2 (en) * 2003-03-05 2005-03-01 Advanced Analogic Technologies, Inc. Trench power MOSFET with planarized gate bus
US7105089B2 (en) 2003-03-13 2006-09-12 3M Innovative Properties Company Liquid—liquid extraction system and method
US7015124B1 (en) * 2003-04-28 2006-03-21 Advanced Micro Devices, Inc. Use of amorphous carbon for gate patterning
US6773998B1 (en) 2003-05-20 2004-08-10 Advanced Micro Devices, Inc. Modified film stack and patterning strategy for stress compensation and prevention of pattern distortion in amorphous carbon gate patterning
JP4578785B2 (ja) 2003-05-21 2010-11-10 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
DE10332725A1 (de) 2003-07-18 2005-02-24 Forschungszentrum Jülich GmbH Verfahren zur selbstjustierenden Verkleinerung von Strukturen
US7049702B2 (en) * 2003-08-14 2006-05-23 Taiwan Semiconductor Manufacturing Co., Ltd. Damascene structure at semiconductor substrate level
US7112483B2 (en) * 2003-08-29 2006-09-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming a device having multiple silicide types
KR100499175B1 (ko) 2003-09-01 2005-07-01 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US7384868B2 (en) * 2003-09-15 2008-06-10 International Business Machines Corporation Reduction of silicide formation temperature on SiGe containing substrates
US6844591B1 (en) 2003-09-17 2005-01-18 Micron Technology, Inc. Method of forming DRAM access transistors
DE10345455A1 (de) 2003-09-30 2005-05-04 Infineon Technologies Ag Verfahren zum Erzeugen einer Hartmaske und Hartmasken-Anordnung
US20050156208A1 (en) * 2003-09-30 2005-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Device having multiple silicide types and a method for its fabrication
KR100536801B1 (ko) 2003-10-01 2005-12-14 동부아남반도체 주식회사 반도체 소자 및 그 제조 방법
US6867116B1 (en) * 2003-11-10 2005-03-15 Macronix International Co., Ltd. Fabrication method of sub-resolution pitch for integrated circuits
US6946709B2 (en) 2003-12-02 2005-09-20 International Business Machines Corporation Complementary transistors having different source and drain extension spacing controlled by different spacer sizes
US7153734B2 (en) * 2003-12-29 2006-12-26 Intel Corporation CMOS device with metal and silicide gate electrodes and a method for making it
US20050144792A1 (en) 2004-01-06 2005-07-07 The Shire Corporation In-line sanding paddle with multiple extensions having certain tensile strengths
US6875703B1 (en) * 2004-01-20 2005-04-05 International Business Machines Corporation Method for forming quadruple density sidewall image transfer (SIT) structures
US20060033678A1 (en) * 2004-01-26 2006-02-16 Applied Materials, Inc. Integrated electroless deposition system
US7372091B2 (en) 2004-01-27 2008-05-13 Micron Technology, Inc. Selective epitaxy vertical integrated circuit components
KR100574317B1 (ko) * 2004-02-19 2006-04-26 삼성전자주식회사 게이트 구조물, 이를 갖는 반도체 장치 및 그 형성 방법
US7262089B2 (en) * 2004-03-11 2007-08-28 Micron Technology, Inc. Methods of forming semiconductor structures
WO2005094231A2 (en) 2004-03-19 2005-10-13 The Regents Of The University Of California Methods for fabrication of positional and compositionally controlled nanostructures on substrate
DE102004016073B4 (de) * 2004-03-30 2010-12-23 Texas Instruments Deutschland Gmbh Verfahren zur Erzeugung eines Impulsausgangssignals aus einem periodischen Sägezahnsignal und einer Referenzspannung, und getakteter Stromwandler
US6955961B1 (en) 2004-05-27 2005-10-18 Macronix International Co., Ltd. Method for defining a minimum pitch in an integrated circuit beyond photolithographic resolution
US7183205B2 (en) 2004-06-08 2007-02-27 Macronix International Co., Ltd. Method of pitch dimension shrinkage
US7473644B2 (en) * 2004-07-01 2009-01-06 Micron Technology, Inc. Method for forming controlled geometry hardmasks including subresolution elements
US7396767B2 (en) * 2004-07-16 2008-07-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure including silicide regions and method of making same
US7518182B2 (en) * 2004-07-20 2009-04-14 Micron Technology, Inc. DRAM layout with vertical FETs and method of formation
US7176125B2 (en) * 2004-07-23 2007-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a static random access memory with a buried local interconnect
KR100704470B1 (ko) * 2004-07-29 2007-04-10 주식회사 하이닉스반도체 비결정성 탄소막을 희생 하드마스크로 이용하는반도체소자 제조 방법
US7122425B2 (en) 2004-08-24 2006-10-17 Micron Technology, Inc. Methods of forming semiconductor constructions
US7151040B2 (en) * 2004-08-31 2006-12-19 Micron Technology, Inc. Methods for increasing photo alignment margins
US7910288B2 (en) * 2004-09-01 2011-03-22 Micron Technology, Inc. Mask material conversion
US7042047B2 (en) 2004-09-01 2006-05-09 Micron Technology, Inc. Memory cell, array, device and system with overlapping buried digit line and active area and method for forming same
US7547945B2 (en) * 2004-09-01 2009-06-16 Micron Technology, Inc. Transistor devices, transistor structures and semiconductor constructions
US7442976B2 (en) * 2004-09-01 2008-10-28 Micron Technology, Inc. DRAM cells with vertical transistors
US7115525B2 (en) * 2004-09-02 2006-10-03 Micron Technology, Inc. Method for integrated circuit fabrication using pitch multiplication
US7655387B2 (en) * 2004-09-02 2010-02-02 Micron Technology, Inc. Method to align mask patterns
US7285812B2 (en) 2004-09-02 2007-10-23 Micron Technology, Inc. Vertical transistors
JP4771271B2 (ja) 2004-09-24 2011-09-14 トヨタ自動車株式会社 単電池、単電池の製造方法、燃料電池、燃料電池の製造方法
US20060073613A1 (en) * 2004-09-29 2006-04-06 Sanjeev Aggarwal Ferroelectric memory cells and methods for fabricating ferroelectric memory cells and ferroelectric capacitors thereof
KR100614651B1 (ko) 2004-10-11 2006-08-22 삼성전자주식회사 회로 패턴의 노광을 위한 장치 및 방법, 사용되는포토마스크 및 그 설계 방법, 그리고 조명계 및 그 구현방법
US7098536B2 (en) * 2004-10-21 2006-08-29 International Business Machines Corporation Structure for strained channel field effect transistor pair having a member and a contact via
US20060094180A1 (en) * 2004-11-02 2006-05-04 Intel Corporation Method for making a semiconductor device with a high-k gate dielectric layer and a silicide gate electrode
US7214629B1 (en) 2004-11-16 2007-05-08 Xilinx, Inc. Strain-silicon CMOS with dual-stressed film
US7208379B2 (en) 2004-11-29 2007-04-24 Texas Instruments Incorporated Pitch multiplication process
US7476920B2 (en) 2004-12-15 2009-01-13 Infineon Technologies Ag 6F2 access transistor arrangement and semiconductor memory device
KR100596795B1 (ko) 2004-12-16 2006-07-05 주식회사 하이닉스반도체 반도체 소자의 캐패시터 및 그 형성방법
US7432553B2 (en) 2005-01-19 2008-10-07 International Business Machines Corporation Structure and method to optimize strain in CMOSFETs
US7238580B2 (en) 2005-01-26 2007-07-03 Freescale Semiconductor, Inc. Semiconductor fabrication process employing stress inducing source drain structures with graded impurity concentration
US7271107B2 (en) 2005-02-03 2007-09-18 Lam Research Corporation Reduction of feature critical dimensions using multiple masks
US7163853B2 (en) * 2005-02-09 2007-01-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a capacitor and a metal gate on a semiconductor device
US7227331B2 (en) 2005-02-14 2007-06-05 International Rectifier Corporation Safety interlock and protection circuit for permanent magnet motor drive
US7253118B2 (en) 2005-03-15 2007-08-07 Micron Technology, Inc. Pitch reduced patterns relative to photolithography features
US7390746B2 (en) * 2005-03-15 2008-06-24 Micron Technology, Inc. Multiple deposition for integration of spacers in pitch multiplication process
US7659203B2 (en) * 2005-03-18 2010-02-09 Applied Materials, Inc. Electroless deposition process on a silicon contact
US7611944B2 (en) 2005-03-28 2009-11-03 Micron Technology, Inc. Integrated circuit fabrication
KR100640639B1 (ko) 2005-04-19 2006-10-31 삼성전자주식회사 미세콘택을 포함하는 반도체소자 및 그 제조방법
JP2006313784A (ja) * 2005-05-06 2006-11-16 Nec Electronics Corp 半導体装置およびその製造方法
US7214621B2 (en) * 2005-05-18 2007-05-08 Micron Technology, Inc. Methods of forming devices associated with semiconductor constructions
US7429536B2 (en) 2005-05-23 2008-09-30 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7547599B2 (en) 2005-05-26 2009-06-16 Micron Technology, Inc. Multi-state memory cell
US7560390B2 (en) 2005-06-02 2009-07-14 Micron Technology, Inc. Multiple spacer steps for pitch multiplication
US7396781B2 (en) 2005-06-09 2008-07-08 Micron Technology, Inc. Method and apparatus for adjusting feature size and position
US7541632B2 (en) 2005-06-14 2009-06-02 Micron Technology, Inc. Relaxed-pitch method of aligning active area to digit line
US8338887B2 (en) * 2005-07-06 2012-12-25 Infineon Technologies Ag Buried gate transistor
US7413981B2 (en) * 2005-07-29 2008-08-19 Micron Technology, Inc. Pitch doubled circuit layout
US7291560B2 (en) 2005-08-01 2007-11-06 Infineon Technologies Ag Method of production pitch fractionizations in semiconductor technology
US7816262B2 (en) 2005-08-30 2010-10-19 Micron Technology, Inc. Method and algorithm for random half pitched interconnect layout with constant spacing
US7829262B2 (en) * 2005-08-31 2010-11-09 Micron Technology, Inc. Method of forming pitch multipled contacts
US7393789B2 (en) * 2005-09-01 2008-07-01 Micron Technology, Inc. Protective coating for planarization
US7572572B2 (en) * 2005-09-01 2009-08-11 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7759197B2 (en) * 2005-09-01 2010-07-20 Micron Technology, Inc. Method of forming isolated features using pitch multiplication
US7776744B2 (en) * 2005-09-01 2010-08-17 Micron Technology, Inc. Pitch multiplication spacers and methods of forming the same
US7687342B2 (en) * 2005-09-01 2010-03-30 Micron Technology, Inc. Method of manufacturing a memory device
US8716772B2 (en) 2005-12-28 2014-05-06 Micron Technology, Inc. DRAM cell design with folded digitline sense amplifier
US7807536B2 (en) 2006-02-10 2010-10-05 Fairchild Semiconductor Corporation Low resistance gate for power MOSFET applications and method of manufacture
US20070210449A1 (en) 2006-03-07 2007-09-13 Dirk Caspary Memory device and an array of conductive lines and methods of making the same
US7537866B2 (en) 2006-05-24 2009-05-26 Synopsys, Inc. Patterning a single integrated circuit layer using multiple masks and multiple masking layers
US8129289B2 (en) 2006-10-05 2012-03-06 Micron Technology, Inc. Method to deposit conformal low temperature SiO2

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000022101A (ja) * 1998-06-22 2000-01-21 Internatl Business Mach Corp <Ibm> トレンチ・キャパシタ構造およびその製造方法
JP2001345446A (ja) * 2000-06-02 2001-12-14 Seiko Instruments Inc 縦形mosトランジスタ及びその製造方法
US20020102848A1 (en) * 2000-12-07 2002-08-01 Advanced Micro Devices, Inc. Damascene nisi metal gate high-k transistor
US6465309B1 (en) * 2000-12-12 2002-10-15 Advanced Micro Devices, Inc. Silicide gate transistors
JP2004520718A (ja) * 2001-04-28 2004-07-08 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ トレンチ−ゲート構造半導体装置及びその製造方法
JP2003007859A (ja) * 2001-06-12 2003-01-10 Hynix Semiconductor Inc 誘電膜を有するメモリ素子の製造方法
JP2003023150A (ja) * 2001-07-10 2003-01-24 Sony Corp トレンチゲート型半導体装置及びその作製方法
JP2005019943A (ja) * 2003-06-27 2005-01-20 Samsung Electronics Co Ltd ニッケル合金サリサイド工程、それを用いて半導体素子を製造する方法、これにより形成されたニッケル合金シリサイド膜及びそれを用いて製造された半導体素子
JP2005197748A (ja) * 2004-01-09 2005-07-21 Internatl Business Mach Corp <Ibm> 金属ゲート電極およびシリサイド接点を備えたfetゲート構造

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013135029A (ja) * 2011-12-26 2013-07-08 Elpida Memory Inc 半導体装置の製造方法

Also Published As

Publication number Publication date
US20070105357A1 (en) 2007-05-10
SG10201400297PA (en) 2014-06-27
SG165335A1 (en) 2010-10-28
US7977236B2 (en) 2011-07-12
SG165336A1 (en) 2010-10-28
US20090239366A1 (en) 2009-09-24
EP1929510A2 (en) 2008-06-11
KR20080039541A (ko) 2008-05-07
US9076888B2 (en) 2015-07-07
JP4984177B2 (ja) 2012-07-25
WO2007030343A2 (en) 2007-03-15
KR100984469B1 (ko) 2010-09-30
CN101297392B (zh) 2011-05-11
US7557032B2 (en) 2009-07-07
TW200721391A (en) 2007-06-01
TWI329351B (en) 2010-08-21
WO2007030343A3 (en) 2007-06-14
CN101297392A (zh) 2008-10-29
US20070049015A1 (en) 2007-03-01

Similar Documents

Publication Publication Date Title
JP4984177B2 (ja) 珪化された溝形シリコン
US8252646B2 (en) Peripheral gate stacks and recessed array gates
JP5003979B2 (ja) メモリセルのレイアウトおよびプロセスフロー
KR20130065264A (ko) 매립비트라인 형성 방법, 매립비트라인를 구비한 반도체장치 및 제조 방법
US11682706B2 (en) Semiconductor device
US9548259B2 (en) Semiconductor device and method for manufacturing the same
JP2009170857A (ja) 半導体装置及びその製造方法
CN110896075A (zh) 集成电路存储器及其制备方法
KR20160122364A (ko) 반도체 장치 및 그 제조 방법
TWI808811B (zh) 半導體記憶體裝置
KR20170110332A (ko) 반도체 장치 및 그 제조 방법
US20240172416A1 (en) Semiconductor device and method of fabricating the same
US20240155833A1 (en) Semiconductor device
TW202341426A (zh) 半導體記憶體裝置
JP4441186B2 (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110513

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110524

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110819

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20110819

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120313

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120411

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

Ref document number: 4984177

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150511

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250