JP2005197748A - 金属ゲート電極およびシリサイド接点を備えたfetゲート構造 - Google Patents

金属ゲート電極およびシリサイド接点を備えたfetゲート構造 Download PDF

Info

Publication number
JP2005197748A
JP2005197748A JP2005001988A JP2005001988A JP2005197748A JP 2005197748 A JP2005197748 A JP 2005197748A JP 2005001988 A JP2005001988 A JP 2005001988A JP 2005001988 A JP2005001988 A JP 2005001988A JP 2005197748 A JP2005197748 A JP 2005197748A
Authority
JP
Japan
Prior art keywords
forming
metal
layer
metal layer
gate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2005001988A
Other languages
English (en)
Other versions
JP4391950B2 (ja
Inventor
Victor Ku
ヴィクター・クー
An Steegen
アン・ステーヘン
Hsing-Jen C Wann
シン=ジェン・シー・ワン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2005197748A publication Critical patent/JP2005197748A/ja
Application granted granted Critical
Publication of JP4391950B2 publication Critical patent/JP4391950B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/66583Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with initial gate mask or masking layer complementary to the prospective gate location, e.g. with dummy source and drain contacts
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R1/00Details of instruments or arrangements of the types included in groups G01R5/00 - G01R13/00 and G01R31/00
    • G01R1/02General constructional details
    • G01R1/06Measuring leads; Measuring probes
    • G01R1/067Measuring probes
    • G01R1/073Multiple probes
    • G01R1/07307Multiple probes with individual probe elements, e.g. needles, cantilever beams or bump contacts, fixed in relation to each other, e.g. bed of nails fixture or probe card
    • G01R1/07342Multiple probes with individual probe elements, e.g. needles, cantilever beams or bump contacts, fixed in relation to each other, e.g. bed of nails fixture or probe card the body of the probe being at an angle other than perpendicular to test object, e.g. probe card
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R1/00Details of instruments or arrangements of the types included in groups G01R5/00 - G01R13/00 and G01R31/00
    • G01R1/02General constructional details
    • G01R1/06Measuring leads; Measuring probes
    • G01R1/067Measuring probes
    • G01R1/06711Probe needles; Cantilever beams; "Bump" contacts; Replaceable probe pins
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R1/00Details of instruments or arrangements of the types included in groups G01R5/00 - G01R13/00 and G01R31/00
    • G01R1/02General constructional details
    • G01R1/06Measuring leads; Measuring probes
    • G01R1/067Measuring probes
    • G01R1/073Multiple probes
    • G01R1/07307Multiple probes with individual probe elements, e.g. needles, cantilever beams or bump contacts, fixed in relation to each other, e.g. bed of nails fixture or probe card
    • G01R1/0735Multiple probes with individual probe elements, e.g. needles, cantilever beams or bump contacts, fixed in relation to each other, e.g. bed of nails fixture or probe card arranged on a flexible frame or film
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/26Testing of individual semiconductor devices
    • G01R31/2601Apparatus or methods therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28079Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a single metal, e.g. Ta, W, Mo, Al
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28247Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon passivation or protection of the electrode, e.g. using re-oxidation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823835Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes silicided or salicided gate conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

【課題】半導体デバイス用の1金属置換ゲートまたは2金属置換ゲートを作製する方法を提供すること。
【解決手段】この構造は、ゲート領域とのシリサイド接点を含む。ダミー・ゲート構造および犠牲ゲート誘電体を除去して、基板の一部分を露出させ、その上にゲート誘電体を形成する。ゲート誘電体および誘電体材料を覆うように金属層を形成する。この金属層は、それが好都合なら、デバイス・ウェハを覆うブランケット金属層にすることもできる。次いで、金属層を覆うようにシリコン層を形成する。この層も、ブランケット層にすることができる。次いで、平坦化またはエッチバック・プロセスを行い、誘電体材料の上面を露出させ、金属層およびシリコン層の他の部分がゲート領域内に残って誘電体材料の上面と同一平面となる表面を有するようにする。次いで、ゲート領域の金属層と接触したシリサイド接点を形成する。
【選択図】図11

Description

本願は、本願と同日に出願され同じ譲受人に譲渡された、「Method of forming FET silicide gate structures incorporating inner spacers」と題する出願FIS9−2003−0341の関連出願である。
本発明は、高性能半導体デバイス、特に金属ゲート電極を用いた高性能CMOS集積デバイスの製造に関する。
CMOSデバイスの小型化が進むにつれて、CMOSデバイスのゲート誘電体の厚さも20Åをかなり下回るほど薄くなっている。これにより、ゲート漏れ電流が著しく増大し、ポリシリコン・ゲート構造からのドーパントの拡散も著しく大きくなっている(ポリ・デプレッション効果(poly depletion effect)と呼ばれることが多い)。
現在は、金属ゲートを使用して、ポリ・デプレッション効果を緩和し、漏れ電流を抑制することにより、高度に集積されたCMOSデバイスの電気的性能を確保している。通常、金属ゲートは、ダミー・ポリシリコン・ゲートを最初に形成した後に除去し、その位置に金属ゲートを形成する「置換ゲート(replacement gate)」プロセスによって形成される。金属ゲートは、n+ゲート領域およびp+ゲート領域の両方に及ぶことがあり、ミッドギャップ仕事関数を有する単一の金属で構成することができる。あるいは、置換ゲートは、それ以前にn+ポリシリコン・ゲートおよびp+ポリシリコン・ゲートが占めていた位置に位置する、異なる仕事関数を有する2種類の金属で構成することもできる。
現況技術の一例として、「Integration of dual workfunction metal gate CMOS devices」と題するLee他の米国特許出願第2003/0119292号には、金属ゲートを形成する置換ゲート・プロセスが記載されている。このプロセスでは、ドーピングしたポリシリコン・ゲートを形成した後に除去して、開いたトレンチが残るようにし、このトレンチ内にバルク金属層を堆積させ、その後これを平坦化して金属ゲートを形成する。この手法では、2通りの製造上の問題が生じることがある。第1の問題は、ゲート構造が非常に細い場合(場合によっては70nm未満など、チャネル長が非常に短い場合に相当)にトレンチのアスペクト比が大きくなり、金属中に空隙を生じることなくトレンチの充填を行うことが困難になることがあることである。第2の問題は、金属平坦化プロセス(通常は化学機械研磨(CMP))において、金属の厚さが不均一になる(したがって金属ゲートの高さも不均一になる)ディッシング効果が発生しやすいことである。
また、金属ゲート電極となるシリサイド接点を提供することも望ましい。したがって、製造が容易であり、かつミッドギャップ置換ゲートおよび2金属置換ゲートの両方に適用することができる、シリサイド接点を備えた金属ゲートCMOSデバイスが必要とされている。
本発明は、基板上にゲート構造を有する半導体デバイスを作製する方法を提供することにより、上述の必要に応えるものである。本発明の第1の態様によれば、この方法では、最初に、デバイスのゲート領域中の材料を除去して(すなわち、ダミー・ゲート構造および犠牲ゲート誘電体を除去して)、基板の一部分を露出させる。基板の露出した部分の上にゲート誘電体を形成し、ゲート誘電体および誘電体材料を覆うように金属層を形成する。この金属層は、それが好都合なら、デバイス・ウェハを覆うブランケット金属層にすることもできる。次いで、この金属層を覆うようにシリコン層を形成する。このシリコン層もブランケット層にすることができる。次いで、平坦化またはエッチバック・プロセスを行い、金属層およびシリコン層の一部分を除去し、誘電体材料の上面を露出させ、金属層およびシリコン層の他の部分がゲート領域内に残って誘電体材料の上面と同一平面となる表面を有するようにする。次いで、ゲート領域の金属層と接触したシリサイド接点を形成する。
ゲート領域の上にシリサイド形成用金属(Ni、Co、Ta、WまたはMo)の層を堆積させ、シリサイド化プロセスを実行してゲート領域に残っている部分のシリコンおよびシリサイド形成用金属を含む金属シリサイドを形成し、平坦化プロセスを実行して誘電体材料の上面を露出させることによって、シリサイド接点を形成することができる。
ダミー・ゲート材料の除去は、底部が基板の露出部分からなるトレンチの形成とみなすことができる。したがって、ゲート誘電体を形成するステップでトレンチの底部が覆われ、金属層を形成するステップでトレンチの側壁上に金属が形成され、シリコン層を形成するステップでトレンチが充填される。その後、シリコンをシリサイドに転化して、トレンチがシリサイド接点で充填されるようにする。金属ゲートは側壁上の層であって、トレンチの底部のゲート誘電体の上にある。
本発明の第2の態様によれば、ゲート領域に2つの金属層を形成し、2金属ゲート構造を形成する。この方法では、ゲート領域の第1の部分から材料を除去し、その後ゲート領域の第2の部分から材料を除去して、基板の複数部分を露出させる。基板の露出部分の上にゲート誘電体を形成する。ゲート誘電体を覆うように第1の金属層および第1のシリコン層を形成し、その後これらを平坦化する。第1の金属層の一部分を酸化する。この金属酸化物層が、第1の金属層と第2の金属層とを分離する。第2の金属層および第2のシリコン層を形成し、その後これらを平坦化する。次いで、ゲート領域中に、第1の金属層および第2の金属層両方の平坦化した部分と接触するシリサイド接点を形成する。
シリサイド接点は、ゲート領域の両部分を覆う第3のシリコン層を形成し、その上にシリサイド形成用金属の層を堆積させ、シリサイド化プロセスを実行することによって形成することもできる。シリサイド化プロセスでは、第1および第2のシリコン層の残っている部分ならびに第3のシリコン層のシリコンと、シリサイド形成用金属層の金属とを含む金属シリサイドが形成される。次いで、平坦化プロセスを実行して、誘電体材料の上面を露出させる。金属および金属酸化物を部分的にゲート領域から除去して、金属層および酸化物層を隣接する誘電体材料の上面よりも窪ませることもできる。次いで、第3のシリコン層でこの凹部を充填し、後に同様にそのシリサイドが凹部を充填するようにする。
本発明の別の態様によれば、基板上にゲート構造を有する半導体デバイスが提供される。このデバイスのゲート構造は、上述の方法により、1金属置換ゲートまたは2金属置換ゲートとして作製される。
ダミー・ポリシリコン・ゲート・スタックを基板上に構築し、ソース領域およびドレイン領域を形成した後に除去する置換ゲート・プロセスの一部として、本発明の実施形態について説明する。図1〜図3は、ダミー・ゲート構造を示す概略図である。この構造が、本明細書に記載する本発明の実施形態の開始点となる。図1は、p+ポリシリコン・ゲート11およびn+ポリシリコン・ゲート12を含む構造10の平面図である。図1に示すように、n+領域およびp+領域は接触している。この構造は、SRAMデバイスで通常見られる構造である。これらのゲート領域を覆うように窒化物13およびHDP酸化物14の層を堆積させた後に平坦化してあるので、ゲート領域11および12は露出している。(本発明の実施形態では、より低い温度で処理を行うことができるように、酸化物領域14は、BPSGではなくHDP酸化物であることが好ましい。)図2は、基板1の上の犠牲ゲート酸化物層15上に形成されたダミー・ゲート領域11および12を示す長手方向断面図である。図3は、ダミー・ポリシリコン・ゲートの片側の窒化物13およびHDP酸化物14を示す横方向断面図である。
ダミー・ゲートおよび犠牲ゲート酸化物を除去した後で、それにより生じたトレンチ中に金属層(所望の仕事関数を持つだけの厚さを有する)を堆積させ、このトレンチを、ドーピングしていないポリシリコンなど適当な材料で充填する。後に、充填材であるポリシリコンをシリサイドに転化させて、金属ゲートへの接点を形成することもできる。
以下で詳細に述べるように、金属ゲート構造は、ミッドギャップ仕事関数を有する1金属ゲートであっても、n+ゲート領域とp+ゲート領域とで仕事関数が異なる2金属ゲートであってもよい。
第1の実施形態:1金属(single-metal)置換ゲート
この実施形態では、ダミー・ポリシリコン・ゲート構造11および12を同一プロセスで除去するが、窒化物13および酸化物14に対する選択性を有する任意の好都合なプロセスを用いることができる。このプロセスでは、犠牲酸化物層15を露出させ、その後、この層も除去する。このようにして、窒化物の側壁13a、13bと、基板1の露出部分からなる底面を有するトレンチ20が形成される。露出した基板上に、堆積プロセスまたは熱成長プロセスによって新たなゲート誘電体25を形成する。その後、トレンチの側壁およびゲート誘電体25を覆うブランケット層として、コンフォーマルな金属層26を堆積させる。層26の組成および厚さは、当業者には周知の方法で、所望の仕事関数が得られるように選択される。図4および図5はそれぞれ、金属層26を堆積させた後の構造の長手方向断面図および横方向断面図である。
次いで、層26の上に、ドーピングしていない多結晶シリコンまたはアモルファス・シリコンのブランケット層31を、トレンチ20を充填するのに十分な厚さで堆積させる。層31の内部組成は、最適なトレンチ充填材が得られるように選択される。例えば、ポリシリコンとアモルファス・シリコンの混合物が、充填材として望ましい特性を有することが分かっている。次いで、層31および層26を好ましくはCMPで平坦化し、酸化物領域14を再度露出させ、トレンチ充填材の上面31aが酸化物領域14と同一平面になるようにする。その結果得られた構造を、図6(長手方向断面図)および図7(横方向断面図)に示す。
次いで、シリサイドの形成に適した金属のブランケット層41を堆積させる(図8および図9)。この金属は、例えばCo、Ta、W、Moまたは(好ましくは)Niである。次いでシリサイド化プロセス(詳細は当技術分野で既知)を実行し、トレンチ充填材をシリサイド51に転化する。平坦化プロセスをもう一度実行して、構造の最初の上面を再度露出させる(図10および図11参照)。こうして、ダミー・ゲート構造は、シリサイド接点を備えた1金属ゲートで置き換えられる(図3および図11参照)。
このプロセスは、いずれの層のマスキングも行う必要がなく、したがって実施が容易であることは理解されるであろう。
第2の実施形態:2金属(dual-metal)置換ゲート
この実施形態では、p+ダミー・ポリシリコン・ゲート構造およびn+ダミー・ポリシリコン・ゲート構造を別々に除去するので、除去しない方のポリシリコン構造のマスキングを行う必要がある。図12は、p+ダミー・ゲート11をマスキングし、n+ダミー・ゲート12およびその下にある犠牲ゲート酸化物15を除去し、マスクを剥がした後の構造を示している。これにより、基板1の一部分が露出している。次いで、ブランケット・ゲート誘電体層75を成長または堆積させ、ブランケット金属層76をその上に堆積させる(図13参照)。
次いで、ドーピングしていない多結晶シリコンまたはアモルファス・シリコンのブランケット層81を、層76の上に堆積させる。第1の実施形態と同様に、層81の組成は、最適なトレンチ充填材が得られるように選択される。次いで、この構造を平坦化してダミー・ゲート11を再度露出させ、充填材81の上面81aがダミー・ゲート11と同一平面になるようにする。平坦化プロセスは、例えばポリシリコン/アモルファス・シリコンのCMPを行い、そのまま引き続きポリシリコン・ゲート11の表面に到達するまで金属層76のCMPを行うなど、1ステップで行うことができる。あるいは、平坦化プロセスは、例えばポリシリコン・ゲート11の上にある金属層76に到達するまでポリシリコン/アモルファス・シリコンのCMPを行い、その後に別個に金属除去プロセスを行うなど、2ステップで行うこともできる。平坦化の後に得られる構造を図14に示す。
次いで、この構造をマスキングし、p+ダミー・ポリシリコン・ゲート11を除去できるようにする。p+ダミー・ポリシリコン・ゲート11は、残っている犠牲ゲート酸化物15と共に除去する。犠牲酸化物を除去する間に、ゲート誘電体層75の、金属の側壁76sを覆っている部分も除去する。図15に、これらのステップを行い、マスクが除去された後の構造を示す。金属側壁76sが露出していることに留意されたい。
次いで、露出したシリコン表面に対して酸化プロセスを行い、基板の露出部分上に酸化物層85を形成し、ポリシリコン/アモルファス・シリコン層81の表面上に酸化物86を形成する。この酸化プロセスは、通常は約900℃程度の急速熱アニーリング(RTA)を含むので、金属層76の露出表面上に酸化物層95が形成される(図16参照)。したがって、2金属ゲートの下にあるゲート誘電体層88は、別々のステップで形成された層75および層85で構成される。
次いで、図17に示すように、第2のブランケット金属層96を堆積させる。それ以前のステップと同様に、このブランケット金属層の上には、ドーピングしていない多結晶シリコンまたはアモルファス・シリコンの層91が堆積させてある。次いで、この構造を平坦化し、図18(長手方向断面図)および図19(横方向断面図)に示す構造を得る。図18および図19をそれぞれ図2および図3と比較すると、ダミー・ゲートおよび犠牲ゲート酸化物が、ゲート誘電体をその下に備えた2金属ゲートで置き換えられていることが分かる。
次いで、エッチバック・プロセスを行い、ゲート領域を窒化物領域13および酸化物領域14よりも窪ませる。具体的には、シリコン層81および91を酸化物14よりも窪ませ、金属酸化物95と金属層76および96とをシリコン層81および91よりも窪ませる。当業者には周知のように、使用できるエッチング・プロセスはいくつか考えられる。エッチバック・プロセスを行った結果を図20(長手方向断面図)および図21(横方向断面図)に示す。
次いで、多結晶シリコンのブランケット層を堆積させて、2金属ゲートの上に連続的なシリコン層98を形成する(図22)。この層をRIEあるいはCMP(またはそれらの組合せ)によって平坦化し、窒化物層13および酸化物層14を再度露出させる。その結果得られる構造を図23(長手方向断面図)および図24(横方向断面図)に示す。この時点で、2金属ゲートは、その上面が周囲の誘電体材料と同一平面であるシリコン領域より低く窪んでいる(図24参照。図19と比較されたい)。
次いで、シリサイド形成用金属のブランケット層101をこの構造の上に堆積させる。この金属は、Co、Ni、Ta、WまたはMoのいずれかにすることができるが、Niであることが好ましい。次いで、シリサイド化プロセスを行い、層101中の金属と層98中のシリコンとでブラケットシリサイド層110を形成する。次いで、シリサイド層110を平坦化して、窒化物層13および酸化物層14を再度露出させる。その結果得られる構造を図26(長手方向断面図)および図27(横方向断面図)に示す。
上記で詳述した一連のステップ(ブランケット層の堆積と、その後の平坦化またはエッチバック)は、トレンチ幅が70nm以下であるときでもゲートが占めるトレンチ(すなわち、対向する窒化物壁13a、13b間の空間)を充填するのに効果的であることは理解されるであろう。
この実施形態では、シリサイド接点を備えた2金属ゲート構造を形成する。また、この構造に窒化物キャップ層を設けると、金属またはシリサイドに影響を及ぼさずにアニーリングを行うことができるので有利である。(好ましい実施形態では、シリサイドはNiSiであり、アニーリングはフォーミング・ガス中で行う。窒化物キャップがある場合には、400℃のフォーミング・ガス中でアニーリングを行えば、金属ゲートとゲート酸化物の間の余分な電荷を除去し、しきい値電圧のシフトを防止することができる。)窒化物キャップ層120は、図28(長手方向断面図)および図29(横方向断面図)に示すようにブランケット層として堆積させることができる。あるいは、シリサイド層110を窪ませて(図30参照。図27と比較されたい)、窒化物層120がこの窪みを満たすようにすることもできる(図31)。次いで、窒化物層120の、シリサイド接点の上にある部分だけが残るように、窒化物層120を平坦化することができる(図32)。次いで、窒化物キャップが、2金属ゲートおよびシリサイド接点に対してセルフアラインする。
特定の実施形態に関連して本発明について説明したが、前述の説明に鑑みて、多数の代替形態、修正形態および変更形態が当業者には明らかであることは明白である。したがって、本発明は、本発明の範囲および趣旨ならびに頭記の特許請求の範囲内に含まれるこのような全ての代替形態、修正形態および変更形態を包含するものとする。
n+ポリシリコン領域およびp+ポリシリコン領域を有する代表的なCMOSダミー・ゲート構造を示す概略平面図である。 図1のゲート領域を示す長手方向断面図である。 図1のゲート領域を示す横方向断面図である。 本発明の第1の実施形態によるミッドギャップ置換ゲート・プロセスにおける1ステップを示す長手方向断面図である。 本発明の第1の実施形態によるミッドギャップ置換ゲート・プロセスにおける1ステップを示す横方向断面図である。 本発明の第1の実施形態によるミッドギャップ置換ゲート・プロセスにおける別の1ステップを示す長手方向断面図である。 本発明の第1の実施形態によるミッドギャップ置換ゲート・プロセスにおける別の1ステップを示す横方向断面図である。 本発明の第1の実施形態によるミッドギャップ置換ゲート・プロセスにおける別の1ステップを示す長手方向断面図である。 本発明の第1の実施形態によるミッドギャップ置換ゲート・プロセスにおける別の1ステップを示す横方向断面図である。 本発明の第1の実施形態によるミッドギャップ置換ゲート・プロセスにおけるさらに別の1ステップを示す長手方向断面図である。 本発明の第1の実施形態によるミッドギャップ置換ゲート・プロセスにおけるさらに別の1ステップを示す横方向断面図である。 本発明の第2の実施形態による2金属置換ゲート・プロセスにおける1ステップを示す概略図である。 本発明の第2の実施形態による2金属置換ゲート・プロセスにおける1ステップを示す概略図である。 本発明の第2の実施形態による2金属置換ゲート・プロセスにおける1ステップを示す概略図である。 本発明の第2の実施形態による2金属置換ゲート・プロセスにおける1ステップを示す概略図である。 本発明の第2の実施形態による2金属置換ゲート・プロセスにおけるさらに別の1ステップを示す概略図である。 本発明の第2の実施形態による2金属置換ゲート・プロセスにおけるさらに別の1ステップを示す概略図である。 本発明の第2の実施形態による2金属置換ゲート・プロセスにおけるさらに別の1ステップを示す長手方向断面図である。 本発明の第2の実施形態による2金属置換ゲート・プロセスにおけるさらに別の1ステップを示す横方向断面図である。 本発明の第2の実施形態による2金属置換ゲート・プロセスにおけるさらに別の1ステップを示す長手方向断面図である。 本発明の第2の実施形態による2金属置換ゲート・プロセスにおけるさらに別の1ステップを示す横方向断面図である。 本発明の第2の実施形態による2金属置換ゲート・プロセスにおけるさらに別の1ステップを示す図である。 本発明の第2の実施形態による2金属置換ゲート・プロセスにおけるさらに別の1ステップを示す長手方向断面図である。 本発明の第2の実施形態による2金属置換ゲート・プロセスにおけるさらに別の1ステップを示す横方向断面図である。 本発明の第2の実施形態による2金属置換ゲート・プロセスにおけるさらに別の1ステップを示す図である。 本発明の第2の実施形態による2金属置換ゲート・プロセスにおけるさらに別の1ステップを示す長手方向断面図である。 本発明の第2の実施形態による2金属置換ゲート・プロセスにおけるさらに別の1ステップを示す横方向断面図である。 本発明の第2の実施形態による2金属置換ゲート・プロセスにおけるさらに別の1ステップを示す長手方向断面図である。 本発明の第2の実施形態による2金属置換ゲート・プロセスにおけるさらに別の1ステップを示す横方向断面図である。 2金属置換ゲート・プロセスにおける図28および図29に示すステップの代替のステップを示す横方向断面図である。 2金属置換ゲート・プロセスにおける図28および図29に示すステップの代替のステップを示す横方向断面図である。 2金属置換ゲート・プロセスにおける図28および図29に示すステップの代替のステップを示す横方向断面図である。
符号の説明
1 基板
11 ゲート領域
12 ゲート領域
13 窒化物
14 酸化物
15 犠牲酸化物層
20 トレンチ
25 ゲート誘電体
26 金属層
31 ブランケット・シリコン層
41 ブランケット金属層
51 シリサイド

Claims (20)

  1. 上面を有する誘電体材料と隣接したゲート構造を基板上に有する半導体デバイスを作製する方法であって、
    前記デバイスのゲート領域の材料を除去して前記基板の一部分を露出させるステップと、
    前記基板の露出部分上にゲート誘電体を形成するステップと、
    前記ゲート誘電体および前記誘電体材料を覆う金属層を形成するステップと、
    前記金属層を覆うシリコン層を形成するステップと、
    前記金属層の第1の部分および前記シリコン層の第1の部分を除去して、前記誘電体材料の上面を露出させ、前記金属層の第2の部分および前記シリコン層の第2の部分が前記ゲート領域内に残って前記上面と同一平面となる表面を有するようにするステップと、
    前記金属層の第2の部分と接触したシリサイド接点を前記ゲート領域中に形成するステップとを含む方法。
  2. 前記シリサイド接点を形成するステップが、
    前記ゲート領域の上にシリサイド形成用金属の層を堆積させるステップと、
    シリサイド化プロセスを実行して、前記シリコン層の第2の部分のシリコンおよび前記シリサイド形成用金属の層の金属を含む金属シリサイドを形成するステップと、
    平坦化プロセスを実行して前記誘電体材料の上面を露出させるステップとをさらに含む、請求項1に記載の方法。
  3. 前記シリサイド形成用金属が、Ni、Co、Ta、WおよびMoから選択される、請求項1に記載の方法。
  4. 前記ゲート領域中の材料を除去するステップにおいて、側壁と前記基板の露出部分からなる底部とを有するトレンチを形成し、
    前記金属層を形成するステップが、前記トレンチの側壁上に金属を形成するステップをさらに含み、
    前記シリコン層を形成するステップが、前記トレンチを充填するステップを含む、請求項1に記載の方法。
  5. 前記半導体デバイスがウェハ上に作製され、前記金属層を形成するステップが、前記ウェハ上にブランケット金属層を形成するステップを含み、前記シリコン層を形成するステップが、前記ウェハ上にブランケット・シリコン層を形成するステップを含む、請求項1に記載の方法。
  6. 上面を有する誘電体材料と隣接したゲート構造を基板上に有する半導体デバイスを作製する方法であって、
    前記デバイスのゲート領域の第1の部分の材料を除去して前記基板の第1の部分を露出させるステップと、
    前記基板の露出した第1の部分の上に第1のゲート誘電体を形成するステップと、
    第1のゲート誘電体を覆う第1の金属層を形成するステップと、
    第1の金属層を覆う第1のシリコン層を形成するステップと、
    第1の金属層の第1の部分および第1のシリコン層の第1の部分を除去して、前記誘電体材料の上面を露出させ、第1の金属層の第2の部分および第1のシリコン層の第2の部分がゲート領域内に残って前記上面と同一平面となる表面を有するようにするステップと、
    前記ゲート領域の第2の部分の材料を除去して、前記基板の第2の部分を露出させ、第1の金属層の隣接部分を露出させるステップと、
    前記基板の露出した第2の部分の上に第2のゲート誘電体を形成するステップと、
    第1の金属層の前記露出した隣接部分の上に酸化物層を形成するステップと、
    第2のゲート誘電体を覆う第2の金属層を形成するステップと、
    第2の金属層を覆う第2のシリコン層を形成するステップと、
    第2の金属層の第1の部分および第2のシリコン層の第1の部分を除去して、前記誘電体材料の上面を露出させ、第2の金属層の第2の部分および第2のシリコン層の第2の部分が前記ゲート領域内に残って前記上面と同一平面となる表面を有するようにするステップと、
    第1の金属層の第2の部分および第2の金属層の第2の部分と接触したシリサイド接点を前記ゲート領域中に形成するステップとを含む方法。
  7. 前記シリサイド接点を形成するステップが、
    前記ゲート領域の第1の部分および前記ゲート領域の第2の部分を覆う第3のシリコン層を形成するステップ、
    第3のシリコン層の上にシリサイド形成用金属の層を堆積させるステップ、
    シリサイド化プロセスを実行して、第1のシリコン層の第2の部分のシリコン、第2のシリコン層の第2の部分のシリコン、および第3のシリコン層のシリコンと、前記シリサイド形成用金属の層の金属とを含む金属シリサイドを形成するステップ、ならびに
    平坦化プロセスを実行して、前記誘電体材料の上面を露出させるステップをさらに含む、請求項6に記載の方法。
  8. 前記シリサイド形成用金属が、Ni、Co、Ta、WおよびMoから選択される、請求項6に記載の方法。
  9. 前記ゲート領域中の材料を除去するステップにおいて、側壁と前記基板の露出した第1の部分からなる底部とを有する第1のトレンチを形成し、
    前記第1の金属層を形成するステップが、第1のトレンチの側壁上に金属を形成するステップをさらに含み、
    前記第1のシリコン層を形成するステップが、第1のトレンチを充填するステップを含み、
    前記ゲート領域の第2の部分の材料を除去するステップにおいて、側壁と前記基板の露出した第2の部分からなる底部とを有する第2のトレンチを形成し、
    前記第2の金属層を形成するステップが、第2のトレンチの側壁上に金属を形成するステップをさらに含み、
    前記第2のシリコン層を形成するステップが、第2のトレンチを充填するステップを含む、請求項6に記載の方法。
  10. 前記半導体デバイスがウェハ上に作製され、前記第1の金属層を形成するステップが、前記ウェハ上に第1のブランケット金属層を形成するステップを含み、前記第1のシリコン層を形成するステップが、前記ウェハ上に第2のブランケット・シリコン層を形成するステップを含み、前記第2の金属層を形成するステップが、前記ウェハ上に第2のブランケット金属層を形成するステップを含み、前記第2のシリコン層を形成するステップが、前記ウェハ上に第2のブランケット・シリコン層を形成するステップを含む、請求項6に記載の方法。
  11. 前記シリサイド接点を形成するステップの前に、金属および金属酸化物を除去して、前記第1の金属層の第2の部分、前記酸化物層、および前記第2の金属層の第2の部分を前記上面よりも窪ませるステップをさらに含む、請求項6に記載の方法。
  12. 前記シリサイド接点を形成するステップの前に、金属および金属酸化物を除去して、前記第1の金属層の第2の部分、前記酸化物層、および前記第2の金属層の第2の部分を前記上面よりも窪ませることにより、前記ゲート領域に凹部を形成するステップをさらに含む方法であって、前記第3のシリコン層を形成するステップが、前記凹部を充填するステップをさらに含む、請求項7に記載の方法。
  13. 前記シリサイド接点を形成するステップにおいて、前記シリサイドで前記凹部を充填する、請求項12に記載の方法。
  14. 前記シリサイド接点を形成するステップの後に、前記ゲート領域を覆う窒化物層を形成するステップをさらに含む、請求項6に記載の方法。
  15. 前記シリサイド接点を前記上面よりも窪ませることにより、前記ゲート領域に凹部を形成する方法であって、
    前記ゲート領域を覆い、かつ前記凹部を充填する窒化物層を形成するステップと、
    平坦化処理を実行して前記上面を露出させ、前記窒化物層の一部分が前記凹部内に残って前記上面と同一平面となる表面を有するようにするステップとをさらに含む、請求項6に記載の方法。
  16. 上面を有する誘電体材料と隣接するゲート構造を基板上に有する半導体デバイスであって、
    ゲート領域中の前記基板の一部分を覆い、これと接触するゲート誘電体と、
    前記ゲート誘電体と接触する金属層と、
    下側面が前記金属層と接触し、上側面が前記上面と同一平面であるシリサイド接点とを含む半導体デバイス。
  17. 前記ゲート領域が底部および側壁を有するトレンチとして特徴付けられ、前記ゲート誘電体が前記トレンチの底部を覆い、前記金属層が前記トレンチの側壁と接触しており、前記シリサイド接点が前記トレンチを充填する、請求項16に記載の半導体デバイス。
  18. 前記金属層が第1の金属層および第2の金属層を含み、前記第1の金属層と前記第2の金属層の間に金属酸化物層をさらに含む、請求項16に記載の半導体デバイス。
  19. 前記シリサイド接点を覆う窒化物層をさらに含む、請求項16に記載の半導体デバイス。
  20. 前記シリサイド接点が、
    前記上面よりも窪んだ上側面を有するシリサイド部分と、
    前記シリサイド部分を覆う、前記上面と同一平面となる上側面を有する窒化物キャップ部分と含み、
    前記窒化物キャップ部分が前記ゲート領域に対してセルフアラインする、請求項16に記載の半導体デバイス。
JP2005001988A 2004-01-09 2005-01-07 半導体デバイスを作製する方法 Expired - Fee Related JP4391950B2 (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/707,757 US7056794B2 (en) 2004-01-09 2004-01-09 FET gate structure with metal gate electrode and silicide contact

Publications (2)

Publication Number Publication Date
JP2005197748A true JP2005197748A (ja) 2005-07-21
JP4391950B2 JP4391950B2 (ja) 2009-12-24

Family

ID=34738967

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2005001988A Expired - Fee Related JP4391950B2 (ja) 2004-01-09 2005-01-07 半導体デバイスを作製する方法

Country Status (5)

Country Link
US (1) US7056794B2 (ja)
JP (1) JP4391950B2 (ja)
KR (1) KR100613068B1 (ja)
CN (1) CN100490079C (ja)
TW (1) TWI329361B (ja)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009507372A (ja) * 2005-09-01 2009-02-19 マイクロン テクノロジー, インク. 珪化された溝形シリコン
JP2009534847A (ja) * 2006-05-09 2009-09-24 インテル・コーポレーション Cmosトランジスタゲートにおいてリセスされた仕事関数金属
JP2011129929A (ja) * 2009-12-21 2011-06-30 Taiwan Semiconductor Manufacturing Co Ltd 電界効果トランジスタの金属ゲート構造
US8252675B2 (en) 2009-12-08 2012-08-28 Samsung Electronics Co., Ltd. Methods of forming CMOS transistors with high conductivity gate electrodes
US8252646B2 (en) 2005-09-01 2012-08-28 Micron Technology, Inc. Peripheral gate stacks and recessed array gates
JP2017118134A (ja) * 2009-12-30 2017-06-29 インテル コーポレイション トランジスタ及びその製造方法
US10515801B2 (en) 2007-06-04 2019-12-24 Micron Technology, Inc. Pitch multiplication using self-assembling materials

Families Citing this family (65)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6909151B2 (en) 2003-06-27 2005-06-21 Intel Corporation Nonplanar device with stress incorporation layer and method of fabrication
US7456476B2 (en) * 2003-06-27 2008-11-25 Intel Corporation Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication
KR100500472B1 (ko) * 2003-10-13 2005-07-12 삼성전자주식회사 리세스 게이트 트랜지스터 구조 및 형성방법
US7154118B2 (en) 2004-03-31 2006-12-26 Intel Corporation Bulk non-planar transistor having strained enhanced mobility and methods of fabrication
US7042009B2 (en) 2004-06-30 2006-05-09 Intel Corporation High mobility tri-gate devices and methods of fabrication
US7348284B2 (en) * 2004-08-10 2008-03-25 Intel Corporation Non-planar pMOS structure with a strained channel region and an integrated strained CMOS flow
US7332439B2 (en) * 2004-09-29 2008-02-19 Intel Corporation Metal gate transistors with epitaxial source and drain regions
US7422946B2 (en) 2004-09-29 2008-09-09 Intel Corporation Independently accessed double-gate and tri-gate transistors in same process flow
US20060086977A1 (en) 2004-10-25 2006-04-27 Uday Shah Nonplanar device with thinned lower body portion and method of fabrication
US7518196B2 (en) 2005-02-23 2009-04-14 Intel Corporation Field effect transistor with narrow bandgap source and drain regions and method of fabrication
US20060202266A1 (en) 2005-03-14 2006-09-14 Marko Radosavljevic Field effect transistor with metal source/drain regions
US7858481B2 (en) 2005-06-15 2010-12-28 Intel Corporation Method for fabricating transistor with thinned channel
US7547637B2 (en) 2005-06-21 2009-06-16 Intel Corporation Methods for patterning a semiconductor film
US7279375B2 (en) 2005-06-30 2007-10-09 Intel Corporation Block contact architectures for nanoscale channel transistors
US7402875B2 (en) 2005-08-17 2008-07-22 Intel Corporation Lateral undercut of metal gate in SOI device
US7687342B2 (en) 2005-09-01 2010-03-30 Micron Technology, Inc. Method of manufacturing a memory device
US20070090416A1 (en) 2005-09-28 2007-04-26 Doyle Brian S CMOS devices with a single work function gate electrode and method of fabrication
US7485503B2 (en) 2005-11-30 2009-02-03 Intel Corporation Dielectric interface for group III-V semiconductor device
US7859112B2 (en) * 2006-01-13 2010-12-28 Micron Technology, Inc. Additional metal routing in semiconductor devices
US8143646B2 (en) 2006-08-02 2012-03-27 Intel Corporation Stacking fault and twin blocking barrier for integrating III-V on Si
US7838371B2 (en) * 2006-11-06 2010-11-23 Nxp B.V. Method of manufacturing a FET gate
US7800166B2 (en) * 2008-05-30 2010-09-21 Intel Corporation Recessed channel array transistor (RCAT) structures and method of formation
US8362566B2 (en) 2008-06-23 2013-01-29 Intel Corporation Stress in trigate devices using complimentary gate fill materials
US8039381B2 (en) * 2008-09-12 2011-10-18 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist etch back method for gate last process
JP5559567B2 (ja) * 2010-02-24 2014-07-23 パナソニック株式会社 半導体装置
KR101675373B1 (ko) * 2010-03-24 2016-11-11 삼성전자주식회사 반도체 소자 및 그 제조 방법
US8716095B2 (en) * 2010-06-03 2014-05-06 Institute of Microelectronics, Chinese Academy of Sciences Manufacturing method of gate stack and semiconductor device
CN102412128B (zh) * 2010-09-17 2013-07-31 中芯国际集成电路制造(上海)有限公司 倒梯形替代栅极及倒梯形金属栅电极的制作方法
CN102468145A (zh) * 2010-11-01 2012-05-23 中芯国际集成电路制造(上海)有限公司 金属栅极的形成方法
US8574990B2 (en) 2011-02-24 2013-11-05 United Microelectronics Corp. Method of manufacturing semiconductor device having metal gate
US8802524B2 (en) 2011-03-22 2014-08-12 United Microelectronics Corp. Method of manufacturing semiconductor device having metal gates
US8704294B2 (en) 2011-06-13 2014-04-22 United Microelectronics Corp. Semiconductor device having metal gate and manufacturing method thereof
US8486790B2 (en) 2011-07-18 2013-07-16 United Microelectronics Corp. Manufacturing method for metal gate
CN102891111B (zh) * 2011-07-22 2015-01-21 中芯国际集成电路制造(上海)有限公司 双金属栅极晶体管的制造方法
US20130043592A1 (en) * 2011-08-19 2013-02-21 Globalfoundries Inc. Methods of Forming a Replacement Gate Comprised of Silicon and a Device Including Same
US8477006B2 (en) 2011-08-30 2013-07-02 United Microelectronics Corp. Resistor and manufacturing method thereof
US8765588B2 (en) 2011-09-28 2014-07-01 United Microelectronics Corp. Semiconductor process
US8709930B2 (en) 2011-11-25 2014-04-29 United Microelectronics Corp. Semiconductor process
US8546212B2 (en) 2011-12-21 2013-10-01 United Microelectronics Corp. Semiconductor device and fabricating method thereof
US8860181B2 (en) 2012-03-07 2014-10-14 United Microelectronics Corp. Thin film resistor structure
US8946049B2 (en) * 2012-04-11 2015-02-03 International Business Machines Corporation Replacement gate structures and methods of manufacturing
US8951855B2 (en) 2012-04-24 2015-02-10 United Microelectronics Corp. Manufacturing method for semiconductor device having metal gate
US8679909B2 (en) * 2012-06-08 2014-03-25 Globalfoundries Singapore Pte. Ltd. Recessing and capping of gate structures with varying metal compositions
CN103515233B (zh) 2012-06-20 2016-04-06 中国科学院微电子研究所 半导体器件及其制造方法
US9748356B2 (en) 2012-09-25 2017-08-29 Stmicroelectronics, Inc. Threshold adjustment for quantum dot array devices with metal source and drain
US9601630B2 (en) 2012-09-25 2017-03-21 Stmicroelectronics, Inc. Transistors incorporating metal quantum dots into doped source and drain regions
US9054172B2 (en) 2012-12-05 2015-06-09 United Microelectrnics Corp. Semiconductor structure having contact plug and method of making the same
US8735269B1 (en) 2013-01-15 2014-05-27 United Microelectronics Corp. Method for forming semiconductor structure having TiN layer
US9129985B2 (en) 2013-03-05 2015-09-08 United Microelectronics Corp. Semiconductor device having metal gate and manufacturing method thereof
US9184254B2 (en) 2013-05-02 2015-11-10 United Microelectronics Corporation Field-effect transistor and fabricating method thereof
US9159798B2 (en) 2013-05-03 2015-10-13 United Microelectronics Corp. Replacement gate process and device manufactured using the same
US9196542B2 (en) 2013-05-22 2015-11-24 United Microelectronics Corp. Method for manufacturing semiconductor devices
US8921947B1 (en) 2013-06-10 2014-12-30 United Microelectronics Corp. Multi-metal gate semiconductor device having triple diameter metal opening
US9165928B2 (en) * 2013-06-14 2015-10-20 Globalfoundries Inc. Methods of forming gate structures for CMOS based integrated circuit products and the resulting devices
US10002938B2 (en) 2013-08-20 2018-06-19 Stmicroelectronics, Inc. Atomic layer deposition of selected molecular clusters
US9196546B2 (en) 2013-09-13 2015-11-24 United Microelectronics Corp. Metal gate transistor
US9018711B1 (en) * 2013-10-17 2015-04-28 Globalfoundries Inc. Selective growth of a work-function metal in a replacement metal gate of a semiconductor device
CN105097693B (zh) * 2014-05-16 2018-06-08 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法、电子装置
US9865603B2 (en) 2015-03-19 2018-01-09 Globalfoundries Inc. Transistor structure having N-type and P-type elongated regions intersecting under common gate
CN106531776B (zh) * 2015-09-11 2021-06-29 联华电子股份有限公司 半导体结构
US9847347B1 (en) 2016-11-07 2017-12-19 Globalfoundries Inc. Semiconductor structure including a first transistor at a semiconductor-on-insulator region and a second transistor at a bulk region and method for the formation thereof
CN109728086A (zh) * 2017-10-31 2019-05-07 中国工程物理研究院电子工程研究所 侧墙栅高迁移率晶体管的制备方法
US10510865B2 (en) * 2018-04-13 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Cap layer and anneal for gapfill improvement
US10276391B1 (en) * 2018-06-13 2019-04-30 Globalfoundries Inc. Self-aligned gate caps with an inverted profile
US11742208B2 (en) * 2020-03-25 2023-08-29 Texas Instruments Incorporated Method of reducing voids and seams in trench structures by forming semi-amorphous polysilicon

Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01270333A (ja) * 1988-04-22 1989-10-27 Fujitsu Ltd 半導体装置の製造方法
JPH05160066A (ja) * 1990-09-27 1993-06-25 Toshiba Corp 半導体装置及びその製造方法
JPH05251384A (ja) * 1992-01-06 1993-09-28 Toshiba Corp 半導体装置及びその製造方法
JPH0645463A (ja) * 1992-07-27 1994-02-18 Sony Corp 配線形成方法
JPH0661178A (ja) * 1992-08-06 1994-03-04 Sony Corp 半導体装置におけるシリサイドプラグの形成方法
JPH07221096A (ja) * 1994-01-24 1995-08-18 Lg Semicon Co Ltd シリサイドプラグ形成方法
JPH11243150A (ja) * 1998-02-24 1999-09-07 Toshiba Corp 半導体装置の製造方法
JP2000252462A (ja) * 1999-03-01 2000-09-14 Toshiba Corp Mis型半導体装置及びその製造方法
JP2000315789A (ja) * 1999-04-30 2000-11-14 Toshiba Corp 半導体装置及びその製造方法
JP2001024065A (ja) * 1999-07-06 2001-01-26 Sony Corp 半導体装置とその製造方法
WO2001071807A1 (fr) * 2000-03-24 2001-09-27 Fujitsu Limited Dispositif a semi-conducteur et son procede de fabrication
JP2002190592A (ja) * 2000-12-22 2002-07-05 Toshiba Microelectronics Corp 半導体装置及びその製造方法

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4745079A (en) * 1987-03-30 1988-05-17 Motorola, Inc. Method for fabricating MOS transistors having gates with different work functions
KR100362751B1 (ko) * 1994-01-19 2003-02-11 소니 가부시끼 가이샤 반도체소자의콘택트홀및그형성방법
US5960270A (en) * 1997-08-11 1999-09-28 Motorola, Inc. Method for forming an MOS transistor having a metallic gate electrode that is formed after the formation of self-aligned source and drain regions
US6177303B1 (en) * 1998-09-28 2001-01-23 U.S. Philips Corporation Method of manufacturing a semiconductor device with a field effect transistor
US6291282B1 (en) * 1999-02-26 2001-09-18 Texas Instruments Incorporated Method of forming dual metal gate structures or CMOS devices
US6171910B1 (en) * 1999-07-21 2001-01-09 Motorola Inc. Method for forming a semiconductor device
US6501131B1 (en) * 1999-07-22 2002-12-31 International Business Machines Corporation Transistors having independently adjustable parameters
TW428237B (en) * 1999-09-16 2001-04-01 United Microelectronics Corp Self-aligned silicide process by using chemical mechanical polishing to prevent bridge connection between gate and source/drain
US6373111B1 (en) * 1999-11-30 2002-04-16 Intel Corporation Work function tuning for MOSFET gate electrodes
JP3613113B2 (ja) * 2000-01-21 2005-01-26 日本電気株式会社 半導体装置およびその製造方法
US6319807B1 (en) * 2000-02-07 2001-11-20 United Microelectronics Corp. Method for forming a semiconductor device by using reverse-offset spacer process
US6355531B1 (en) * 2000-08-09 2002-03-12 International Business Machines Corporation Method for fabricating semiconductor devices with different properties using maskless process
CN1341955A (zh) * 2000-09-06 2002-03-27 联华电子股份有限公司 使用氮化工艺的多晶硅化金属栅极制程
US6392280B1 (en) * 2000-10-19 2002-05-21 Advanced Micro Devices, Inc. Metal gate with PVD amorphous silicon layer for CMOS devices and method of making with a replacement gate process
US6440867B1 (en) * 2000-10-19 2002-08-27 Advanced Micro Devices, Inc. Metal gate with PVD amorphous silicon and silicide for CMOS devices and method of making the same with a replacement gate process
US6440868B1 (en) * 2000-10-19 2002-08-27 Advanced Micro Devices, Inc. Metal gate with CVD amorphous silicon layer and silicide for CMOS devices and method of making with a replacement gate process
KR100387259B1 (ko) * 2000-12-29 2003-06-12 주식회사 하이닉스반도체 반도체 소자의 제조 방법
US6537901B2 (en) * 2000-12-29 2003-03-25 Hynix Semiconductor Inc. Method of manufacturing a transistor in a semiconductor device
US6952040B2 (en) * 2001-06-29 2005-10-04 Intel Corporation Transistor structure and method of fabrication
US6458695B1 (en) * 2001-10-18 2002-10-01 Chartered Semiconductor Manufacturing Ltd. Methods to form dual metal gates by incorporating metals and their conductive oxides
US6653698B2 (en) * 2001-12-20 2003-11-25 International Business Machines Corporation Integration of dual workfunction metal gate CMOS devices
US6534390B1 (en) * 2002-01-16 2003-03-18 Chartered Semiconductor Manufacturing Ltd. Salicide method for producing a semiconductor device using silicon/amorphous silicon/metal structure

Patent Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01270333A (ja) * 1988-04-22 1989-10-27 Fujitsu Ltd 半導体装置の製造方法
JPH05160066A (ja) * 1990-09-27 1993-06-25 Toshiba Corp 半導体装置及びその製造方法
JPH05251384A (ja) * 1992-01-06 1993-09-28 Toshiba Corp 半導体装置及びその製造方法
JPH0645463A (ja) * 1992-07-27 1994-02-18 Sony Corp 配線形成方法
JPH0661178A (ja) * 1992-08-06 1994-03-04 Sony Corp 半導体装置におけるシリサイドプラグの形成方法
JPH07221096A (ja) * 1994-01-24 1995-08-18 Lg Semicon Co Ltd シリサイドプラグ形成方法
JPH11243150A (ja) * 1998-02-24 1999-09-07 Toshiba Corp 半導体装置の製造方法
JP2000252462A (ja) * 1999-03-01 2000-09-14 Toshiba Corp Mis型半導体装置及びその製造方法
JP2000315789A (ja) * 1999-04-30 2000-11-14 Toshiba Corp 半導体装置及びその製造方法
JP2001024065A (ja) * 1999-07-06 2001-01-26 Sony Corp 半導体装置とその製造方法
WO2001071807A1 (fr) * 2000-03-24 2001-09-27 Fujitsu Limited Dispositif a semi-conducteur et son procede de fabrication
JP2002190592A (ja) * 2000-12-22 2002-07-05 Toshiba Microelectronics Corp 半導体装置及びその製造方法

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9076888B2 (en) 2005-09-01 2015-07-07 Micron Technology, Inc. Silicided recessed silicon
JP2009507372A (ja) * 2005-09-01 2009-02-19 マイクロン テクノロジー, インク. 珪化された溝形シリコン
US8252646B2 (en) 2005-09-01 2012-08-28 Micron Technology, Inc. Peripheral gate stacks and recessed array gates
JP2009534847A (ja) * 2006-05-09 2009-09-24 インテル・コーポレーション Cmosトランジスタゲートにおいてリセスされた仕事関数金属
US10515801B2 (en) 2007-06-04 2019-12-24 Micron Technology, Inc. Pitch multiplication using self-assembling materials
US8252675B2 (en) 2009-12-08 2012-08-28 Samsung Electronics Co., Ltd. Methods of forming CMOS transistors with high conductivity gate electrodes
JP2011129929A (ja) * 2009-12-21 2011-06-30 Taiwan Semiconductor Manufacturing Co Ltd 電界効果トランジスタの金属ゲート構造
JP2017118134A (ja) * 2009-12-30 2017-06-29 インテル コーポレイション トランジスタ及びその製造方法
US9892967B2 (en) 2009-12-30 2018-02-13 Intel Corporation Self-aligned contacts
US10141226B2 (en) 2009-12-30 2018-11-27 Intel Corporation Self-aligned contacts
US10629483B2 (en) 2009-12-30 2020-04-21 Intel Corporation Self-aligned contacts
US10930557B2 (en) 2009-12-30 2021-02-23 Intel Corporation Self-aligned contacts
US11600524B2 (en) 2009-12-30 2023-03-07 Intel Corporation Self-aligned contacts
US11887891B2 (en) 2009-12-30 2024-01-30 Intel Corporation Self-aligned contacts

Also Published As

Publication number Publication date
US7056794B2 (en) 2006-06-06
JP4391950B2 (ja) 2009-12-24
US20050153530A1 (en) 2005-07-14
KR100613068B1 (ko) 2006-08-16
CN1638049A (zh) 2005-07-13
KR20050073541A (ko) 2005-07-14
CN100490079C (zh) 2009-05-20
TW200525750A (en) 2005-08-01
TWI329361B (en) 2010-08-21

Similar Documents

Publication Publication Date Title
JP4391950B2 (ja) 半導体デバイスを作製する方法
JP4299791B2 (ja) Cmosデバイスのゲート構造を作製する方法
JP4917012B2 (ja) 相補型金属酸化物半導体(cmos)を形成する方法及びその方法に従い製造されたcmos
US10312261B2 (en) Transistor with self-aligned source and drain contacts and method of making same
KR100945785B1 (ko) 완전 실리사이드화 금속 게이트의 형성 방법
JP2005260228A (ja) 垂直dramを含む集積回路デバイスとその製法
US7598589B2 (en) Semiconductor device
JP2007201062A (ja) 半導体装置及びその製造方法
JP2011096999A (ja) 半導体装置の製造方法。
JP2007165558A (ja) 半導体装置およびその製造方法
US6878598B2 (en) Method of forming thick metal silicide layer on gate electrode
US20070145491A1 (en) Semiconductor device and method of manufacture
US6602746B2 (en) Dual-gate CMOS semiconductor device manufacturing method
JP2010010662A (ja) 金属製のソースとドレインを有するトランジスタを製造するための方法
US20100140674A1 (en) Mosfet with multiple fully silicided gate and method for making the same
US8823107B2 (en) Method for protecting the gate of a transistor and corresponding integrated circuit
US6780691B2 (en) Method to fabricate elevated source/drain transistor with large area for silicidation
US11569369B2 (en) Method for manufacturing a semiconductor device
JP4981288B2 (ja) 半導体装置のシリサイド膜の形成方法
US8697563B2 (en) Method for forming semiconductor device having multiple active layer structure
JP4470182B2 (ja) 半導体装置の製造方法
JP2007134423A (ja) 半導体装置および半導体装置の製造方法
JP2007150244A (ja) 半導体装置及びその製造方法
JP2008124314A (ja) 半導体装置の製造方法および半導体装置
KR20040070906A (ko) 반도체장치의 국부배선 형성방법

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20061002

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080715

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20081001

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20090206

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090825

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090831

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20090929

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20091008

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121016

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121016

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131016

Year of fee payment: 4

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees