JP2008522442A - シリコンエピタキシャル膜形成時のCl2および/またはHClの使用 - Google Patents
シリコンエピタキシャル膜形成時のCl2および/またはHClの使用 Download PDFInfo
- Publication number
- JP2008522442A JP2008522442A JP2007544499A JP2007544499A JP2008522442A JP 2008522442 A JP2008522442 A JP 2008522442A JP 2007544499 A JP2007544499 A JP 2007544499A JP 2007544499 A JP2007544499 A JP 2007544499A JP 2008522442 A JP2008522442 A JP 2008522442A
- Authority
- JP
- Japan
- Prior art keywords
- substrate
- silicon
- source
- epitaxial film
- carbon
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Granted
Links
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 title claims abstract description 301
- 229910052710 silicon Inorganic materials 0.000 title claims abstract description 301
- 239000010703 silicon Substances 0.000 title claims abstract description 301
- 238000000034 method Methods 0.000 claims abstract description 373
- 239000000758 substrate Substances 0.000 claims abstract description 296
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 claims description 106
- 229910052799 carbon Inorganic materials 0.000 claims description 106
- 229910052732 germanium Inorganic materials 0.000 claims description 44
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 claims description 44
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 claims description 20
- 229910052796 boron Inorganic materials 0.000 claims description 20
- OAICVXFJPJFONN-UHFFFAOYSA-N Phosphorus Chemical compound [P] OAICVXFJPJFONN-UHFFFAOYSA-N 0.000 claims description 16
- 229910052698 phosphorus Inorganic materials 0.000 claims description 16
- 239000011574 phosphorus Substances 0.000 claims description 16
- 238000012545 processing Methods 0.000 claims description 7
- 230000008569 process Effects 0.000 description 282
- 239000010410 layer Substances 0.000 description 238
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 144
- 239000007789 gas Substances 0.000 description 144
- 238000000151 deposition Methods 0.000 description 118
- 230000008021 deposition Effects 0.000 description 107
- 238000005530 etching Methods 0.000 description 104
- 239000000460 chlorine Substances 0.000 description 98
- 239000012159 carrier gas Substances 0.000 description 88
- 229910052757 nitrogen Inorganic materials 0.000 description 70
- VEXZGXHMUGYJMC-UHFFFAOYSA-N Hydrochloric acid Chemical compound Cl VEXZGXHMUGYJMC-UHFFFAOYSA-N 0.000 description 69
- IXCSERBJSXMMFS-UHFFFAOYSA-N hydrogen chloride Substances Cl.Cl IXCSERBJSXMMFS-UHFFFAOYSA-N 0.000 description 67
- 229910000041 hydrogen chloride Inorganic materials 0.000 description 67
- 239000000463 material Substances 0.000 description 56
- 239000013078 crystal Substances 0.000 description 42
- 239000002019 doping agent Substances 0.000 description 39
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 36
- 238000005137 deposition process Methods 0.000 description 36
- 239000001257 hydrogen Substances 0.000 description 34
- 229910052739 hydrogen Inorganic materials 0.000 description 34
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 description 33
- 229910000077 silane Inorganic materials 0.000 description 33
- 239000002210 silicon-based material Substances 0.000 description 31
- 230000015572 biosynthetic process Effects 0.000 description 28
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 27
- 238000000407 epitaxy Methods 0.000 description 25
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 22
- 229910052801 chlorine Inorganic materials 0.000 description 22
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 description 20
- 238000006243 chemical reaction Methods 0.000 description 17
- PZPGRFITIJYNEJ-UHFFFAOYSA-N disilane Chemical compound [SiH3][SiH3] PZPGRFITIJYNEJ-UHFFFAOYSA-N 0.000 description 16
- KZBUYRJDOAKODT-UHFFFAOYSA-N Chlorine Chemical compound ClCl KZBUYRJDOAKODT-UHFFFAOYSA-N 0.000 description 15
- LEVVHYCKPQWKOP-UHFFFAOYSA-N [Si].[Ge] Chemical compound [Si].[Ge] LEVVHYCKPQWKOP-UHFFFAOYSA-N 0.000 description 15
- 125000004429 atom Chemical group 0.000 description 15
- 150000001875 compounds Chemical class 0.000 description 15
- 238000010926 purge Methods 0.000 description 15
- 238000004519 manufacturing process Methods 0.000 description 13
- HMDDXIMCDZRSNE-UHFFFAOYSA-N [C].[Si] Chemical compound [C].[Si] HMDDXIMCDZRSNE-UHFFFAOYSA-N 0.000 description 12
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 12
- 125000006850 spacer group Chemical group 0.000 description 12
- 229910052786 argon Inorganic materials 0.000 description 11
- 229910052734 helium Inorganic materials 0.000 description 11
- 239000001307 helium Substances 0.000 description 11
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 11
- 239000002243 precursor Substances 0.000 description 11
- VNWKTOKETHGBQD-UHFFFAOYSA-N methane Chemical compound C VNWKTOKETHGBQD-UHFFFAOYSA-N 0.000 description 10
- 229910052782 aluminium Inorganic materials 0.000 description 9
- 239000006227 byproduct Substances 0.000 description 9
- GYHNNYVSQQEPJS-UHFFFAOYSA-N Gallium Chemical compound [Ga] GYHNNYVSQQEPJS-UHFFFAOYSA-N 0.000 description 8
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 8
- 238000005229 chemical vapour deposition Methods 0.000 description 8
- 229910052733 gallium Inorganic materials 0.000 description 8
- 229910000078 germane Inorganic materials 0.000 description 8
- 238000010348 incorporation Methods 0.000 description 8
- 108091006146 Channels Proteins 0.000 description 7
- 229910052785 arsenic Inorganic materials 0.000 description 7
- RQNWIZPPADIBDY-UHFFFAOYSA-N arsenic atom Chemical compound [As] RQNWIZPPADIBDY-UHFFFAOYSA-N 0.000 description 7
- 238000010586 diagram Methods 0.000 description 7
- 150000002431 hydrogen Chemical class 0.000 description 7
- UIUXUFNYAYAMOE-UHFFFAOYSA-N methylsilane Chemical compound [SiH3]C UIUXUFNYAYAMOE-UHFFFAOYSA-N 0.000 description 7
- 239000004065 semiconductor Substances 0.000 description 7
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 6
- 238000000231 atomic layer deposition Methods 0.000 description 6
- 238000010438 heat treatment Methods 0.000 description 6
- XYFCBTPGUUZFHI-UHFFFAOYSA-N Phosphine Chemical compound P XYFCBTPGUUZFHI-UHFFFAOYSA-N 0.000 description 5
- 229910003811 SiGeC Inorganic materials 0.000 description 5
- 239000002585 base Substances 0.000 description 5
- 239000000356 contaminant Substances 0.000 description 5
- 125000001495 ethyl group Chemical group [H]C([H])([H])C([H])([H])* 0.000 description 5
- 125000001436 propyl group Chemical group [H]C([*])([H])C([H])([H])C([H])([H])[H] 0.000 description 5
- 150000004756 silanes Chemical class 0.000 description 5
- -1 Organosilane compounds Chemical class 0.000 description 4
- AXQKVSDUCKWEKE-UHFFFAOYSA-N [C].[Ge].[Si] Chemical compound [C].[Ge].[Si] AXQKVSDUCKWEKE-UHFFFAOYSA-N 0.000 description 4
- UORVGPXVDQYIDP-UHFFFAOYSA-N borane Chemical class B UORVGPXVDQYIDP-UHFFFAOYSA-N 0.000 description 4
- 125000000484 butyl group Chemical group [H]C([*])([H])C([H])([H])C([H])([H])C([H])([H])[H] 0.000 description 4
- 238000004590 computer program Methods 0.000 description 4
- MROCJMGDEKINLD-UHFFFAOYSA-N dichlorosilane Chemical compound Cl[SiH2]Cl MROCJMGDEKINLD-UHFFFAOYSA-N 0.000 description 4
- 239000011261 inert gas Substances 0.000 description 4
- 238000002955 isolation Methods 0.000 description 4
- 229910044991 metal oxide Inorganic materials 0.000 description 4
- 150000004706 metal oxides Chemical class 0.000 description 4
- 125000002496 methyl group Chemical group [H]C([H])([H])* 0.000 description 4
- 229910021332 silicide Inorganic materials 0.000 description 4
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical compound [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 description 4
- VZGDMQKNWNREIO-UHFFFAOYSA-N tetrachloromethane Chemical compound ClC(Cl)(Cl)Cl VZGDMQKNWNREIO-UHFFFAOYSA-N 0.000 description 4
- 229910004298 SiO 2 Inorganic materials 0.000 description 3
- 238000003877 atomic layer epitaxy Methods 0.000 description 3
- 230000008901 benefit Effects 0.000 description 3
- 229910000085 borane Inorganic materials 0.000 description 3
- 230000005669 field effect Effects 0.000 description 3
- 229910021421 monocrystalline silicon Inorganic materials 0.000 description 3
- 150000004767 nitrides Chemical class 0.000 description 3
- 235000012431 wafers Nutrition 0.000 description 3
- HSFWRNGVRCDJHI-UHFFFAOYSA-N Acetylene Chemical compound C#C HSFWRNGVRCDJHI-UHFFFAOYSA-N 0.000 description 2
- ATUOYWHBWRKTHZ-UHFFFAOYSA-N Propane Chemical compound CCC ATUOYWHBWRKTHZ-UHFFFAOYSA-N 0.000 description 2
- QQONPFPTGQHPMA-UHFFFAOYSA-N Propene Chemical compound CC=C QQONPFPTGQHPMA-UHFFFAOYSA-N 0.000 description 2
- 230000004913 activation Effects 0.000 description 2
- VSCWAEJMTAWNJL-UHFFFAOYSA-K aluminium trichloride Chemical compound Cl[Al](Cl)Cl VSCWAEJMTAWNJL-UHFFFAOYSA-K 0.000 description 2
- 238000000137 annealing Methods 0.000 description 2
- 238000000277 atomic layer chemical vapour deposition Methods 0.000 description 2
- 230000009286 beneficial effect Effects 0.000 description 2
- KDKYADYSIPSCCQ-UHFFFAOYSA-N but-1-yne Chemical compound CCC#C KDKYADYSIPSCCQ-UHFFFAOYSA-N 0.000 description 2
- 239000003575 carbonaceous material Substances 0.000 description 2
- 238000005260 corrosion Methods 0.000 description 2
- 230000007797 corrosion Effects 0.000 description 2
- UBHZUDXTHNMNLD-UHFFFAOYSA-N dimethylsilane Chemical compound C[SiH2]C UBHZUDXTHNMNLD-UHFFFAOYSA-N 0.000 description 2
- 230000007613 environmental effect Effects 0.000 description 2
- KCWYOFZQRFCIIE-UHFFFAOYSA-N ethylsilane Chemical compound CC[SiH3] KCWYOFZQRFCIIE-UHFFFAOYSA-N 0.000 description 2
- 229910052731 fluorine Inorganic materials 0.000 description 2
- 230000003993 interaction Effects 0.000 description 2
- 238000001182 laser chemical vapour deposition Methods 0.000 description 2
- 238000011068 loading method Methods 0.000 description 2
- 239000000203 mixture Substances 0.000 description 2
- 229910003465 moissanite Inorganic materials 0.000 description 2
- 125000002524 organometallic group Chemical group 0.000 description 2
- 150000001282 organosilanes Chemical class 0.000 description 2
- 229910000073 phosphorus hydride Inorganic materials 0.000 description 2
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 2
- 229910010271 silicon carbide Inorganic materials 0.000 description 2
- 239000000377 silicon dioxide Substances 0.000 description 2
- 235000012239 silicon dioxide Nutrition 0.000 description 2
- 229910052814 silicon oxide Inorganic materials 0.000 description 2
- FAQYAMRNWDIXMY-UHFFFAOYSA-N trichloroborane Chemical compound ClB(Cl)Cl FAQYAMRNWDIXMY-UHFFFAOYSA-N 0.000 description 2
- JOHWNGGYGAVMGU-UHFFFAOYSA-N trifluorochlorine Chemical compound FCl(F)F JOHWNGGYGAVMGU-UHFFFAOYSA-N 0.000 description 2
- YWWDBCBWQNCYNR-UHFFFAOYSA-N trimethylphosphine Chemical compound CP(C)C YWWDBCBWQNCYNR-UHFFFAOYSA-N 0.000 description 2
- 238000000038 ultrahigh vacuum chemical vapour deposition Methods 0.000 description 2
- HNEJIUSZPOMSFT-UHFFFAOYSA-N C[GeH2][GeH3] Chemical compound C[GeH2][GeH3] HNEJIUSZPOMSFT-UHFFFAOYSA-N 0.000 description 1
- UFIKLRNUCHZRPW-UHFFFAOYSA-N C[GeH](C)[GeH3] Chemical compound C[GeH](C)[GeH3] UFIKLRNUCHZRPW-UHFFFAOYSA-N 0.000 description 1
- VGGSQFUCUMXWEO-UHFFFAOYSA-N Ethene Chemical compound C=C VGGSQFUCUMXWEO-UHFFFAOYSA-N 0.000 description 1
- 239000005977 Ethylene Substances 0.000 description 1
- 108090000699 N-Type Calcium Channels Proteins 0.000 description 1
- 102000004129 N-Type Calcium Channels Human genes 0.000 description 1
- 108010075750 P-Type Calcium Channels Proteins 0.000 description 1
- 229910052581 Si3N4 Inorganic materials 0.000 description 1
- 229910003902 SiCl 4 Inorganic materials 0.000 description 1
- 238000010521 absorption reaction Methods 0.000 description 1
- 230000002411 adverse Effects 0.000 description 1
- 150000001336 alkenes Chemical class 0.000 description 1
- 150000001345 alkine derivatives Chemical class 0.000 description 1
- 125000000217 alkyl group Chemical group 0.000 description 1
- 229910045601 alloy Inorganic materials 0.000 description 1
- 239000000956 alloy Substances 0.000 description 1
- 229910021417 amorphous silicon Inorganic materials 0.000 description 1
- 238000013459 approach Methods 0.000 description 1
- RBFQJDQYXXHULB-UHFFFAOYSA-N arsane Chemical compound [AsH3] RBFQJDQYXXHULB-UHFFFAOYSA-N 0.000 description 1
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 1
- 230000004888 barrier function Effects 0.000 description 1
- 229910052794 bromium Inorganic materials 0.000 description 1
- 125000004432 carbon atom Chemical group C* 0.000 description 1
- 230000008859 change Effects 0.000 description 1
- 239000007795 chemical reaction product Substances 0.000 description 1
- OWQWEJKPOUNPPG-UHFFFAOYSA-M chloro(dimethyl)gallane Chemical compound C[Ga](C)Cl OWQWEJKPOUNPPG-UHFFFAOYSA-M 0.000 description 1
- XOYLJNJLGBYDTH-UHFFFAOYSA-M chlorogallium Chemical compound [Ga]Cl XOYLJNJLGBYDTH-UHFFFAOYSA-M 0.000 description 1
- 230000002301 combined effect Effects 0.000 description 1
- 230000000295 complement effect Effects 0.000 description 1
- 239000012050 conventional carrier Substances 0.000 description 1
- 229910021419 crystalline silicon Inorganic materials 0.000 description 1
- 230000000593 degrading effect Effects 0.000 description 1
- 230000002542 deteriorative effect Effects 0.000 description 1
- ZOCHARZZJNPSEU-UHFFFAOYSA-N diboron Chemical compound B#B ZOCHARZZJNPSEU-UHFFFAOYSA-N 0.000 description 1
- 239000003989 dielectric material Substances 0.000 description 1
- FAFYLCKQPJOORN-UHFFFAOYSA-N diethylborane Chemical compound CCBCC FAFYLCKQPJOORN-UHFFFAOYSA-N 0.000 description 1
- VZZJVOCVAZHETD-UHFFFAOYSA-N diethylphosphane Chemical compound CCPCC VZZJVOCVAZHETD-UHFFFAOYSA-N 0.000 description 1
- VXGHASBVNMHGDI-UHFFFAOYSA-N digermane Chemical compound [Ge][Ge] VXGHASBVNMHGDI-UHFFFAOYSA-N 0.000 description 1
- UCMVNBCLTOOHMN-UHFFFAOYSA-N dimethyl(silyl)silane Chemical compound C[SiH](C)[SiH3] UCMVNBCLTOOHMN-UHFFFAOYSA-N 0.000 description 1
- JGHYBJVUQGTEEB-UHFFFAOYSA-M dimethylalumanylium;chloride Chemical compound C[Al](C)Cl JGHYBJVUQGTEEB-UHFFFAOYSA-M 0.000 description 1
- GMLFPSKPTROTFV-UHFFFAOYSA-N dimethylborane Chemical compound CBC GMLFPSKPTROTFV-UHFFFAOYSA-N 0.000 description 1
- RUIGDFHKELAHJL-UHFFFAOYSA-N dimethylgermane Chemical compound C[GeH2]C RUIGDFHKELAHJL-UHFFFAOYSA-N 0.000 description 1
- YOTZYFSGUCFUKA-UHFFFAOYSA-N dimethylphosphine Chemical compound CPC YOTZYFSGUCFUKA-UHFFFAOYSA-N 0.000 description 1
- 238000010494 dissociation reaction Methods 0.000 description 1
- 230000005593 dissociations Effects 0.000 description 1
- 230000000694 effects Effects 0.000 description 1
- 238000005538 encapsulation Methods 0.000 description 1
- AIGRXSNSLVJMEA-FQEVSTJZSA-N ethoxy-(4-nitrophenoxy)-phenyl-sulfanylidene-$l^{5}-phosphane Chemical compound O([P@@](=S)(OCC)C=1C=CC=CC=1)C1=CC=C([N+]([O-])=O)C=C1 AIGRXSNSLVJMEA-FQEVSTJZSA-N 0.000 description 1
- SHRMMCOTNQGWJS-UHFFFAOYSA-N ethylgermane Chemical compound CC[GeH3] SHRMMCOTNQGWJS-UHFFFAOYSA-N 0.000 description 1
- 238000011049 filling Methods 0.000 description 1
- UPWPDUACHOATKO-UHFFFAOYSA-K gallium trichloride Chemical compound Cl[Ga](Cl)Cl UPWPDUACHOATKO-UHFFFAOYSA-K 0.000 description 1
- 229910000449 hafnium oxide Inorganic materials 0.000 description 1
- WIHZLLGSGQNAGK-UHFFFAOYSA-N hafnium(4+);oxygen(2-) Chemical compound [O-2].[O-2].[Hf+4] WIHZLLGSGQNAGK-UHFFFAOYSA-N 0.000 description 1
- 229910052736 halogen Inorganic materials 0.000 description 1
- 150000002367 halogens Chemical class 0.000 description 1
- NEXSMEBSBIABKL-UHFFFAOYSA-N hexamethyldisilane Chemical compound C[Si](C)(C)[Si](C)(C)C NEXSMEBSBIABKL-UHFFFAOYSA-N 0.000 description 1
- 238000004050 hot filament vapor deposition Methods 0.000 description 1
- 238000002513 implantation Methods 0.000 description 1
- 238000011065 in-situ storage Methods 0.000 description 1
- 239000012212 insulator Substances 0.000 description 1
- 229910052740 iodine Inorganic materials 0.000 description 1
- 238000005468 ion implantation Methods 0.000 description 1
- 150000002500 ions Chemical class 0.000 description 1
- 238000004518 low pressure chemical vapour deposition Methods 0.000 description 1
- 229910052751 metal Inorganic materials 0.000 description 1
- 239000002184 metal Substances 0.000 description 1
- 238000002488 metal-organic chemical vapour deposition Methods 0.000 description 1
- 150000002739 metals Chemical class 0.000 description 1
- IQCYANORSDPPDT-UHFFFAOYSA-N methyl(silyl)silane Chemical compound C[SiH2][SiH3] IQCYANORSDPPDT-UHFFFAOYSA-N 0.000 description 1
- FOTXTBSEOHNRCB-UHFFFAOYSA-N methylgermane Chemical compound [GeH3]C FOTXTBSEOHNRCB-UHFFFAOYSA-N 0.000 description 1
- 229910052756 noble gas Inorganic materials 0.000 description 1
- 150000002835 noble gases Chemical class 0.000 description 1
- 230000003647 oxidation Effects 0.000 description 1
- 238000007254 oxidation reaction Methods 0.000 description 1
- 239000001301 oxygen Substances 0.000 description 1
- 229910052760 oxygen Inorganic materials 0.000 description 1
- 239000001294 propane Substances 0.000 description 1
- 239000011241 protective layer Substances 0.000 description 1
- 230000009467 reduction Effects 0.000 description 1
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 1
- 239000012686 silicon precursor Substances 0.000 description 1
- FDNAPBUWERUEDA-UHFFFAOYSA-N silicon tetrachloride Chemical compound Cl[Si](Cl)(Cl)Cl FDNAPBUWERUEDA-UHFFFAOYSA-N 0.000 description 1
- 239000000126 substance Substances 0.000 description 1
- 238000004381 surface treatment Methods 0.000 description 1
- 238000002230 thermal chemical vapour deposition Methods 0.000 description 1
- 238000012546 transfer Methods 0.000 description 1
- LXEXBJXDGVGRAR-UHFFFAOYSA-N trichloro(trichlorosilyl)silane Chemical compound Cl[Si](Cl)(Cl)[Si](Cl)(Cl)Cl LXEXBJXDGVGRAR-UHFFFAOYSA-N 0.000 description 1
- ZDHXKXAHOVTTAH-UHFFFAOYSA-N trichlorosilane Chemical compound Cl[SiH](Cl)Cl ZDHXKXAHOVTTAH-UHFFFAOYSA-N 0.000 description 1
- 239000005052 trichlorosilane Substances 0.000 description 1
- VOITXYVAKOUIBA-UHFFFAOYSA-N triethylaluminium Chemical compound CC[Al](CC)CC VOITXYVAKOUIBA-UHFFFAOYSA-N 0.000 description 1
- LALRXNPLTWZJIJ-UHFFFAOYSA-N triethylborane Chemical compound CCB(CC)CC LALRXNPLTWZJIJ-UHFFFAOYSA-N 0.000 description 1
- RGGPNXQUMRMPRA-UHFFFAOYSA-N triethylgallium Chemical compound CC[Ga](CC)CC RGGPNXQUMRMPRA-UHFFFAOYSA-N 0.000 description 1
- RXJKFRMDXUJTEX-UHFFFAOYSA-N triethylphosphine Chemical compound CCP(CC)CC RXJKFRMDXUJTEX-UHFFFAOYSA-N 0.000 description 1
- JLTRXTDYQLMHGR-UHFFFAOYSA-N trimethylaluminium Chemical compound C[Al](C)C JLTRXTDYQLMHGR-UHFFFAOYSA-N 0.000 description 1
- WXRGABKACDFXMG-UHFFFAOYSA-N trimethylborane Chemical compound CB(C)C WXRGABKACDFXMG-UHFFFAOYSA-N 0.000 description 1
- XCZXGTMEAKBVPV-UHFFFAOYSA-N trimethylgallium Chemical compound C[Ga](C)C XCZXGTMEAKBVPV-UHFFFAOYSA-N 0.000 description 1
- CKQULDKQRNJABT-UHFFFAOYSA-N trimethylgermanium Chemical compound C[Ge](C)C.C[Ge](C)C CKQULDKQRNJABT-UHFFFAOYSA-N 0.000 description 1
- VEDJZFSRVVQBIL-UHFFFAOYSA-N trisilane Chemical compound [SiH3][SiH2][SiH3] VEDJZFSRVVQBIL-UHFFFAOYSA-N 0.000 description 1
- 239000002912 waste gas Substances 0.000 description 1
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02612—Formation types
- H01L21/02617—Deposition types
- H01L21/02636—Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
- H01L21/02639—Preparation of substrate for selective deposition
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/20—Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02367—Substrates
- H01L21/0237—Materials
- H01L21/02373—Group 14 semiconducting materials
- H01L21/02381—Silicon, silicon germanium, germanium
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02436—Intermediate layers between substrates and deposited layers
- H01L21/02439—Materials
- H01L21/02441—Group 14 semiconducting materials
- H01L21/02447—Silicon carbide
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02436—Intermediate layers between substrates and deposited layers
- H01L21/02439—Materials
- H01L21/02441—Group 14 semiconducting materials
- H01L21/0245—Silicon, silicon germanium, germanium
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02518—Deposited layers
- H01L21/02521—Materials
- H01L21/02524—Group 14 semiconducting materials
- H01L21/02529—Silicon carbide
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02518—Deposited layers
- H01L21/02521—Materials
- H01L21/02524—Group 14 semiconducting materials
- H01L21/02532—Silicon, silicon germanium, germanium
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02518—Deposited layers
- H01L21/0257—Doping during depositing
- H01L21/02573—Conductivity type
- H01L21/02576—N-type
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02518—Deposited layers
- H01L21/0257—Doping during depositing
- H01L21/02573—Conductivity type
- H01L21/02579—P-type
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02612—Formation types
- H01L21/02617—Deposition types
- H01L21/0262—Reduction or decomposition of gaseous compounds, e.g. CVD
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Chemical & Material Sciences (AREA)
- Materials Engineering (AREA)
- Insulated Gate Type Field-Effect Transistor (AREA)
- Chemical Vapour Deposition (AREA)
Abstract
【選択図】 図5
Description
代替ガスサプライエピタキシャル膜形成プロセス
[0028]2004年12月1日に出願された米国特許出願第11/001,774号の特許出願(Docket第9618号)は概して、電子デバイスの製作時に基板の単結晶表面にシリコン含有材料を選択的かつエピタキシャルに堆積するためのプロセスを提供する。単結晶表面(例えば、シリコンやシリコンゲルマニウム)、およびアモルファス表面および/または多結晶表面(例えば、酸化物や窒化物)などの少なくとも1つの二次表面を含有するパターン化基板は、二次表面に制限された多結晶層を形成するか全く多結晶層を形成しない一方で単結晶表面にエピタキシャル層を形成するためにエピタキシャルプロセスに暴露される。これもまた代替ガスサプライ(AGS)プロセスと称されるエピタキシャルプロセスは、所望の厚さのエピタキシャル層が成長されるまで、1サイクルの堆積プロセスおよびエッチングプロセスを反復するステップを含んでいる。
[0086]以下の仮定的な実施例が、基板表面上にエレベーテッドソースドレイン(ESD)構造を形成するために遂行された。パターン化基板は、基板表面およびゲート内に形成されたソース/ドレイン部材と、これらの間に形成されたスペーサとを具備する単結晶表面を含有した。
−基板は加熱されたプロセスチャンバに置かれて、550℃に維持された。プロセスチャンバは約15トールの圧力に維持された。基板表面は、100sccmの流量のシランおよび25slmの流量の窒素を含有する堆積ガスの流れに7秒間暴露された。基板はその後、20sccmの流量の塩素ガスおよび25slmの流量の窒素を含有するエッチングガスに10秒間暴露された。堆積ガスの暴露およびエッチングガスの暴露のサイクルが、基板の暴露された単結晶部分にエピタキシャル成長シリコン層を形成するために50回反復された。シリコンエピタキシャル層は約1,000Åの厚さを有した。
−基板は加熱されたプロセスチャンバに置かれて、550℃に維持された。プロセスチャンバは約15トールの圧力に維持された。基板表面は、100sccmの流量のシランと、3sccmの流量のゲルマンと、25slmの流量の窒素とを含有する堆積ガスの流れに8秒間暴露された。基板はその後、20sccmの流量の塩素ガスおよび25slmの流量の窒素を含有するエッチングガスに10秒間暴露された。堆積ガスの暴露およびエッチングガスの暴露のサイクルが、基板の暴露された単結晶部分にエピタキシャル成長シリコン含有層を形成するために50回反復された。シリコン含有エピタキシャル層は約1,700Åの厚さを有した。
−基板は加熱されたプロセスチャンバに置かれて、550℃に維持された。プロセスチャンバは約15トールの圧力に維持された。基板表面は、100sccmの流量のシランおよび25slmの流量の窒素を含有する堆積ガスの流れに7秒間暴露された。基板表面はその後、5sccmの流量のゲルマンおよび25slmの流量の窒素を含有する第2の堆積ガスの流れに7秒間暴露された。基板は、20sccmの流量の塩素ガスおよび25slmの流量の窒素を含有するエッチングガスに10秒間暴露された。堆積ガスの暴露およびエッチングガスの暴露のサイクルが、基板の暴露された単結晶部分にエピタキシャル成長シリコン含有層を形成するために50回反復された。シリコン含有エピタキシャル層は約1,800Åの厚さを有した。
−基板は加熱されたプロセスチャンバに置かれて、550℃に維持された。プロセスチャンバは約15トールの圧力に維持された。基板表面はその後、100sccmの流量のシランと、1sccmの流量のメチルシランと、25slmの流量の窒素とを含有する堆積ガスの流れに8秒間暴露された。基板は、20sccmの流量の塩素ガスおよび25slmの流量の窒素を含有するエッチングガスに10秒間暴露された。堆積ガスの暴露およびエッチングガスの暴露のサイクルが、基板の暴露された単結晶部分にエピタキシャル成長シリコン含有層を形成するために50回反復された。シリコン含有エピタキシャル層は約1,600Åの厚さを有した。
−基板は加熱されたプロセスチャンバに置かれて、550℃に維持された。プロセスチャンバは約15トールの圧力に維持された。基板表面はその後、100sccmの流量のシランおよび25slmの流量の窒素を含有する堆積ガスの流れに7秒間暴露された。基板表面は、5sccmの流量のメチルシランおよび25slmの流量の窒素を含有する第2の堆積ガスの流れに7秒間暴露された。基板は、20sccmの流量の塩素ガスおよび25slmの流量の窒素を含有するエッチングガスに10秒間暴露された。堆積ガスの暴露およびエッチングガスの暴露のサイクルが、基板の暴露された単結晶部分にエピタキシャル成長シリコン含有層を形成するために50回反復された。シリコン含有エピタキシャル層は約1,800Åの厚さを有した。
−基板は加熱されたプロセスチャンバに置かれて、700℃に維持された。プロセスチャンバは約15トールの圧力に維持された。基板表面はその後、100sccmの流量のシランおよび25slmの流量の水素を含有する堆積ガスの流れに7秒間暴露された。基板は、200sccmの流量の塩化水素および25slmの流量の水素を含有するエッチングガスに40秒間暴露された。堆積ガスの暴露およびエッチングガスの暴露のサイクルが、基板の暴露された単結晶部分にエピタキシャル成長シリコン層を形成するために10回反復された。シリコンエピタキシャル層は約800Åの厚さを有した。
−基板は加熱されたプロセスチャンバに置かれて、700℃に維持された。プロセスチャンバは約15トールの圧力に維持された。基板表面はその後、100sccmの流量のシランと、3sccmの流量のゲルマンと、25slmの流量の水素とを含有する堆積ガスの流れに8秒間暴露された。基板は、200sccmの流量の塩化水素および25slmの流量の水素を含有するエッチングガスに40秒間暴露された。堆積ガスの暴露およびエッチングガスの暴露のサイクルが、基板の暴露された単結晶部分にエピタキシャル成長シリコン含有層を形成するために20回反復された。シリコン含有エピタキシャル層は約1,500Åの厚さを有した。
−基板は加熱されたプロセスチャンバに置かれて、700℃に維持された。プロセスチャンバは約15トールの圧力に維持された。基板表面はその後、100sccmの流量のシランおよび25slmの流量の水素を含有する堆積ガスの流れに7秒間暴露された。基板表面は、5sccmの流量のゲルマンおよび25slmの流量の水素を含有する第2の堆積ガスの流れに7秒間暴露された。基板は、200sccmの流量の塩化水素および25slmの流量の水素を含有するエッチングガスに40秒間暴露された。堆積ガスの暴露およびエッチングガスの暴露のサイクルが、基板の暴露された単結晶部分にエピタキシャル成長シリコン含有層を形成するために20回反復された。シリコン含有エピタキシャル層は約1,600Åの厚さを有した。
−基板は加熱されたプロセスチャンバに置かれて、700℃に維持された。プロセスチャンバは約15トールの圧力に維持された。基板表面はその後、100sccmの流量のシランと、1sccmの流量のメチルシランと、25slmの流量の水素とを含有する堆積ガスの流れに8秒間暴露された。基板は、200sccmの流量の塩化水素および25slmの流量の水素を含有するエッチングガスに40秒間暴露された。堆積ガスの暴露およびエッチングガスの暴露のサイクルが、基板の暴露された単結晶部分にエピタキシャル成長シリコン含有層を形成するために20回反復された。シリコン含有エピタキシャル層は約1,500Åの厚さを有した。
−基板は加熱されたプロセスチャンバに置かれて、700℃に維持された。プロセスチャンバは約15トールの圧力に維持された。基板表面はその後、100sccmの流量のシランおよび25slmの流量の水素を含有する堆積ガスの流れに7秒間暴露された。基板表面は、5sccmの流量のゲルマンおよび25slmの流量の水素を含有する第2の堆積ガスの流れに7秒間暴露された。基板は、200sccmの流量の塩化水素および25slmの流量の水素を含有するエッチングガスに40秒間暴露された。堆積ガスの暴露およびエッチングガスの暴露のサイクルが、基板の暴露された単結晶部分にエピタキシャル成長シリコン含有層を形成するために20回反復された。シリコン含有エピタキシャル層は約1,600Åの厚さを有した。ゲートなどの基板表面の暴露された誘電部分は、堆積ガスから制限的な多結晶成長を形成したか、あるいは全く多結晶成長を形成しなかった。
−基板は加熱されたプロセスチャンバに置かれて、550℃に維持された。プロセスチャンバは約15トールの圧力に維持された。基板表面は、100sccmの流量のシランと、3sccmの流量のジボランと25slmの流量の窒素とを含有する堆積ガスの流れに7秒間暴露された。基板はその後、20sccmの流量の塩素ガスおよび25slmの流量の窒素を含有するエッチングガスに10秒間暴露された。堆積ガスの暴露およびエッチングガスの暴露のサイクルは、基板の暴露された単結晶部分にエピタキシャル成長シリコン層を形成するために50回反復された。シリコンエピタキシャル層は約1,000Åの厚さを有した。
−基板は加熱されたプロセスチャンバに置かれて、550℃に維持された。プロセスチャンバは約15トールの圧力に維持された。基板表面は、100sccmの流量のシランと、3sccmの流量のゲルマンと、3sccmの流量のジボランと25slmの流量の窒素とを含有する堆積ガスの流れに8秒間暴露された。基板はその後、20sccmの流量の塩素ガスおよび25slmの流量の窒素を含有するエッチングガスに10秒間暴露された。堆積ガスの暴露およびエッチングガスの暴露のサイクルは、基板の暴露された単結晶部分にエピタキシャル成長シリコン含有層を形成するために50回反復された。シリコン含有エピタキシャル層は約1,700Åの厚さを有した。
−基板は加熱されたプロセスチャンバに置かれて、550℃に維持された。プロセスチャンバは約15トールの圧力に維持された。基板表面は、100sccmの流量のシランと、3sccmの流量のジボランと、25slmの流量の窒素とを含有する堆積ガスの流れに7秒間暴露された。基板表面はその後、5sccmの流量のゲルマンおよび25slmの流量の窒素を含有する第2の堆積ガスの流れに7秒間暴露された。基板はその後、20sccmの流量の塩素ガスおよび25slmの流量の窒素を含有するエッチングガスに10秒間暴露された。堆積ガスの暴露およびエッチングガスの暴露のサイクルは、基板の暴露された単結晶部分にエピタキシャル成長シリコン含有層を形成するために50回反復された。シリコン含有エピタキシャル層は約1,800Åの厚さを有した。
−基板は加熱されたプロセスチャンバに置かれて、550℃に維持された。プロセスチャンバは約15トールの圧力に維持された。基板表面は、100sccmの流量のシランと、1sccmの流量のメチルシランと、3sccmの流量のホスフィンと、25slmの流量の窒素とを含有する堆積ガスの流れに8秒間暴露された。基板はその後、20sccmの流量の塩素ガスおよび25slmの流量の窒素を含有するエッチングガスに10秒間暴露された。堆積ガスの暴露およびエッチングガスの暴露のサイクルが、基板の暴露された単結晶部分にエピタキシャル成長シリコン含有層を形成するために80回反復された。シリコン含有エピタキシャル層は約1,600Åの厚さを有した。
−基板は加熱されたプロセスチャンバに置かれて、550℃に維持された。プロセスチャンバは約15トールの圧力に維持された。基板表面は、100sccmの流量のシランと、3sccmの流量のホスフィンと、25slmの流量の窒素とを含有する堆積ガスの流れに7秒間暴露された。基板表面はその後、5sccmの流量のメチルシランおよび25slmの流量の窒素を含有する第2の堆積ガスの流れに7秒間暴露された。基板はその後、20sccmの流量の塩素ガスおよび25slmの流量の窒素を含有するエッチングガスに10秒間暴露された。堆積ガスの暴露およびエッチングガスの暴露のサイクルは、基板の暴露された単結晶部分にエピタキシャル成長シリコン含有層を形成するために80回反復された。シリコン含有エピタキシャル層は約1,800Åの厚さを有した。
[00102]上記のように、本発明者は、シリコンエピタキシャル膜形成プロセスでCl2をエッチャントガスとして使用することは、得られるシリコンエピタキシャル膜の表面形態の不良につながる場合があることを発見した。具体的な理論に縛られたくはないが、Cl2はシリコンエピタキシャル膜表面をかなり積極的に攻撃して、孔食などを生成することがあると思われる。Cl2の使用は、シリコンエピタキシャル膜が炭素を含有する場合にとりわけ問題があると分かっている。
[00122]少なくとも1つの実施形態では、(より多いまたは少ない炭素ソース、シリコンソースおよび/またはキャリアガス流量が使用されてもよいが)約1〜5sccmのメタンの炭素ソース流量が、約50〜150sccmのシラン(または、約10〜40sccmのジシラン)のシリコンソース流量および約20〜25slmの窒素キャリアガス流量と併用されてもよい。HClもまた所望ならば流されてもよい。
Claims (41)
- 基板にエピタキシャル膜を形成するための方法であって、
(a)基板を提供するステップと、
(b)前記基板の少なくとも一部にエピタキシャル膜を形成するために少なくともシリコンソースに前記基板を暴露するステップと、
(c)ステップ(b)中に形成された前記エピタキシャル膜および他の膜をエッチングするためにHClおよびCl2に前記基板を暴露するステップと、
を備える方法。 - ステップ(b)および(c)を少なくとも1回反復するステップをさらに備える、請求項1に記載の方法。
- ステップ(b)中に追加元素ソースに前記基板を暴露するステップをさらに備える、請求項1に記載の方法。
- 前記追加元素ソースが炭素ソースを備える、請求項3に記載の方法。
- 前記追加元素ソースがゲルマニウムソースを備える、請求項3に記載の方法。
- 前記追加元素ソースがホウ素またはリンソースを備える、請求項3に記載の方法。
- 少なくともステップ(b)中に摂氏約550〜650度の基板温度を用いるステップをさらに備える、請求項3に記載の方法。
- 少なくともステップ(b)中に摂氏約600度未満の基板温度を用いるステップをさらに備える、請求項7に記載の方法。
- ステップ(b)中にHClを流すステップをさらに備える、請求項1に記載の方法。
- ステップ(b)および(c)中に実質的に同じHCl流量を使用するステップをさらに備える、請求項9に記載の方法。
- ステップ(c)中にCl2の流量の約6〜10倍のHClの流量を用いるステップをさらに備える、請求項9に記載の方法。
- 少なくともステップ(b)中に摂氏約550〜650度の基板温度を用いるステップをさらに備える、請求項11に記載の方法。
- 少なくともステップ(b)中に摂氏約600度未満の基板温度を用いるステップをさらに備える、請求項12に記載の方法。
- ステップ(c)中にCl2の流量の約6〜10倍のHClの流量を用いるステップをさらに備える、請求項1に記載の方法。
- ステップ(b)が
(i)炭素含有シリコンエピタキシャル膜を形成するために炭素ソースに前記基板を暴露するステップと、
(ii)前記炭素含有シリコンエピタキシャル膜をカプセル化するステップと、
を含む、請求項1に記載の方法。 - 前記炭素含有シリコンエピタキシャル膜をカプセル化する前記ステップが、前記炭素含有シリコンエピタキシャル膜にわたってシリコンエピタキシャル膜を形成するために、前記炭素ソースなしの前記シリコンソースに前記基板を暴露するステップを備える、請求項15に記載の方法。
- 少なくともステップ(b)中に摂氏約550〜650度の基板温度を用いるステップをさらに備える、請求項15に記載の方法。
- 少なくともステップ(b)中に摂氏約600度未満の基板温度を用いるステップをさらに備える、請求項15に記載の方法。
- ステップ(b)が、
(i)第1のシリコンエピタキシャル膜を形成するために炭素ソースなしの前記シリコンソースに前記基板を暴露するステップと、
(ii)前記第1のシリコンエピタキシャル膜にわたって炭素含有シリコンエピタキシャル膜を形成するために、前記炭素ソースを具備する前記シリコンソースに前記基板を暴露するステップと、
(iii)前記炭素含有シリコンエピタキシャル膜にわたって第2のシリコンエピタキシャル膜を形成するために前記炭素ソースなしの前記シリコンソースに前記基板を暴露するステップと、
を含む、請求項1に記載の方法。 - ステップ(ii)の処理時間の約半分のステップ(i)および(iii)の処理時間を用いるステップをさらに備える、請求項19に記載の方法。
- 基板にエピタキシャル膜を形成するための方法であって、
(a)基板を提供するステップと、
(b)炭素含有シリコンエピタキシャル膜を形成するためにシリコンソースおよび炭素ソースに前記基板を暴露するステップと、
(c)前記炭素含有シリコンエピタキシャル膜をカプセル化膜によってカプセル化するステップと、
(d)前記カプセル化膜をエッチングするためにCl2に前記基板を暴露するステップと、
を備える方法。 - ステップ(b)〜(d)を少なくとも1回反復するステップをさらに備える、請求項21に記載の方法。
- 少なくともステップ(b)中に摂氏約550〜650度の基板温度を用いるステップをさらに備える、請求項21に記載の方法。
- 少なくともステップ(b)中に摂氏約600度未満の基板温度を用いるステップをさらに備える、請求項23に記載の方法。
- ステップ(c)が、前記炭素含有シリコンエピタキシャル膜にわたってシリコンエピタキシャル膜を形成するために、前記炭素ソースなしの前記シリコンソースに前記基板を暴露するステップを含む、請求項21に記載の方法。
- ステップ(b)が
(i)第1のシリコンエピタキシャル膜を形成するために炭素ソースなしの前記シリコンソースに前記基板を暴露するステップと、
(ii)前記第1のシリコンエピタキシャル膜にわたって炭素含有シリコンエピタキシャル膜を形成するために前記炭素ソースを具備する前記シリコンソースに前記基板を暴露するステップと、
を含んでおり、
ステップ(c)が、
(iii)前記炭素含有シリコンエピタキシャル膜にわたって第2のシリコンエピタキシャル膜を形成するために、前記炭素ソースなしの前記シリコンソースに前記基板を暴露するステップを含む、請求項21に記載の方法。 - ステップ(ii)の処理時間の約半分のステップ(i)および(iii)の処理時間を用いるステップをさらに備える、請求項26に記載の方法。
- ステップ(b)中にHClを流すステップをさらに備える、請求項21に記載の方法。
- ステップ(b)および(c)中にHClを流すステップをさらに備える、請求項21に記載の方法。
- 基板にエピタキシャル膜を形成するための方法であって、
(a)基板を提供するステップと、
(b)追加元素含有シリコンエピタキシャル膜を形成するためにシリコンソースおよび追加元素ソースに前記基板を暴露するステップと、
(c)前記追加元素含有シリコンエピタキシャル膜をカプセル化膜によってカプセル化するステップと、
(d)前記カプセル化膜をエッチングするためにCl2に前記基板を暴露するステップと、
を備える方法。 - 前記追加元素ソースが炭素ソースを備える、請求項30に記載の方法。
- 前記追加元素ソースがゲルマニウムソースを備える、請求項30に記載の方法。
- 前記追加元素ソースがホウ素またはリンソースを備える、請求項30に記載の方法。
- ステップ(b)〜(d)を少なくとも1回反復するステップをさらに備える、請求項30に記載の方法。
- 少なくともステップ(b)中に摂氏約550〜650度の基板温度を用いるステップをさらに備える、請求項30に記載の方法。
- 少なくともステップ(b)中に摂氏約600度未満の基板温度を用いるステップをさらに備える、請求項35に記載の方法。
- ステップ(c)が、前記追加元素含有シリコンエピタキシャル膜にわたってシリコンエピタキシャル膜を形成するために、前記追加元素ソースなしの前記シリコンソースに前記基板を暴露するステップを含む、請求項30に記載の方法。
- ステップ(b)が
(i)第1のシリコンエピタキシャル膜を形成するために追加元素ソースなしの前記シリコンソースに前記基板を暴露するステップと、
(ii)前記第1のシリコンエピタキシャル膜にわたって追加元素含有シリコンエピタキシャル膜を形成するために、前記追加元素ソースを具備する前記シリコンソースに前記基板を暴露するステップと、
を備えており、
ステップ(c)が
(iii)前記追加元素含有シリコンエピタキシャル膜にわたって第2のシリコンエピタキシャル膜を形成するために、前記追加元素ソースなしの前記シリコンソースに前記基板を暴露するステップを含む、請求項30に記載の方法。 - ステップ(ii)の処理時間の約半分のステップ(i)および(iii)の処理時間を用いるステップをさらに備える、請求項38に記載の方法。
- ステップ(b)中にHClを流すステップをさらに備える、請求項30に記載の方法。
- ステップ(b)および(c)中にHClを流すステップをさらに備える、請求項30に記載の方法。
Applications Claiming Priority (5)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US11/001,774 US7312128B2 (en) | 2004-12-01 | 2004-12-01 | Selective epitaxy process with alternating gas supply |
US11/001,774 | 2004-12-01 | ||
US11/227,974 | 2005-09-14 | ||
US11/227,974 US7682940B2 (en) | 2004-12-01 | 2005-09-14 | Use of Cl2 and/or HCl during silicon epitaxial film formation |
PCT/US2005/043420 WO2006060543A2 (en) | 2004-12-01 | 2005-11-30 | Use of cl2 and/or hcl during silicon epitaxial film formation |
Publications (2)
Publication Number | Publication Date |
---|---|
JP2008522442A true JP2008522442A (ja) | 2008-06-26 |
JP4918043B2 JP4918043B2 (ja) | 2012-04-18 |
Family
ID=36168375
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2007544499A Expired - Fee Related JP4918043B2 (ja) | 2004-12-01 | 2005-11-30 | シリコンエピタキシャル膜形成方法 |
Country Status (7)
Country | Link |
---|---|
US (4) | US7682940B2 (ja) |
EP (1) | EP1829089A2 (ja) |
JP (1) | JP4918043B2 (ja) |
KR (1) | KR101181040B1 (ja) |
CN (1) | CN102176411B (ja) |
TW (1) | TWI327749B (ja) |
WO (1) | WO2006060543A2 (ja) |
Cited By (9)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
WO2010035409A1 (ja) * | 2008-09-26 | 2010-04-01 | 信越半導体株式会社 | シリコンエピタキシャルウェーハの製造方法 |
WO2010067517A1 (ja) * | 2008-12-11 | 2010-06-17 | 株式会社日立国際電気 | 半導体装置の製造方法 |
JP2010171101A (ja) * | 2009-01-21 | 2010-08-05 | Hitachi Kokusai Electric Inc | 半導体装置の製造方法及び基板処理装置 |
JP2013070055A (ja) * | 2006-12-12 | 2013-04-18 | Applied Materials Inc | シリコンと炭素を含有するインサイチュリンドープエピタキシャル層の形成 |
JP2013546169A (ja) * | 2010-10-06 | 2013-12-26 | アプライド マテリアルズ インコーポレイテッド | 3dメモリに適用するpecvd酸化物−窒化物スタック及び酸化物−シリコンスタック |
JP2015230998A (ja) * | 2014-06-06 | 2015-12-21 | ローム株式会社 | SiCエピタキシャルウェハ、SiCエピタキシャルウェハの製造装置、SiCエピタキシャルウェハの製造方法、および半導体装置 |
JPWO2016067918A1 (ja) * | 2014-10-31 | 2017-07-20 | 富士電機株式会社 | 炭化珪素エピタキシャル膜の成長方法 |
JP2018026494A (ja) * | 2016-08-12 | 2018-02-15 | 株式会社アルバック | 成膜方法及び成膜装置 |
JP2019537840A (ja) * | 2016-11-03 | 2019-12-26 | ユ−ジーン テクノロジー カンパニー.リミテッド | 低温エピタキシャル層の形成方法 |
Families Citing this family (107)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
EP1421607A2 (en) * | 2001-02-12 | 2004-05-26 | ASM America, Inc. | Improved process for deposition of semiconductor films |
US7186630B2 (en) | 2002-08-14 | 2007-03-06 | Asm America, Inc. | Deposition of amorphous silicon-containing films |
US7166528B2 (en) | 2003-10-10 | 2007-01-23 | Applied Materials, Inc. | Methods of selective deposition of heavily doped epitaxial SiGe |
US7253125B1 (en) | 2004-04-16 | 2007-08-07 | Novellus Systems, Inc. | Method to improve mechanical strength of low-k dielectric film using modulated UV exposure |
US9659769B1 (en) | 2004-10-22 | 2017-05-23 | Novellus Systems, Inc. | Tensile dielectric films using UV curing |
US7790633B1 (en) | 2004-10-26 | 2010-09-07 | Novellus Systems, Inc. | Sequential deposition/anneal film densification method |
US7682940B2 (en) | 2004-12-01 | 2010-03-23 | Applied Materials, Inc. | Use of Cl2 and/or HCl during silicon epitaxial film formation |
US7510982B1 (en) | 2005-01-31 | 2009-03-31 | Novellus Systems, Inc. | Creation of porosity in low-k films by photo-disassociation of imbedded nanoparticles |
US7438760B2 (en) * | 2005-02-04 | 2008-10-21 | Asm America, Inc. | Methods of making substitutionally carbon-doped crystalline Si-containing materials by chemical vapor deposition |
US8980769B1 (en) | 2005-04-26 | 2015-03-17 | Novellus Systems, Inc. | Multi-station sequential curing of dielectric films |
US8454750B1 (en) | 2005-04-26 | 2013-06-04 | Novellus Systems, Inc. | Multi-station sequential curing of dielectric films |
US8137465B1 (en) | 2005-04-26 | 2012-03-20 | Novellus Systems, Inc. | Single-chamber sequential curing of semiconductor wafers |
US8282768B1 (en) | 2005-04-26 | 2012-10-09 | Novellus Systems, Inc. | Purging of porogen from UV cure chamber |
US8889233B1 (en) | 2005-04-26 | 2014-11-18 | Novellus Systems, Inc. | Method for reducing stress in porous dielectric films |
US20070048956A1 (en) * | 2005-08-30 | 2007-03-01 | Tokyo Electron Limited | Interrupted deposition process for selective deposition of Si-containing films |
CN1941296A (zh) * | 2005-09-28 | 2007-04-04 | 中芯国际集成电路制造(上海)有限公司 | 应变硅cmos晶体管的原位掺杂硅锗与碳化硅源漏极区 |
KR101038843B1 (ko) * | 2005-10-05 | 2011-06-03 | 어플라이드 머티어리얼스, 인코포레이티드 | 에피택셜막 형성 방법 및 장치 |
JP2009521801A (ja) * | 2005-12-22 | 2009-06-04 | エーエスエム アメリカ インコーポレイテッド | ドープされた半導体物質のエピタキシャル堆積 |
US7579248B2 (en) * | 2006-02-13 | 2009-08-25 | Taiwan Semiconductor Manufacturing Company, Ltd. | Resolving pattern-loading issues of SiGe stressor |
WO2007112058A2 (en) * | 2006-03-24 | 2007-10-04 | Applied Materials, Inc. | Carbon precursors for use during silicon epitaxial firm formation |
KR101074186B1 (ko) * | 2006-04-07 | 2011-10-14 | 어플라이드 머티어리얼스, 인코포레이티드 | 에피택셜 필름 형성을 위한 클러스터 툴 |
US7674337B2 (en) * | 2006-04-07 | 2010-03-09 | Applied Materials, Inc. | Gas manifolds for use during epitaxial film formation |
US8278176B2 (en) | 2006-06-07 | 2012-10-02 | Asm America, Inc. | Selective epitaxial formation of semiconductor films |
KR101160930B1 (ko) | 2006-07-31 | 2012-06-29 | 어플라이드 머티어리얼스, 인코포레이티드 | 카본-함유 실리콘 에피택셜 층을 형성하는 방법 |
DE112007001813T5 (de) * | 2006-07-31 | 2009-07-09 | Applied Materials, Inc., Santa Clara | Verfahren zum Steuern der Morphologie während der Bildung einer epitaktischen Schicht |
US8105955B2 (en) * | 2006-08-15 | 2012-01-31 | Globalfoundries Singapore Pte. Ltd. | Integrated circuit system with carbon and non-carbon silicon |
US7554110B2 (en) * | 2006-09-15 | 2009-06-30 | Taiwan Semiconductor Manufacturing Company, Ltd. | MOS devices with partial stressor channel |
US8465991B2 (en) | 2006-10-30 | 2013-06-18 | Novellus Systems, Inc. | Carbon containing low-k dielectric constant recovery using UV treatment |
US7851232B2 (en) * | 2006-10-30 | 2010-12-14 | Novellus Systems, Inc. | UV treatment for carbon-containing low-k dielectric repair in semiconductor processing |
US10037905B2 (en) | 2009-11-12 | 2018-07-31 | Novellus Systems, Inc. | UV and reducing treatment for K recovery and surface clean in semiconductor processing |
US20080132039A1 (en) * | 2006-12-01 | 2008-06-05 | Yonah Cho | Formation and treatment of epitaxial layer containing silicon and carbon |
US7741200B2 (en) * | 2006-12-01 | 2010-06-22 | Applied Materials, Inc. | Formation and treatment of epitaxial layer containing silicon and carbon |
US7837790B2 (en) * | 2006-12-01 | 2010-11-23 | Applied Materials, Inc. | Formation and treatment of epitaxial layer containing silicon and carbon |
US7906174B1 (en) | 2006-12-07 | 2011-03-15 | Novellus Systems, Inc. | PECVD methods for producing ultra low-k dielectric films using UV treatment |
US7897495B2 (en) * | 2006-12-12 | 2011-03-01 | Applied Materials, Inc. | Formation of epitaxial layer containing silicon and carbon |
US7960236B2 (en) * | 2006-12-12 | 2011-06-14 | Applied Materials, Inc. | Phosphorus containing Si epitaxial layers in N-type source/drain junctions |
US20080138955A1 (en) * | 2006-12-12 | 2008-06-12 | Zhiyuan Ye | Formation of epitaxial layer containing silicon |
US20080153266A1 (en) * | 2006-12-21 | 2008-06-26 | Interuniversitair Microeletronica Centrum (Imec) Vzw | Method to improve the selective epitaxial growth (seg) process |
US20080173239A1 (en) * | 2007-01-24 | 2008-07-24 | Yuri Makarov | Method, system, and apparatus for the growth of SiC and related or similar material, by chemical vapor deposition, using precursors in modified cold-wall reactor |
US9064960B2 (en) * | 2007-01-31 | 2015-06-23 | Applied Materials, Inc. | Selective epitaxy process control |
US8242028B1 (en) | 2007-04-03 | 2012-08-14 | Novellus Systems, Inc. | UV treatment of etch stop and hard mask films for selectivity and hermeticity enhancement |
JP5380794B2 (ja) * | 2007-06-22 | 2014-01-08 | 富士通セミコンダクター株式会社 | 半導体装置の製造方法および半導体層の形成方法 |
US20080314311A1 (en) * | 2007-06-24 | 2008-12-25 | Burrows Brian H | Hvpe showerhead design |
US7776679B2 (en) * | 2007-07-20 | 2010-08-17 | Stmicroelectronics Crolles 2 Sas | Method for forming silicon wells of different crystallographic orientations |
US7700452B2 (en) * | 2007-08-29 | 2010-04-20 | Taiwan Semiconductor Manufacturing Company, Ltd. | Strained channel transistor |
US8211510B1 (en) | 2007-08-31 | 2012-07-03 | Novellus Systems, Inc. | Cascaded cure approach to fabricate highly tensile silicon nitride films |
US7759199B2 (en) | 2007-09-19 | 2010-07-20 | Asm America, Inc. | Stressor for engineered strain on channel |
US20090149008A1 (en) * | 2007-10-05 | 2009-06-11 | Applied Materials, Inc. | Method for depositing group iii/v compounds |
US7776698B2 (en) | 2007-10-05 | 2010-08-17 | Applied Materials, Inc. | Selective formation of silicon carbon epitaxial layer |
US7772074B2 (en) * | 2007-10-18 | 2010-08-10 | Applied Materials, Inc. | Method of forming conformal silicon layer for recessed source-drain |
US7939447B2 (en) * | 2007-10-26 | 2011-05-10 | Asm America, Inc. | Inhibitors for selective deposition of silicon containing films |
US7772097B2 (en) * | 2007-11-05 | 2010-08-10 | Asm America, Inc. | Methods of selectively depositing silicon-containing films |
US7655543B2 (en) * | 2007-12-21 | 2010-02-02 | Asm America, Inc. | Separate injection of reactive species in selective formation of films |
JP4635062B2 (ja) * | 2008-03-11 | 2011-02-16 | 株式会社東芝 | 半導体装置の製造方法 |
JP5478616B2 (ja) * | 2008-06-04 | 2014-04-23 | ダウ・コーニング・コーポレイション | 半導体エピタキシーにおけるメモリ効果の低減方法 |
US7906817B1 (en) | 2008-06-06 | 2011-03-15 | Novellus Systems, Inc. | High compressive stress carbon liners for MOS devices |
CN102361011B (zh) * | 2008-06-11 | 2016-06-22 | 美格纳半导体有限会社 | 形成半导体器件的栅极的方法 |
US9050623B1 (en) | 2008-09-12 | 2015-06-09 | Novellus Systems, Inc. | Progressive UV cure |
US8486191B2 (en) * | 2009-04-07 | 2013-07-16 | Asm America, Inc. | Substrate reactor with adjustable injectors for mixing gases within reaction chamber |
US8491720B2 (en) * | 2009-04-10 | 2013-07-23 | Applied Materials, Inc. | HVPE precursor source hardware |
US8183132B2 (en) * | 2009-04-10 | 2012-05-22 | Applied Materials, Inc. | Methods for fabricating group III nitride structures with a cluster tool |
US8138069B2 (en) * | 2009-04-24 | 2012-03-20 | Applied Materials, Inc. | Substrate pretreatment for subsequent high temperature group III depositions |
US20100273291A1 (en) * | 2009-04-28 | 2010-10-28 | Applied Materials, Inc. | Decontamination of mocvd chamber using nh3 purge after in-situ cleaning |
KR20120023040A (ko) * | 2009-04-29 | 2012-03-12 | 어플라이드 머티어리얼스, 인코포레이티드 | HVPE에서 인-시튜 사전-GaN 증착 층을 형성하는 방법 |
US8211784B2 (en) * | 2009-10-26 | 2012-07-03 | Advanced Ion Beam Technology, Inc. | Method for manufacturing a semiconductor device with less leakage current induced by carbon implant |
US8367528B2 (en) | 2009-11-17 | 2013-02-05 | Asm America, Inc. | Cyclical epitaxial deposition and etch |
JP5610798B2 (ja) * | 2010-03-12 | 2014-10-22 | キヤノン株式会社 | シンチレータの製造方法 |
US20110256692A1 (en) | 2010-04-14 | 2011-10-20 | Applied Materials, Inc. | Multiple precursor concentric delivery showerhead |
US8778767B2 (en) | 2010-11-18 | 2014-07-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Integrated circuits and fabrication methods thereof |
WO2012102755A1 (en) * | 2011-01-28 | 2012-08-02 | Applied Materials, Inc. | Carbon addition for low resistivity in situ doped silicon epitaxy |
US10011920B2 (en) * | 2011-02-23 | 2018-07-03 | International Business Machines Corporation | Low-temperature selective epitaxial growth of silicon for device integration |
TWI534291B (zh) | 2011-03-18 | 2016-05-21 | 應用材料股份有限公司 | 噴淋頭組件 |
US8809170B2 (en) | 2011-05-19 | 2014-08-19 | Asm America Inc. | High throughput cyclical epitaxial deposition and etch process |
US9537004B2 (en) | 2011-05-24 | 2017-01-03 | Taiwan Semiconductor Manufacturing Company, Ltd. | Source/drain formation and structure |
US9595438B2 (en) * | 2011-09-12 | 2017-03-14 | Nasp Iii/V Gmbh | Method for producing a III/V Si template |
JP2013089889A (ja) * | 2011-10-21 | 2013-05-13 | Elpida Memory Inc | 半導体装置及びその製造方法 |
KR20130081956A (ko) * | 2012-01-10 | 2013-07-18 | 삼성전자주식회사 | 질화물 반도체층 성장 방법 |
US9012310B2 (en) | 2012-06-11 | 2015-04-21 | Taiwan Semiconductor Manufacturing Company, Ltd. | Epitaxial formation of source and drain regions |
US9925569B2 (en) | 2012-09-25 | 2018-03-27 | Applied Materials, Inc. | Chamber cleaning with infrared absorption gas |
US9157730B2 (en) | 2012-10-26 | 2015-10-13 | Applied Materials, Inc. | PECVD process |
US9059212B2 (en) | 2012-10-31 | 2015-06-16 | International Business Machines Corporation | Back-end transistors with highly doped low-temperature contacts |
US8900958B2 (en) | 2012-12-19 | 2014-12-02 | Taiwan Semiconductor Manufacturing Company, Ltd. | Epitaxial formation mechanisms of source and drain regions |
US9252008B2 (en) | 2013-01-11 | 2016-02-02 | Taiwan Semiconductor Manufacturing Company, Ltd. | Epitaxial formation mechanisms of source and drain regions |
US8853039B2 (en) | 2013-01-17 | 2014-10-07 | Taiwan Semiconductor Manufacturing Company, Ltd. | Defect reduction for formation of epitaxial layer in source and drain regions |
US20140220756A1 (en) * | 2013-02-01 | 2014-08-07 | Globalfoundries Inc. | Methods of forming semiconductor devices by forming a semiconductor layer above source/drain regions prior to removing a gate cap layer |
JP5931780B2 (ja) * | 2013-03-06 | 2016-06-08 | 東京エレクトロン株式会社 | 選択エピタキシャル成長法および成膜装置 |
US9093468B2 (en) | 2013-03-13 | 2015-07-28 | Taiwan Semiconductor Manufacturing Company, Ltd. | Asymmetric cyclic depositon and etch process for epitaxial formation mechanisms of source and drain regions |
US9029226B2 (en) | 2013-03-13 | 2015-05-12 | Taiwan Semiconductor Manufacturing Company, Ltd. | Mechanisms for doping lightly-doped-drain (LDD) regions of finFET devices |
US8877592B2 (en) | 2013-03-14 | 2014-11-04 | Taiwan Semiconductor Manufacturing Company, Ltd. | Epitaxial growth of doped film for source and drain regions |
CN105103271B (zh) | 2013-03-15 | 2018-05-22 | 应用材料公司 | 用于脉冲式光激发沉积与蚀刻的装置与方法 |
US8853060B1 (en) | 2013-05-27 | 2014-10-07 | United Microelectronics Corp. | Epitaxial process |
US9076652B2 (en) | 2013-05-27 | 2015-07-07 | United Microelectronics Corp. | Semiconductor process for modifying shape of recess |
US9293534B2 (en) | 2014-03-21 | 2016-03-22 | Taiwan Semiconductor Manufacturing Company, Ltd. | Formation of dislocations in source and drain regions of FinFET devices |
CN104867821B (zh) * | 2014-02-25 | 2018-06-08 | 中芯国际集成电路制造(上海)有限公司 | 减少锗硅源漏区外延工艺中的颗粒缺陷的方法 |
US9299587B2 (en) | 2014-04-10 | 2016-03-29 | Taiwan Semiconductor Manufacturing Company, Ltd. | Microwave anneal (MWA) for defect recovery |
CN105609406B (zh) * | 2014-11-19 | 2018-09-28 | 株式会社日立国际电气 | 半导体器件的制造方法、衬底处理装置、气体供给系统 |
JP5892527B1 (ja) * | 2015-01-06 | 2016-03-23 | 信越化学工業株式会社 | 太陽電池用fzシリコン単結晶の製造方法及び太陽電池の製造方法 |
KR102534730B1 (ko) * | 2015-04-10 | 2023-05-26 | 어플라이드 머티어리얼스, 인코포레이티드 | 선택적 에피택셜 성장을 위한 성장률을 증강시키기 위한 방법 |
US9847221B1 (en) | 2016-09-29 | 2017-12-19 | Lam Research Corporation | Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing |
KR102427152B1 (ko) | 2016-12-12 | 2022-07-28 | 어플라이드 머티어리얼스, 인코포레이티드 | 핀 전계 효과 트랜지스터(finfet) 디바이스 위에 등각성의 에피택셜 반도체 클래딩 재료를 형성하는 방법 |
TWI711716B (zh) * | 2017-06-06 | 2020-12-01 | 美商應用材料股份有限公司 | 使用沉積-處理-蝕刻製程之矽的選擇性沉積 |
JP6971823B2 (ja) * | 2017-12-13 | 2021-11-24 | 東京エレクトロン株式会社 | シリコン含有膜のエッチング方法、コンピュータ記憶媒体、及びシリコン含有膜のエッチング装置 |
JP2019186351A (ja) * | 2018-04-09 | 2019-10-24 | ルネサスエレクトロニクス株式会社 | 半導体装置の製造方法 |
US10811498B2 (en) | 2018-08-30 | 2020-10-20 | Atomera Incorporated | Method for making superlattice structures with reduced defect densities |
US10566191B1 (en) | 2018-08-30 | 2020-02-18 | Atomera Incorporated | Semiconductor device including superlattice structures with reduced defect densities |
US11031242B2 (en) * | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
CN112885709B (zh) * | 2021-01-13 | 2024-03-22 | 中电化合物半导体有限公司 | 一种碳化硅外延结构的制备方法及半导体设备 |
Citations (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH0992621A (ja) * | 1995-09-28 | 1997-04-04 | Oki Electric Ind Co Ltd | 半導体薄膜の選択成長方法 |
Family Cites Families (172)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US648420A (en) * | 1900-02-14 | 1900-05-01 | Adolph Martin | Dyer's shell. |
US3675619A (en) | 1969-02-25 | 1972-07-11 | Monsanto Co | Apparatus for production of epitaxial films |
NL187942C (nl) | 1980-08-18 | 1992-02-17 | Philips Nv | Zenerdiode en werkwijze ter vervaardiging daarvan. |
US5294286A (en) | 1984-07-26 | 1994-03-15 | Research Development Corporation Of Japan | Process for forming a thin film of silicon |
US5693139A (en) | 1984-07-26 | 1997-12-02 | Research Development Corporation Of Japan | Growth of doped semiconductor monolayers |
JPH0639357B2 (ja) | 1986-09-08 | 1994-05-25 | 新技術開発事業団 | 元素半導体単結晶薄膜の成長方法 |
US5607511A (en) | 1992-02-21 | 1997-03-04 | International Business Machines Corporation | Method and apparatus for low temperature, low pressure chemical vapor deposition of epitaxial silicon layers |
US5112439A (en) | 1988-11-30 | 1992-05-12 | Mcnc | Method for selectively depositing material on substrates |
JPH0824191B2 (ja) | 1989-03-17 | 1996-03-06 | 富士通株式会社 | 薄膜トランジスタ |
EP0413982B1 (en) | 1989-07-27 | 1997-05-14 | Junichi Nishizawa | Impurity doping method with adsorbed diffusion source |
JP2880322B2 (ja) | 1991-05-24 | 1999-04-05 | キヤノン株式会社 | 堆積膜の形成方法 |
US5227330A (en) | 1991-10-31 | 1993-07-13 | International Business Machines Corporation | Comprehensive process for low temperature SI epit axial growth |
US5480818A (en) | 1992-02-10 | 1996-01-02 | Fujitsu Limited | Method for forming a film and method for manufacturing a thin film transistor |
JP2917694B2 (ja) | 1992-04-02 | 1999-07-12 | 日本電気株式会社 | 化合物半導体気相成長方法及びその装置 |
JPH0750690B2 (ja) | 1992-08-21 | 1995-05-31 | 日本電気株式会社 | ハロゲン化物を用いる半導体結晶のエピタキシャル成長方法とその装置 |
US5273930A (en) | 1992-09-03 | 1993-12-28 | Motorola, Inc. | Method of forming a non-selective silicon-germanium epitaxial film |
US5236545A (en) | 1992-10-05 | 1993-08-17 | The Board Of Governors Of Wayne State University | Method for heteroepitaxial diamond film development |
JP3255469B2 (ja) | 1992-11-30 | 2002-02-12 | 三菱電機株式会社 | レーザ薄膜形成装置 |
JP3265042B2 (ja) | 1993-03-18 | 2002-03-11 | 東京エレクトロン株式会社 | 成膜方法 |
JPH0729897A (ja) | 1993-06-25 | 1995-01-31 | Nec Corp | 半導体装置の製造方法 |
US5372860A (en) | 1993-07-06 | 1994-12-13 | Corning Incorporated | Silicon device production |
JPH07109573A (ja) | 1993-10-12 | 1995-04-25 | Semiconductor Energy Lab Co Ltd | ガラス基板および加熱処理方法 |
US5796116A (en) | 1994-07-27 | 1998-08-18 | Sharp Kabushiki Kaisha | Thin-film semiconductor device including a semiconductor film with high field-effect mobility |
US6342277B1 (en) | 1996-08-16 | 2002-01-29 | Licensee For Microelectronics: Asm America, Inc. | Sequential chemical vapor deposition |
US5916365A (en) | 1996-08-16 | 1999-06-29 | Sherman; Arthur | Sequential chemical vapor deposition |
US5807792A (en) | 1996-12-18 | 1998-09-15 | Siemens Aktiengesellschaft | Uniform distribution of reactants in a device layer |
US6335280B1 (en) | 1997-01-13 | 2002-01-01 | Asm America, Inc. | Tungsten silicide deposition process |
US6055927A (en) | 1997-01-14 | 2000-05-02 | Applied Komatsu Technology, Inc. | Apparatus and method for white powder reduction in silicon nitride deposition using remote plasma source cleaning technology |
JP2953567B2 (ja) * | 1997-02-06 | 1999-09-27 | 日本電気株式会社 | 半導体装置の製造方法 |
US5849092A (en) | 1997-02-25 | 1998-12-15 | Applied Materials, Inc. | Process for chlorine trifluoride chamber cleaning |
TW417249B (en) | 1997-05-14 | 2001-01-01 | Applied Materials Inc | Reliability barrier integration for cu application |
US6118216A (en) | 1997-06-02 | 2000-09-12 | Osram Sylvania Inc. | Lead and arsenic free borosilicate glass and lamp containing same |
KR100385946B1 (ko) | 1999-12-08 | 2003-06-02 | 삼성전자주식회사 | 원자층 증착법을 이용한 금속층 형성방법 및 그 금속층을장벽금속층, 커패시터의 상부전극, 또는 하부전극으로구비한 반도체 소자 |
US6287965B1 (en) | 1997-07-28 | 2001-09-11 | Samsung Electronics Co, Ltd. | Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor |
KR100269306B1 (ko) | 1997-07-31 | 2000-10-16 | 윤종용 | 저온처리로안정화되는금속산화막으로구성된완충막을구비하는집적회로장치및그제조방법 |
KR100261017B1 (ko) | 1997-08-19 | 2000-08-01 | 윤종용 | 반도체 장치의 금속 배선층을 형성하는 방법 |
US6019838A (en) | 1998-01-05 | 2000-02-01 | Memc Electronic Materials, Inc. | Crystal growing apparatus with melt-doping facility |
US6042654A (en) | 1998-01-13 | 2000-03-28 | Applied Materials, Inc. | Method of cleaning CVD cold-wall chamber and exhaust lines |
TW437017B (en) | 1998-02-05 | 2001-05-28 | Asm Japan Kk | Silicone polymer insulation film on semiconductor substrate and method for formation thereof |
US6383955B1 (en) | 1998-02-05 | 2002-05-07 | Asm Japan K.K. | Silicone polymer insulation film on semiconductor substrate and method for forming the film |
US6514880B2 (en) | 1998-02-05 | 2003-02-04 | Asm Japan K.K. | Siloxan polymer film on semiconductor substrate and method for forming same |
US6159852A (en) | 1998-02-13 | 2000-12-12 | Micron Technology, Inc. | Method of depositing polysilicon, method of fabricating a field effect transistor, method of forming a contact to a substrate, method of forming a capacitor |
US6797558B2 (en) | 2001-04-24 | 2004-09-28 | Micron Technology, Inc. | Methods of forming a capacitor with substantially selective deposite of polysilicon on a substantially crystalline capacitor dielectric layer |
US6232196B1 (en) | 1998-03-06 | 2001-05-15 | Asm America, Inc. | Method of depositing silicon with high step coverage |
US6019839A (en) | 1998-04-17 | 2000-02-01 | Applied Materials, Inc. | Method and apparatus for forming an epitaxial titanium silicide film by low pressure chemical vapor deposition |
JP4214585B2 (ja) | 1998-04-24 | 2009-01-28 | 富士ゼロックス株式会社 | 半導体デバイス、半導体デバイスの製造方法及び製造装置 |
US6025627A (en) | 1998-05-29 | 2000-02-15 | Micron Technology, Inc. | Alternate method and structure for improved floating gate tunneling devices |
FR2779572B1 (fr) | 1998-06-05 | 2003-10-17 | St Microelectronics Sa | Transistor bipolaire vertical a faible bruit et procede de fabrication correspondant |
KR100275738B1 (ko) | 1998-08-07 | 2000-12-15 | 윤종용 | 원자층 증착법을 이용한 박막 제조방법 |
JP4204671B2 (ja) | 1998-09-11 | 2009-01-07 | 三菱電機株式会社 | 半導体装置の製造方法 |
KR100287180B1 (ko) | 1998-09-17 | 2001-04-16 | 윤종용 | 계면 조절층을 이용하여 금속 배선층을 형성하는 반도체 소자의 제조 방법 |
KR100327328B1 (ko) | 1998-10-13 | 2002-05-09 | 윤종용 | 부분적으로다른두께를갖는커패시터의유전막형성방버뵤 |
US6200893B1 (en) | 1999-03-11 | 2001-03-13 | Genus, Inc | Radical-assisted sequential CVD |
US6305314B1 (en) | 1999-03-11 | 2001-10-23 | Genvs, Inc. | Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition |
WO2000063956A1 (fr) | 1999-04-20 | 2000-10-26 | Sony Corporation | Procede et dispositif pour realiser un depot de couches minces, et procede pour la production d'un dispositif a semiconducteur a couches minces |
US20030232554A1 (en) | 1999-05-04 | 2003-12-18 | Blum Ronald D. | Multi-layer tacky and water-absorbing shoe-cleaning product |
US6124158A (en) | 1999-06-08 | 2000-09-26 | Lucent Technologies Inc. | Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants |
US6329088B1 (en) | 1999-06-24 | 2001-12-11 | Advanced Technology Materials, Inc. | Silicon carbide epitaxial layers grown on substrates offcut towards <1{overscore (1)}00> |
KR20010017820A (ko) | 1999-08-14 | 2001-03-05 | 윤종용 | 반도체 소자 및 그 제조방법 |
US6391785B1 (en) | 1999-08-24 | 2002-05-21 | Interuniversitair Microelektronica Centrum (Imec) | Method for bottomless deposition of barrier layers in integrated circuit metallization schemes |
US6511539B1 (en) | 1999-09-08 | 2003-01-28 | Asm America, Inc. | Apparatus and method for growth of a thin film |
US6489241B1 (en) | 1999-09-17 | 2002-12-03 | Applied Materials, Inc. | Apparatus and method for surface finishing a silicon film |
DE10049257B4 (de) | 1999-10-06 | 2015-05-13 | Samsung Electronics Co., Ltd. | Verfahren zur Dünnfilmerzeugung mittels atomarer Schichtdeposition |
FI117942B (fi) | 1999-10-14 | 2007-04-30 | Asm Int | Menetelmä oksidiohutkalvojen kasvattamiseksi |
SG99871A1 (en) | 1999-10-25 | 2003-11-27 | Motorola Inc | Method for fabricating a semiconductor structure including a metal oxide interface with silicon |
FR2801420B1 (fr) | 1999-11-23 | 2002-04-12 | St Microelectronics Sa | Transistor bipolaire vertical a faible bruit basse frequence et gain en courant eleve, et procede de fabrication correspondant |
US6780704B1 (en) | 1999-12-03 | 2004-08-24 | Asm International Nv | Conformal thin films over textured capacitor electrodes |
FI118804B (fi) | 1999-12-03 | 2008-03-31 | Asm Int | Menetelmä oksidikalvojen kasvattamiseksi |
US6291319B1 (en) | 1999-12-17 | 2001-09-18 | Motorola, Inc. | Method for fabricating a semiconductor structure having a stable crystalline interface with silicon |
US6348420B1 (en) | 1999-12-23 | 2002-02-19 | Asm America, Inc. | Situ dielectric stacks |
EP1123991A3 (en) | 2000-02-08 | 2002-11-13 | Asm Japan K.K. | Low dielectric constant materials and processes |
US6492283B2 (en) | 2000-02-22 | 2002-12-10 | Asm Microchemistry Oy | Method of forming ultrathin oxide layer |
WO2001066832A2 (en) | 2000-03-07 | 2001-09-13 | Asm America, Inc. | Graded thin films |
KR100363088B1 (ko) | 2000-04-20 | 2002-12-02 | 삼성전자 주식회사 | 원자층 증착방법을 이용한 장벽 금속막의 제조방법 |
US6630413B2 (en) | 2000-04-28 | 2003-10-07 | Asm Japan K.K. | CVD syntheses of silicon nitride materials |
US6458718B1 (en) | 2000-04-28 | 2002-10-01 | Asm Japan K.K. | Fluorine-containing materials and processes |
EP2293322A1 (en) | 2000-06-08 | 2011-03-09 | Genitech, Inc. | Method for forming a metal nitride layer |
KR100373853B1 (ko) | 2000-08-11 | 2003-02-26 | 삼성전자주식회사 | 반도체소자의 선택적 에피택시얼 성장 방법 |
US6461909B1 (en) | 2000-08-30 | 2002-10-08 | Micron Technology, Inc. | Process for fabricating RuSixOy-containing adhesion layers |
US20020163013A1 (en) | 2000-09-11 | 2002-11-07 | Kenji Toyoda | Heterojunction bipolar transistor |
JP5290488B2 (ja) | 2000-09-28 | 2013-09-18 | プレジデント アンド フェロウズ オブ ハーバード カレッジ | 酸化物、ケイ酸塩及びリン酸塩の気相成長 |
KR100378186B1 (ko) | 2000-10-19 | 2003-03-29 | 삼성전자주식회사 | 원자층 증착법으로 형성된 박막이 채용된 반도체 소자 및그 제조방법 |
US6613695B2 (en) | 2000-11-24 | 2003-09-02 | Asm America, Inc. | Surface preparation prior to deposition |
EP1340269B1 (en) | 2000-11-30 | 2009-02-25 | Asm International N.V. | Thin films for magnetic devices |
KR100385947B1 (ko) | 2000-12-06 | 2003-06-02 | 삼성전자주식회사 | 원자층 증착 방법에 의한 박막 형성 방법 |
KR20020049875A (ko) | 2000-12-20 | 2002-06-26 | 윤종용 | 반도체 메모리 소자의 강유전체 커패시터 및 그 제조방법 |
JP2002198525A (ja) | 2000-12-27 | 2002-07-12 | Toshiba Corp | 半導体装置及びその製造方法 |
KR100393208B1 (ko) | 2001-01-15 | 2003-07-31 | 삼성전자주식회사 | 도핑된 다결정 실리콘-저매니움막을 이용한 반도체 소자및 그 제조방법 |
US6426265B1 (en) | 2001-01-30 | 2002-07-30 | International Business Machines Corporation | Incorporation of carbon in silicon/silicon germanium epitaxial layer to enhance yield for Si-Ge bipolar technology |
US6528374B2 (en) | 2001-02-05 | 2003-03-04 | International Business Machines Corporation | Method for forming dielectric stack without interfacial layer |
US7026219B2 (en) | 2001-02-12 | 2006-04-11 | Asm America, Inc. | Integration of high k gate dielectric |
EP1421607A2 (en) | 2001-02-12 | 2004-05-26 | ASM America, Inc. | Improved process for deposition of semiconductor films |
US20020117399A1 (en) | 2001-02-23 | 2002-08-29 | Applied Materials, Inc. | Atomically thin highly resistive barrier layer in a copper via |
JP3547419B2 (ja) | 2001-03-13 | 2004-07-28 | 株式会社東芝 | 半導体装置及びその製造方法 |
US6812101B2 (en) | 2001-04-02 | 2004-11-02 | Matsushita Electric Industrial Co., Ltd. | Semiconductor device and method for manufacture thereof |
US6576535B2 (en) | 2001-04-11 | 2003-06-10 | Texas Instruments Incorporated | Carbon doped epitaxial layer for high speed CB-CMOS |
JP2002343790A (ja) | 2001-05-21 | 2002-11-29 | Nec Corp | 金属化合物薄膜の気相堆積方法及び半導体装置の製造方法 |
KR20040008193A (ko) | 2001-05-30 | 2004-01-28 | 에이에스엠 아메리카, 인코포레이티드 | 저온 로딩 및 소성 |
US6828218B2 (en) | 2001-05-31 | 2004-12-07 | Samsung Electronics Co., Ltd. | Method of forming a thin film using atomic layer deposition |
KR100430404B1 (ko) * | 2001-06-02 | 2004-05-04 | 삼성전자주식회사 | 구조 선택적 에피택시얼 성장 기술 및 선택적 실리콘 식각기술을 사용한 단결정 실리콘 패턴 형성 방법 |
US6391803B1 (en) | 2001-06-20 | 2002-05-21 | Samsung Electronics Co., Ltd. | Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane |
US6709989B2 (en) | 2001-06-21 | 2004-03-23 | Motorola, Inc. | Method for fabricating a semiconductor structure including a metal oxide interface with silicon |
US6861334B2 (en) | 2001-06-21 | 2005-03-01 | Asm International, N.V. | Method of fabricating trench isolation structures for integrated circuits using atomic layer deposition |
US20030198754A1 (en) | 2001-07-16 | 2003-10-23 | Ming Xi | Aluminum oxide chamber and process |
US20030066486A1 (en) | 2001-08-30 | 2003-04-10 | Applied Materials, Inc. | Microwave heat shield for plasma chamber |
US6806145B2 (en) | 2001-08-31 | 2004-10-19 | Asm International, N.V. | Low temperature method of forming a gate stack with a high k layer deposited over an interfacial oxide layer |
US6960537B2 (en) | 2001-10-02 | 2005-11-01 | Asm America, Inc. | Incorporation of nitrogen into high k dielectric film |
US20030072884A1 (en) | 2001-10-15 | 2003-04-17 | Applied Materials, Inc. | Method of titanium and titanium nitride layer deposition |
US6916398B2 (en) | 2001-10-26 | 2005-07-12 | Applied Materials, Inc. | Gas delivery apparatus and method for atomic layer deposition |
KR20030035152A (ko) | 2001-10-30 | 2003-05-09 | 주식회사 하이닉스반도체 | 반도체웨이퍼 제조방법 |
US6743681B2 (en) | 2001-11-09 | 2004-06-01 | Micron Technology, Inc. | Methods of Fabricating Gate and Storage Dielectric Stacks having Silicon-Rich-Nitride |
US6590344B2 (en) | 2001-11-20 | 2003-07-08 | Taiwan Semiconductor Manufacturing Co., Ltd. | Selectively controllable gas feed zones for a plasma reactor |
US6551893B1 (en) | 2001-11-27 | 2003-04-22 | Micron Technology, Inc. | Atomic layer deposition of capacitor dielectric |
US6773507B2 (en) | 2001-12-06 | 2004-08-10 | Applied Materials, Inc. | Apparatus and method for fast-cycle atomic layer deposition |
US7081271B2 (en) | 2001-12-07 | 2006-07-25 | Applied Materials, Inc. | Cyclical deposition of refractory metal silicon nitride |
US6696332B2 (en) | 2001-12-26 | 2004-02-24 | Texas Instruments Incorporated | Bilayer deposition to avoid unwanted interfacial reactions during high K gate dielectric processing |
US6790755B2 (en) | 2001-12-27 | 2004-09-14 | Advanced Micro Devices, Inc. | Preparation of stack high-K gate dielectrics with nitrided layer |
US6620670B2 (en) | 2002-01-18 | 2003-09-16 | Applied Materials, Inc. | Process conditions and precursors for atomic layer deposition (ALD) of AL2O3 |
US7175713B2 (en) | 2002-01-25 | 2007-02-13 | Applied Materials, Inc. | Apparatus for cyclical deposition of thin films |
US6911391B2 (en) | 2002-01-26 | 2005-06-28 | Applied Materials, Inc. | Integration of titanium and titanium nitride layers |
JP3914064B2 (ja) | 2002-02-28 | 2007-05-16 | 富士通株式会社 | 混晶膜の成長方法及び装置 |
US20030216981A1 (en) | 2002-03-12 | 2003-11-20 | Michael Tillman | Method and system for hosting centralized online point-of-sale activities for a plurality of distributed customers and vendors |
US6825134B2 (en) | 2002-03-26 | 2004-11-30 | Applied Materials, Inc. | Deposition of film layers by alternately pulsing a precursor and high frequency power in a continuous gas flow |
JP3937892B2 (ja) | 2002-04-01 | 2007-06-27 | 日本電気株式会社 | 薄膜形成方法および半導体装置の製造方法 |
US7439191B2 (en) | 2002-04-05 | 2008-10-21 | Applied Materials, Inc. | Deposition of silicon layers for active matrix liquid crystal display (AMLCD) applications |
US6846516B2 (en) | 2002-04-08 | 2005-01-25 | Applied Materials, Inc. | Multiple precursor cyclical deposition system |
US6720027B2 (en) | 2002-04-08 | 2004-04-13 | Applied Materials, Inc. | Cyclical deposition of a variable content titanium silicon nitride layer |
US6869838B2 (en) | 2002-04-09 | 2005-03-22 | Applied Materials, Inc. | Deposition of passivation layers for active matrix liquid crystal display (AMLCD) applications |
US20030235961A1 (en) | 2002-04-17 | 2003-12-25 | Applied Materials, Inc. | Cyclical sequential deposition of multicomponent films |
US20030215570A1 (en) | 2002-05-16 | 2003-11-20 | Applied Materials, Inc. | Deposition of silicon nitride |
US20030213560A1 (en) | 2002-05-16 | 2003-11-20 | Yaxin Wang | Tandem wafer processing system and process |
US6716719B2 (en) | 2002-05-29 | 2004-04-06 | Micron Technology, Inc. | Method of forming biasable isolation regions using epitaxially grown silicon between the isolation regions |
US7105891B2 (en) | 2002-07-15 | 2006-09-12 | Texas Instruments Incorporated | Gate structure and method |
US6723658B2 (en) | 2002-07-15 | 2004-04-20 | Texas Instruments Incorporated | Gate structure and method |
US7449385B2 (en) | 2002-07-26 | 2008-11-11 | Texas Instruments Incorporated | Gate dielectric and method |
US6919251B2 (en) | 2002-07-31 | 2005-07-19 | Texas Instruments Incorporated | Gate dielectric and method |
US7186630B2 (en) | 2002-08-14 | 2007-03-06 | Asm America, Inc. | Deposition of amorphous silicon-containing films |
KR100542736B1 (ko) | 2002-08-17 | 2006-01-11 | 삼성전자주식회사 | 원자층 증착법을 이용한 산화막의 형성방법 및 이를이용한 반도체 장치의 캐패시터 형성방법 |
US7199023B2 (en) | 2002-08-28 | 2007-04-03 | Micron Technology, Inc. | Atomic layer deposited HfSiON dielectric films wherein each precursor is independendently pulsed |
US6759286B2 (en) | 2002-09-16 | 2004-07-06 | Ajay Kumar | Method of fabricating a gate structure of a field effect transistor using a hard mask |
US6998305B2 (en) | 2003-01-24 | 2006-02-14 | Asm America, Inc. | Enhanced selectivity for epitaxial deposition |
US7098141B1 (en) * | 2003-03-03 | 2006-08-29 | Lam Research Corporation | Use of silicon containing gas for CD and profile feature enhancements of gate and shallow trench structures |
JP3872027B2 (ja) | 2003-03-07 | 2007-01-24 | 株式会社東芝 | クリーニング方法及び半導体製造装置 |
US20040226911A1 (en) | 2003-04-24 | 2004-11-18 | David Dutton | Low-temperature etching environment |
CN100454200C (zh) | 2003-06-09 | 2009-01-21 | 喜开理株式会社 | 相对压力控制系统和相对流量控制系统 |
US6982433B2 (en) | 2003-06-12 | 2006-01-03 | Intel Corporation | Gate-induced strain for MOS performance improvement |
EP1519420A2 (en) | 2003-09-25 | 2005-03-30 | Interuniversitaire Microelectronica Centrum vzw ( IMEC) | Multiple gate semiconductor device and method for forming same |
JP2005167064A (ja) | 2003-12-04 | 2005-06-23 | Sharp Corp | 不揮発性半導体記憶装置 |
US6987055B2 (en) * | 2004-01-09 | 2006-01-17 | Micron Technology, Inc. | Methods for deposition of semiconductor material |
US7045432B2 (en) | 2004-02-04 | 2006-05-16 | Freescale Semiconductor, Inc. | Method for forming a semiconductor device with local semiconductor-on-insulator (SOI) |
US7071117B2 (en) | 2004-02-27 | 2006-07-04 | Micron Technology, Inc. | Semiconductor devices and methods for depositing a dielectric film |
US7230274B2 (en) * | 2004-03-01 | 2007-06-12 | Cree, Inc | Reduction of carrot defects in silicon carbide epitaxy |
KR100532509B1 (ko) | 2004-03-26 | 2005-11-30 | 삼성전자주식회사 | SiGe를 이용한 트렌치 커패시터 및 그 형성방법 |
US20050241671A1 (en) | 2004-04-29 | 2005-11-03 | Dong Chun C | Method for removing a substance from a substrate using electron attachment |
KR100625175B1 (ko) | 2004-05-25 | 2006-09-20 | 삼성전자주식회사 | 채널층을 갖는 반도체 장치 및 이를 제조하는 방법 |
US7579280B2 (en) | 2004-06-01 | 2009-08-25 | Intel Corporation | Method of patterning a film |
US7396743B2 (en) * | 2004-06-10 | 2008-07-08 | Singh Kaushal K | Low temperature epitaxial growth of silicon-containing films using UV radiation |
US7361563B2 (en) | 2004-06-17 | 2008-04-22 | Samsung Electronics Co., Ltd. | Methods of fabricating a semiconductor device using a selective epitaxial growth technique |
KR100607409B1 (ko) | 2004-08-23 | 2006-08-02 | 삼성전자주식회사 | 기판 식각 방법 및 이를 이용한 반도체 장치 제조 방법 |
US7560322B2 (en) | 2004-10-27 | 2009-07-14 | Northrop Grumman Systems Corporation | Method of making a semiconductor structure for high power semiconductor devices |
US7682940B2 (en) | 2004-12-01 | 2010-03-23 | Applied Materials, Inc. | Use of Cl2 and/or HCl during silicon epitaxial film formation |
US7560352B2 (en) | 2004-12-01 | 2009-07-14 | Applied Materials, Inc. | Selective deposition |
US7312128B2 (en) | 2004-12-01 | 2007-12-25 | Applied Materials, Inc. | Selective epitaxy process with alternating gas supply |
US7235492B2 (en) | 2005-01-31 | 2007-06-26 | Applied Materials, Inc. | Low temperature etchant for treatment of silicon-containing surfaces |
US7438760B2 (en) | 2005-02-04 | 2008-10-21 | Asm America, Inc. | Methods of making substitutionally carbon-doped crystalline Si-containing materials by chemical vapor deposition |
JP4832022B2 (ja) * | 2005-07-29 | 2011-12-07 | 株式会社日立国際電気 | 基板処理装置 |
KR101038843B1 (ko) | 2005-10-05 | 2011-06-03 | 어플라이드 머티어리얼스, 인코포레이티드 | 에피택셜막 형성 방법 및 장치 |
WO2007112058A2 (en) | 2006-03-24 | 2007-10-04 | Applied Materials, Inc. | Carbon precursors for use during silicon epitaxial firm formation |
US7674337B2 (en) | 2006-04-07 | 2010-03-09 | Applied Materials, Inc. | Gas manifolds for use during epitaxial film formation |
KR101074186B1 (ko) | 2006-04-07 | 2011-10-14 | 어플라이드 머티어리얼스, 인코포레이티드 | 에피택셜 필름 형성을 위한 클러스터 툴 |
DE112007001813T5 (de) | 2006-07-31 | 2009-07-09 | Applied Materials, Inc., Santa Clara | Verfahren zum Steuern der Morphologie während der Bildung einer epitaktischen Schicht |
KR101160930B1 (ko) | 2006-07-31 | 2012-06-29 | 어플라이드 머티어리얼스, 인코포레이티드 | 카본-함유 실리콘 에피택셜 층을 형성하는 방법 |
-
2005
- 2005-09-14 US US11/227,974 patent/US7682940B2/en active Active
- 2005-11-30 EP EP05852606A patent/EP1829089A2/en not_active Withdrawn
- 2005-11-30 JP JP2007544499A patent/JP4918043B2/ja not_active Expired - Fee Related
- 2005-11-30 WO PCT/US2005/043420 patent/WO2006060543A2/en active Application Filing
- 2005-11-30 CN CN2011100794676A patent/CN102176411B/zh active Active
- 2005-11-30 KR KR1020077013924A patent/KR101181040B1/ko active IP Right Grant
- 2005-12-01 TW TW094142363A patent/TWI327749B/zh active
-
2006
- 2006-07-28 US US11/494,903 patent/US7732305B2/en active Active
-
2010
- 2010-05-12 US US12/779,022 patent/US7960256B2/en active Active
-
2011
- 2011-05-31 US US13/149,865 patent/US8586456B2/en active Active
Patent Citations (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH0992621A (ja) * | 1995-09-28 | 1997-04-04 | Oki Electric Ind Co Ltd | 半導体薄膜の選択成長方法 |
Cited By (11)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2013070055A (ja) * | 2006-12-12 | 2013-04-18 | Applied Materials Inc | シリコンと炭素を含有するインサイチュリンドープエピタキシャル層の形成 |
WO2010035409A1 (ja) * | 2008-09-26 | 2010-04-01 | 信越半導体株式会社 | シリコンエピタキシャルウェーハの製造方法 |
JP5273150B2 (ja) * | 2008-09-26 | 2013-08-28 | 信越半導体株式会社 | シリコンエピタキシャルウェーハの製造方法 |
WO2010067517A1 (ja) * | 2008-12-11 | 2010-06-17 | 株式会社日立国際電気 | 半導体装置の製造方法 |
JP2010171101A (ja) * | 2009-01-21 | 2010-08-05 | Hitachi Kokusai Electric Inc | 半導体装置の製造方法及び基板処理装置 |
JP2013546169A (ja) * | 2010-10-06 | 2013-12-26 | アプライド マテリアルズ インコーポレイテッド | 3dメモリに適用するpecvd酸化物−窒化物スタック及び酸化物−シリコンスタック |
JP2015230998A (ja) * | 2014-06-06 | 2015-12-21 | ローム株式会社 | SiCエピタキシャルウェハ、SiCエピタキシャルウェハの製造装置、SiCエピタキシャルウェハの製造方法、および半導体装置 |
JPWO2016067918A1 (ja) * | 2014-10-31 | 2017-07-20 | 富士電機株式会社 | 炭化珪素エピタキシャル膜の成長方法 |
US10096470B2 (en) | 2014-10-31 | 2018-10-09 | Fuji Electric Co., Ltd. | Method of producing a silicon carbide single-crystal substrate by epitaxial growth of a SiC epitaxial film on a SiC substrate |
JP2018026494A (ja) * | 2016-08-12 | 2018-02-15 | 株式会社アルバック | 成膜方法及び成膜装置 |
JP2019537840A (ja) * | 2016-11-03 | 2019-12-26 | ユ−ジーン テクノロジー カンパニー.リミテッド | 低温エピタキシャル層の形成方法 |
Also Published As
Publication number | Publication date |
---|---|
US20100221902A1 (en) | 2010-09-02 |
CN102176411A (zh) | 2011-09-07 |
US7732305B2 (en) | 2010-06-08 |
KR20070086438A (ko) | 2007-08-27 |
CN102176411B (zh) | 2013-02-13 |
WO2006060543A3 (en) | 2006-08-31 |
KR101181040B1 (ko) | 2012-09-07 |
JP4918043B2 (ja) | 2012-04-18 |
TWI327749B (en) | 2010-07-21 |
US8586456B2 (en) | 2013-11-19 |
US7682940B2 (en) | 2010-03-23 |
US20060115933A1 (en) | 2006-06-01 |
TW200629377A (en) | 2006-08-16 |
US7960256B2 (en) | 2011-06-14 |
US20110230036A1 (en) | 2011-09-22 |
EP1829089A2 (en) | 2007-09-05 |
WO2006060543A2 (en) | 2006-06-08 |
US20060260538A1 (en) | 2006-11-23 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP4918043B2 (ja) | シリコンエピタキシャル膜形成方法 | |
US7598178B2 (en) | Carbon precursors for use during silicon epitaxial film formation | |
JP5303148B2 (ja) | 交互ガス供給による選択的エピタキシープロセス | |
US7560352B2 (en) | Selective deposition | |
US7517775B2 (en) | Methods of selective deposition of heavily doped epitaxial SiGe | |
KR100941545B1 (ko) | 선택적 에피택시 공정의 제어 | |
US20070082451A1 (en) | Methods to fabricate mosfet devices using a selective deposition process |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20080905 |
|
RD03 | Notification of appointment of power of attorney |
Free format text: JAPANESE INTERMEDIATE CODE: A7423 Effective date: 20101130 |
|
RD04 | Notification of resignation of power of attorney |
Free format text: JAPANESE INTERMEDIATE CODE: A7424 Effective date: 20101210 |
|
A977 | Report on retrieval |
Free format text: JAPANESE INTERMEDIATE CODE: A971007 Effective date: 20110608 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20110705 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20110930 |
|
A602 | Written permission of extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20111007 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20111104 |
|
A602 | Written permission of extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20111111 |
|
A521 | Written amendment |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20111130 |
|
TRDD | Decision of grant or rejection written | ||
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 Effective date: 20120117 |
|
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 |
|
A61 | First payment of annual fees (during grant procedure) |
Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20120127 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20150203 Year of fee payment: 3 |
|
R150 | Certificate of patent or registration of utility model |
Free format text: JAPANESE INTERMEDIATE CODE: R150 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
LAPS | Cancellation because of no payment of annual fees |