JP2008522442A - シリコンエピタキシャル膜形成時のCl2および/またはHClの使用 - Google Patents

シリコンエピタキシャル膜形成時のCl2および/またはHClの使用 Download PDF

Info

Publication number
JP2008522442A
JP2008522442A JP2007544499A JP2007544499A JP2008522442A JP 2008522442 A JP2008522442 A JP 2008522442A JP 2007544499 A JP2007544499 A JP 2007544499A JP 2007544499 A JP2007544499 A JP 2007544499A JP 2008522442 A JP2008522442 A JP 2008522442A
Authority
JP
Japan
Prior art keywords
substrate
silicon
source
epitaxial film
carbon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2007544499A
Other languages
English (en)
Other versions
JP4918043B2 (ja
Inventor
チューアン イー,
イーワン キム,
シャオウェイ リー,
アリ ゾジャジ,
ニコラス, シー. ダリダ,
ジンソン タン,
シャオ チェン,
アルカディ, ヴィ. サモイロフ,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US11/001,774 external-priority patent/US7312128B2/en
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2008522442A publication Critical patent/JP2008522442A/ja
Application granted granted Critical
Publication of JP4918043B2 publication Critical patent/JP4918043B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • H01L21/02639Preparation of substrate for selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02441Group 14 semiconducting materials
    • H01L21/02447Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02441Group 14 semiconducting materials
    • H01L21/0245Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02529Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02576N-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02579P-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

第1の態様では、基板にエピタキシャル膜を形成するための第1の方法が提供される。該第1の方法は(a)基板を提供するステップと、(b)該基板の少なくとも一部にエピタキシャル膜を形成するために少なくともシリコンソースに該基板を暴露するステップと、(c)ステップ(b)中に形成された該エピタキシャル膜および他の膜をエッチングするためにHClおよびClに該基板を暴露するステップとを含む。多数の他の態様が提供される。
【選択図】 図5

Description

出願の相互参照
[0001]本出願は、2004年12月1日に出願された米国特許出願第11/001,774号(Docket第9618号)の一部継続出願であり、かつこの優先権を特許請求する、2005年9月14日に出願された米国特許出願第11/227,974号の優先権を主張するものである。上記出願の各々は、その全体が参照として本明細書に組み込まれている。
発明の分野
[0002]本発明の実施形態は概して電子製造プロセスおよびデバイスの分野、特に電子デバイスを形成する際にシリコン含有膜を堆積する方法に関する。
発明の背景
[0003]より小型のトランジスタが製造されるにつれて、超浅型ソース/ドレイン接合の生成がより手腕を問われるものになりつつある。概して、サブ100nm CMOS(相補型金属酸化膜半導体)デバイスは接合深さが30nm未満である必要がある。選択エピタキシャル堆積はしばしば、シリコン含有材料(例えば、Si、SiGeおよびSiC)のエピ層を接合に形成するために利用される。概して、選択エピタキシャル堆積は、誘電エリアでの成長なくシリコン堀(moats)におけるエピ層の成長を可能にする。選択エピタキシは、エレベーテッドソース/ドレイン、ソース/ドレイン延長部、コンタクトプラグ、あるいはバイポーラデバイスのベース層堆積などの半導体デバイス内で使用可能である。
[0004]概して、選択エピタキシプロセスは堆積反応およびエッチング反応を伴う。堆積およびエッチング反応は、エピタキシャル層および多結晶層に対して相対的に異なる反応レートで同時に生じる。堆積プロセス中、エピタキシャル層は単結晶表面に形成されるのに対して、多結晶層は、既存の多結晶層および/またはアモルファス層などの少なくとも第2の層に堆積される。しかしながら、堆積された多結晶層は概して、エピタキシャル層よりも速いレートでエッチングされる。従って、エッチングガスの濃度を変更することによって、正味の選択プロセスはエピタキシ材料の堆積および多結晶材料の制限的な堆積をもたらすか、あるいは多結晶材料の堆積を全くもたらさない。例えば、選択エピタキシプロセスは単結晶シリコン表面にシリコン含有材料のエピ層の形成をもたらすことがあるのに対して、堆積はスペーサに残らない。
[0005]シリコン含有材料の選択エピタキシ堆積は、エレベーテッドソース/ドレインおよびソース/ドレイン延長部部材の形成時、例えばシリコン含有MOSFET(金属酸化膜半導体電界効果トランジスタ)デバイスの形成時に有用な技術になった。ソース/ドレイン延長部部材は、シリコン表面をエッチングして凹型ソース/ドレイン部材を形成してから、引き続きエッチングされた表面を、シリコンゲルマニウム(SiGe)材料などの選択成長エピ層で充填することによって製造される。選択エピタキシはイン・シトゥドーピングによるほぼ完全なドーパント活性を可能にするため、事後アニーリングプロセスが省略される。従って、接合深さは、シリコンエッチングおよび選択エピタキシによって正確に定義可能である。他方、超浅型ソース/ドレイン接合は必然的に直列抵抗の増加をもたらす。また、シリサイド形成時の接合消費はこの直列抵抗をさらに増加させる。接合消費を補償するために、エレベーテッドソース/ドレインは接合上にエピタキシャルかつ選択的に成長される。通常、エレベーテッドソース/ドレイン層は非ドープシリコンである。
[0006]しかしながら、現在の選択エピタキシプロセスはいくつかの欠点を有している。現在のエピタキシプロセス時の選択率を維持するために、前駆体の化学的濃度ならびに反応温度が堆積プロセスを通して調節および調整されなければならない。十分でないシリコン前駆体が施される場合、エッチング反応が支配的になる場合があり、プロセス全体がスローダウンする。また、基板部材のエッチングに対して有害な事柄が生じる場合がある。十分でないエッチャント前駆体が施されると、堆積反応が支配的になり、選択率を低下させて、基板表面にわたって単結晶および多結晶材料を形成することもある。また、現在の選択エピタキシプロセスは普通、約800℃、1,000℃またはこれ以上の高い反応温度を必要とする。このような高温は、基板表面へのサーマルバジェット関連事項および生じ得る非制御窒化反応ゆえに製作プロセス時には望ましくない。
[0007]従って、任意のドーパントによってシリコンおよびシリコン含有化合物を選択的かつエピタキシャルに堆積するためのプロセスを有する必要がある。さらに、このプロセスは、高速堆積レートを有し、かつ約800℃以下、好ましくは約700℃以下のプロセス温度を維持する一方で、様々な元素濃度のシリコン含有化合物を形成するために多用途でなければならない。
発明の概要
[0008]本発明の第1の態様において、基板にエピタキシャル膜を形成するための第1の方法が提供される。該第1の方法は、(a)基板を提供するステップと、(b)該基板の少なくとも一部にエピタキシャル膜を形成するために該基板を少なくともシリコンソースに暴露するステップと、(c)ステップ(b)中に形成された該エピタキシャル膜および任意の他の膜をエッチングするために該基板をHClおよびClに暴露するステップとを含んでいる。
[0009]本発明の第2の態様において、基板にエピタキシャル膜を形成するための第2の方法が提供される。該第2の方法は、(a)基板を提供するステップと、(b)炭素含有シリコンエピタキシャル膜を形成するために該基板をシリコンソースおよび炭素ソースに暴露するステップと、(c)該炭素含有シリコンエピタキシャル膜をカプセル化膜によってカプセル化するステップと、(d)該カプセル化膜をエッチングするために該基板をClに暴露するステップとを含んでいる。
[0010]本発明の第3の態様において、基板にエピタキシャル膜を形成するための第3の方法が提供される。該第3の方法は、(a)基板を提供するステップと、(b)追加元素含有シリコンエピタキシャル膜を形成するためにシリコンソースおよび追加元素ソースに該基板を暴露するステップと、(c)該追加元素含有シリコンエピタキシャル膜をカプセル化膜でカプセル化するステップと、(d)該カプセル化膜をエッチングするために該基板をClに暴露するステップとを含んでいる。本発明のこれらおよび他の実施形態に従って多数の他の態様が提供される。
[0011]本発明の他の特徴および態様は以下の詳細な説明、添付の請求項および添付の図面からより完全に明らかになるであろう。
詳細な説明
[0012]本発明の上記引用された特徴が詳細に理解されるように、上記簡潔に要約された本発明のより具体的な説明が実施形態を参照してなされてもよく、この一部が添付の図面に図示されている。しかしながら、添付の図面は本発明の通常の実施形態のみを図示しており、また本発明は他の等しく効果的な実施形態を認めてもよいため、この範囲を制限するものとみなされるべきではない点に注目する。
[0022]シリコンエピタキシャル膜への炭素の導入は、金属酸化膜半導体電界効果トランジスタ(MOSFET)のチャネルの電気特徴の改良などの有益な電気特性を生成することがある。しかしながら、このような有益な電気特性は概して、炭素が間接的ではなく実質的にシリコン格子内に組み込まれる場合に達成される。摂氏約600度以下の基板処理温度で、多くの炭素原子は実質的に、エピタキシャル形成プロセス中にシリコン格子に組み込まれる。例えば摂氏約700度以上のより高い基板温度において、かなりの格子炭素組み込みが生じることがある。このために、炭素含有シリコンエピタキシャル膜を形成する場合に、摂氏約700度未満の基板温度、より好ましくは摂氏約600度未満の基板温度を用いることが望ましい。
[0023]従来のシリコンエピタキシャル膜形成プロセスはH、HCl、およびジクロロシランなどのシリコンソースを用い、摂氏約700度より高い基板温度で(例えば、HClおよび/またはシリコンソースを解離するために)実行される。エピタキシャル膜形成温度を低下させるための一アプローチは、Clはより低い温度(例えば、摂氏約600度以下)で効率的に解離するため、HClの代わりにClを用いることである。水素とClの不和合性ゆえに、窒素などの、水素以外のキャリアガスはClと共に用いられてもよい。同様に、より低い解離温度を有するシリコンソースが用いられてもよい(例えば、シラン、ジシランなど)。
[0024]本発明者らは、シリコンエピタキシャル膜形成プロセスのエッチャントガスとしてClを使用することは、得られるシリコンエピタキシャル膜の表面形態の不良につながる場合があることを発見した。任意の具体的な理論に縛られたくはないが、Clはシリコンエピタキシャル膜表面を過度に攻撃して、孔食を生成する場合があると思われる。Clの使用は、シリコンエピタキシャル膜が炭素を含有する場合にとりわけ問題であると思われてきた。
[0025]本発明は、エピタキシャル膜表面形態を改良可能なシリコンエピタキシャル膜形成プロセス中にClをエッチャントガスとして用いるための方法を提供する。本発明の方法は例えば、図1〜4を参照して以下に説明され、かつ2004年12月1日に出願された米国特許出願第11/001,774号(Docket第9618号)の特許出願における代替ガスサプライ(AGS)プロセスと併用されてもよい。
[0026]図5を参照して以下に説明される一部の実施形態では、ClおよびHClの両方がシリコンエピタキシャル膜形成プロセスのエッチング段階で用いられる。HClの存在は、HClがほとんど解離しない場合があるより低い基板温度(例えば、摂氏約600度以下)でも、Clの攻撃性を低下させると思われる。さらに、AGSプロセス中、HClは、図6を参照して以下に説明されるような(例えば、表面形態を改良するための)プロセスの堆積およびエッチング段階に連続的に流されることがある。
[0027]上記のように、Clと炭素含有シリコンエピタキシャル膜の併用は、不良な表面形態(例えば、孔食)を有するエピタキシャル膜を生成することがある。図7を参照して以下に説明される一部の実施形態では、任意の炭素含有シリコンエピタキシャル膜が、エッチング段階でClに暴露される前に「カプセル化」されることがある。炭素含有シリコンエピタキシャル膜は、例えば、図8を参照して以下に説明されるように、炭素ソースなしで形成されたシリコンエピタキシャル膜(「非炭素含有シリコンエピタキシャル膜」)を介してカプセル化されてもよい。本発明のこれらおよび他の実施形態について以下さらに説明する。
代替ガスサプライエピタキシャル膜形成プロセス
[0028]2004年12月1日に出願された米国特許出願第11/001,774号の特許出願(Docket第9618号)は概して、電子デバイスの製作時に基板の単結晶表面にシリコン含有材料を選択的かつエピタキシャルに堆積するためのプロセスを提供する。単結晶表面(例えば、シリコンやシリコンゲルマニウム)、およびアモルファス表面および/または多結晶表面(例えば、酸化物や窒化物)などの少なくとも1つの二次表面を含有するパターン化基板は、二次表面に制限された多結晶層を形成するか全く多結晶層を形成しない一方で単結晶表面にエピタキシャル層を形成するためにエピタキシャルプロセスに暴露される。これもまた代替ガスサプライ(AGS)プロセスと称されるエピタキシャルプロセスは、所望の厚さのエピタキシャル層が成長されるまで、1サイクルの堆積プロセスおよびエッチングプロセスを反復するステップを含んでいる。
[0029]堆積プロセスは、基板表面を、少なくともシリコンソースおよびキャリアガスを含有する堆積ガスに暴露するステップを含んでいる。堆積ガスはまた、ゲルマニウムソースまたは炭素ソースならびにドーパントソースを含んでもよい。堆積プロセス中に、エピタキシャル層が基板の単結晶表面に形成されるのに対して、多結晶層が、アモルファスおよび/または多結晶表面などの二次表面に形成される。引き続き、基板はエッチングガスに暴露される。エッチングガスは、キャリアガス、および塩素ガスや塩化水素などのエッチャントを含んでいる。エッチングガスは、堆積プロセス中に堆積されたシリコン含有材料を除去する。エッチングプロセス中、多結晶層はエピタキシャル層よりも速いレートで除去される。従って、堆積およびエッチングプロセスの正味結果はエピタキシャル成長されたシリコン含有材料を単結晶表面に形成するのに対して、もしあれば多結晶シリコン含有材料の二次表面での成長を最小化する。1サイクルの堆積およびエッチングプロセスは、所望の厚さのシリコン含有材料を取得するために必要ならば反復されてもよい。本発明の実施形態によって堆積可能なシリコン含有材料はシリコン、シリコンゲルマニウム、シリコン炭素、シリコンゲルマニウム炭素、およびこれらのドーパント変形例を含んでいる。
[0030]AGSプロセスの一例において、塩素ガスをエッチャントとして使用することがプロセス温度全体を約800℃未満に下げる。一般的に、エッチャントはしばしば、活性化されるのに高温を必要とするため、堆積プロセスはエッチング反応よりも低い温度で遂行される。例えば、シランは約500℃以下でシリコンを堆積するために熱分解されることがあるのに対して、塩化水素は、有効エッチャントとして作用するために約700℃以上の活性温度を必要とする。従って、塩化水素がAGSプロセス中に使用される場合、プロセス温度全体が、エッチャントを活性化するのに必要とされるより高い温度によって決定付けられる(dictated)。塩素は、必要なプロセス温度全体を低下させることによって、AGAプロセス全体に寄与する。塩素は約500℃の低温で活性化されることがある。従って、塩素をエッチャントとしてAGSプロセスに組み込むことによって、AGSプロセス温度全体が、塩化水素をエッチャントとして使用するプロセスに対してかなり、例えば200℃〜300℃低下されることがある。また、塩素は、塩化水素よりも速くシリコン含有材料をエッチングする。従って、塩素エッチャントはAGSプロセスのレート全体を増加させる。
[0031]AGSプロセスの別の例において、窒素などの不活性ガスが、水素などの従来のキャリアガスの代わりに堆積およびエッチングプロセス中にキャリアガスとして使用される。不活性キャリアガスの使用はAGSプロセス中に複数の属性を有している。1つは、不活性キャリアガスは、シリコン含有材料の堆積レートを増加させることがあるという点である。水素が堆積プロセス中にキャリアガスとして使用されてもよいが、水素は、水素終端表面を形成するために基板を吸収、またはこれと反応する傾向を有する。水素終端表面は、裸のシリコン表面よりもかなりゆっくりとエピタキシャル成長に対して反応する。従って、不活性キャリアガスの使用は、堆積反応に悪影響を与えないことによって堆積レートを増加させる。
[0032]アルゴンやヘリウムなどの希ガスは不活性キャリアガスとして使用されてもよいが、窒素は経済的に好ましい不活性キャリアガスである。窒素は概して、水素、アルゴンまたはヘリウムほど高価ではない。窒素をキャリアガスとして使用することから生じ得る欠点は、堆積プロセス中の基板上の材料の窒化である。しかしながら、例えば800℃を超える高温が、このように窒素を活性化するために必要とされる。従って、窒素は好都合なことに、窒素活性化閾値未満の温度で遂行されるAGSプロセスにおいて不活性キャリアガスとして使用される。塩素をエッチャントとして、窒素をキャリアガスとして使用することの結合効果は、AGSプロセス全体のレートを大きく増加させる。
[0033]出願を通して、用語「シリコン含有」材料、化合物、膜または層は少なくともシリコンを含有する組成を含むと解釈されるべきであり、またゲルマニウム、炭素、ホウ素、ヒ素、リン、ガリウムおよび/またはアルミニウムを含有してもよい。金属、ハロゲン類または水素などの他の元素は、普通100万分の1(ppm)濃度でシリコン含有材料、化合物、膜または層内に組み込まれてもよい。シリコン含有材料の化合物や合金は、例えばシリコンをSi、シリコンゲルマニウムをSiGe、シリコン炭素をSiC、シリコンゲルマニウム炭素をSiGeCと省略して表されてもよい。これらの省略は、シリコン含有材料の化学量論的関係や任意の具体的な還元/酸化状態による化学式を表してはいない。
[0034]図1は、シリコン含有層を堆積するために使用されるエピタキシャルプロセス100の一例を図示している。プロセス100は、パターン化基板をプロセスチャンバにロードして、プロセスチャンバ内の条件を所望の温度および圧力に調整するためのステップ110を含んでいる。ステップ120は、基板のアモルファスおよび/または多結晶表面に多結晶層を形成する一方で基板の単結晶表面にエピタキシャル層を形成するための堆積プロセスを提供する。ステップ130時に堆積プロセスが終了される。ステップ140は、基板の表面をエッチングするためのエッチングプロセスを提供する。好ましくは、多結晶層はエピタキシャル層よりも速いレートでエッチングされる。エッチングステップは、エピタキシャル層のマージン部分のみを除去する一方で多結晶層を最小化するか、これを完全に除去する。ステップ150時に、エッチングプロセスは終了される。エピタキシャル層および多結晶層の厚さは、もしあれば、ステップ160時に判断される。エピタキシャル層または多結晶層の所定の厚さが達成される場合、エピタキシャルプロセス100はステップ170で終了される。しかしながら、所定の厚さが達成されない場合、所定の厚さが達成されるまでステップ120〜160が1サイクルとして反復される。
[0035]パターン化基板はステップ110中にプロセスチャンバにロードされる。パターン化基板は、基板表面中に、またはこの上に形成された電子部材を含む基板である。パターン化基板は普通、単結晶表面と、多結晶またはアモルファス表面などの非単結晶である少なくとも1つの二次表面とを含有する。単結晶表面は裸の結晶基板、あるいは、普通シリコン、シリコンゲルマニウムまたはシリコン炭素などの材料からなる堆積された単一の結晶層を含んでいる。多結晶またはアモルファス表面は、酸化物や窒化物、具体的にはシリコン酸化物やシリコン窒化物、ならびにアモルファスシリコン表面などの誘電材料を含んでもよい。
[0036]エピタキシャルプロセス100は、パターン化基板を含有するプロセスチャンバをステップ110中に所定の温度および圧力に調整することによって開始する。温度は具体的な遂行プロセスに合わせられる。概して、プロセスチャンバはエピタキシャルプロセス100を通して一貫した温度に維持される。しかしながら、一部のステップは様々な温度で実行されてもよい。プロセスチャンバは約250℃〜約1,000℃、好ましくは約500℃〜約800℃、より好ましくは約550℃〜約750℃の範囲の温度に保たれる。エピタキシャルプロセス100を遂行するための適切な温度は、ステップ120および140時にシリコン含有材料を堆積および/またはエッチングするために使用される具体的な前駆体に左右されることがある。一例では、塩素(Cl)ガスが、より共通のエッチャントを使用するプロセスよりも低い温度でシリコン含有材料のエッチャントとして例外的にうまく作用することが分かっている。従って、一例では、プロセスチャンバを事前加熱するための好ましい温度は約750℃以下、好ましくは650℃以下、より好ましくは約550℃以下である。プロセスチャンバは普通、約0.1トール〜約200トール、好ましくは約1トール〜約50トールの圧力に維持される。圧力はプロセスステップ110〜160の際にばらつきを生じることがあるが、概して一定に維持される。
[0037]堆積プロセスはステップ120で遂行される。パターン化基板は堆積ガスに暴露されて単結晶表面にエピタキシャル層を形成する一方で、多結晶層を二次表面に形成する。基板は約0.5秒〜約30秒、好ましくは約1秒〜約20秒、より好ましくは約5秒〜約10秒の一定期間堆積ガスに暴露される。堆積プロセスの固有の暴露時間は、ステップ140のエッチングプロセスの暴露時間ならびにプロセスで使用される具体的な前駆体および温度と関連して判断される。概して、基板は、後続ステップ140で容易にエッチング可能な最小厚さの多結晶層を形成する一方で最大厚さのエピタキシャル層を形成するのに十分長く堆積ガスに暴露される。
[0038]堆積ガスは少なくともシリコンソースおよびキャリアガスを含有しており、またゲルマニウムソースおよび/または炭素ソースなどの少なくとも1つの二次元素ソースを含有してもよい。また、堆積ガスはさらに、ホウ素、ヒ素、リン、ガリウムおよび/またはアルミニウムなどのドーパントのソースを提供するためのドーパント化合物を含むことがある。代替実施形態では、堆積ガスが、塩化水素や塩素などの少なくとも1つのエッチャントを含んでもよい。
[0039]シリコンソースは普通、約5sccm〜約500sccm、好ましくは約10sccm〜約300sccm、より好ましくは約50sccm〜約200sccmの範囲のレート、例えば約100sccmでプロセスチャンバに提供される。シリコン含有化合物を堆積するために堆積ガスにおいて有用なシリコンソースはシラン類、ハロゲン化シラン類およびオルガノシラン類を含んでいる。シラン類は、シラン(SiH)と、ジシラン(Si)、トリシラン(Si)およびテトラシラン(SI10)などの実験式Si(2x+2)のより高次のシランなどとを含む。ハロゲン化シラン類は、ヘキサクロロジシラン(SiCl)、テトラクロロシラン(SiCl)、ジクロロシラン(ClSiH)およびトリクロロシラン(ClSiH)などの実験式X’Si(2x+2−y)の化合物を含んでおり、ここでX’=F、Cl、BrまたはIである。オルガノシラン類は、メチルシラン((CH)SiH)、ジメチルシラン((CHSiH)、エチルシラン((CHCH)SiH)、メチルジシラン((CH)Si)、ジメチルジシラン((CHSi)およびヘキサメチルジシラン((CHSi)などの実験式RSi(2x+2−y)の化合物を含んでおり、ここで、R=メチル、エチル、プロピルまたはブチルである。オルガノシラン化合物は、堆積されたシリコン含有化合物に炭素を組み込む実施形態において好都合なシリコンソースならびに炭素ソースであることが分かっている。好ましいシリコンソースはシラン、ジクロロシランおよびジシランを含んでいる。
[0040]シリコンソースは普通、キャリアガスに伴ってプロセスチャンバに提供される。キャリアガスは約1slm(標準リットル毎分)〜約100slm、好ましくは約5slm〜約75slm、より好ましくは約10slm〜約50slmの流量、例えば約25slmを有している。キャリアガスは窒素(N)、水素(H)、アルゴン、ヘリウムおよびこれらの組み合わせを含んでもよい。不活性キャリアガスが好まれ、これは窒素、アルゴン、ヘリウムおよびこれらの組み合わせを含んでいる。キャリアガスは、使用される(複数の)前駆体および/またはエピタキシャルプロセス100中のプロセス温度に基づいて選択されてもよい。普通キャリアガスはステップ110〜150の各々を通して同じである。しかしながら、一部の実施形態は具体的なステップにおいて異なるキャリアガスを使用することがある。例えば、窒素は、ステップ120においてはシリコンソースと共に、ステップ140においてはエッチャントと共にキャリアガスとして使用されることがある。
[0041]好ましくは、窒素は低温(例えば、<800℃)プロセスを特徴とする実施形態においてキャリアガスとして利用される。低温プロセスは、部分的には、ステップ140でさらに論じられたエッチングプロセスにおける塩素ガスの使用によってアクセス可能である。窒素は低温堆積プロセス中不活性のままである。従って、窒素は、低温プロセス中に堆積されたシリコン含有材料に組み込まれない。また、窒素キャリアガスは、水素キャリアガスのように水素終端表面を形成しない。基板上に水素キャリアガスの吸収によって形成された水素終端表面は、シリコン含有層の成長レートを阻害する。最終的に、窒素は水素、アルゴンまたはヘリウムよりもかなり安価なため、低温プロセスは窒素をキャリアガスとして経済的に活用してもよい。
[0042]ステップ120中に使用された堆積ガスはまた、ゲルマニウムソースおよび/または炭素ソースなどの少なくとも1つの二次元素ソースを含有してもよい。ゲルマニウムソースは、シリコンゲルマニウム材料などのシリコン含有化合物を形成するために、シリコンソースおよびキャリアガスを具備するプロセスチャンバに添加されてもよい。ゲルマニウムソースは普通、約0.1sccm〜約20sccm、好ましくは約0.5sccm〜約10sccm、より好ましくは約1sccm〜約5sccmの範囲のレート、例えば約2sccmでプロセスチャンバに提供される。シリコン含有化合物を堆積するのに有用なゲルマニウムソースはゲルマン(GeH)、より高次のゲルマン類およびオルガノゲルマン類を含んでいる。高次のゲルマン類は、ジゲルマン(Ge)、トリゲルマン(Ge)およびテトラゲルマン(Ge10)などの実験式Ge(2x+2)の化合物を含んでいる。オルガノゲルマン類はメチルゲルマン((CH)GeH)、ジメチルゲルマン((CHGeH)、エチルゲルマン((CHCH)GeH)、メチルジゲルマン((CH)Ge)、ジメチルジゲルマン((CHGe)およびヘキサメチルジゲルマン((CHGe)などの化合物を含んでいる。ゲルマン類およびオルガノゲルマン化合物は、堆積されたシリコン含有化合物、つまりSiGeおよびSiGeC化合物にゲルマニウムおよび炭素を組み込む際、実施形態において好都合なゲルマニウムソースおよび炭素ソースであると分かっている。エピタキシャル層のゲルマニウム濃度は約1at%〜約30at%の範囲、例えば約20at%である。ゲルマニウム濃度はエピタキシャル層内でグレーディング、好ましくはエピタキシャル層の上部よりもエピタキシャル層の下部においてより高いゲルマニウム濃度でグレーディングされることがある。
[0043]代替的に、炭素ソースは、シリコン炭素材料などのシリコン含有化合物を形成するために、シリコンソースおよびキャリアガスを具備するプロセスチャンバにステップ120中に添加されることがある。炭素ソースは普通、約0.1sccm〜約20sccm、好ましくは約0.5sccm〜約10sccm、より好ましくは約1sccm〜約5sccmの範囲のレート、例えば約2sccmでプロセスチャンバに提供される。シリコン含有化合物を堆積するのに有用な炭素ソースはエチル、プロピルおよびブチルのオルガノシラン類、アルキル類、アルケン類およびアルキン類を含んでいる。このような炭素ソースはメチルシラン(CHSiH)、ジメチルシラン((CHSiH)、エチルシラン(CHCHSiH)、メタン(CH)、エチレン(C)、エチン(C)、プロパン(C)、プロペン(C)、ブチン(C)などを含んでいる。エピタキシャル層の炭素濃度は約200ppm〜約5at%、好ましくは約1at%〜約3at%の範囲、例えば1.5at%である。一実施形態では、炭素濃度はエピタキシャル層内でグレーディングされる、好ましくはエピタキシャル層の最終部分よりもエピタキシャル層の初期部分においてより低い炭素濃度でグレーディングされることがある。代替的に、ゲルマニウムソースおよび炭素ソースは共に、シリコンゲルマニウム炭素材料などのシリコン含有化合物を形成するために、シリコンソースおよびキャリアガスを具備するプロセスチャンバにステップ120中に添加されてもよい。
[0044]ステップ120中に使用された堆積ガスはさらに、ホウ素、ヒ素、リン、ガリウムまたはアルミニウムなどの元素ドーパントのソースを提供するために少なくとも1つのドーパント化合物を含んでもよい。ドーパントは、堆積されたシリコン含有化合物に、電子デバイスによって必要とされるコントロールされた所望の経路の方向性電子流などの種々の導電性特徴を提供する。シリコン含有化合物の膜は、所望の導電性特徴を達成するために、具体的なドーパントによってドープされる。一例では、シリコン含有化合物は、例えば約1015原子/cm〜約1021原子/cmの範囲の濃度のホウ素を添加するためにジボランを使用してp型ドープされる。一例において、p型ドーパントは少なくとも5×1019原子/cmの濃度を有している。別の例において、p型ドーパントは約1×1020原子/cm〜約2.5×1021原子/cmの範囲である。別の例では、シリコン含有化合物は、約1015原子/cm〜約1021原子/cmの範囲の濃度に、例えばリンおよび/またはヒ素によってn型ドープされる。
[0045]ドーパントソースは普通、約0.1sccm〜約20sccm、好ましくは約0.5sccm〜約10sccm、より好ましくは約1sccm〜約5sccmの範囲のレート、例えば約2sccmでステップ120中にプロセスチャンバに提供される。ドーパントソースとして有用なホウ素含有ドーパントはボラン類およびオルガノボラン類を含んでいる。ボラン類はボラン、ジボラン(B)、トリボラン、テトラボランおよびペンタボランを含んでいるのに対して、アルキルボラン類は、実験式RBH(3−x)の化合物を含んでおり、ここでR=メチル、エチル、プロピルまたはブチル、かつx=1、2または3である。アルキルボラン類はトリメチルボラン((CHB)、ジメチルボラン((CHBH)、トリエチルボラン((CHCHB)およびジエチルボラン((CHCHBH)を含んでいる。ドーパントはまた、実験式RPH(3−x)を具備するようなアルシン(AsH)、ホスフィン(PH)およびアルキルホスフィンを含んでもよく、ここでR=メチル、エチル、プロピルまたはブチルであり、かつx=1、2または3である。アルキルホスフィン類はトリメチルホスフィン((CHP)、ジメチルホスフィン((CHPH)、トリエチルホスフィン((CHCHP)およびジエチルホスフィン((CHCHPH)を含んでいる。アルミニウムおよびガリウムドーパントソースは実験式RMX(3−x)で記述されるようなアルキル化および/またはハロゲン化誘導体を含むことがあり、ここでM=AlまたはGa、R=メチル、エチル、プロピルまたはブチル、C=ClまたはF、かつx=0、1、2または3である。アルミニウムおよびガリウムドーパントソースの例はトリメチルアルミニウム(MeAl)、トリエチルアルミニウム(EtAl)、塩化ジメチルアルミニウム(MeAlCl)、塩化アルミニウム(AlCl)、トリメチルガリウム(MeGa)、トリエチルガリウム(EtGa)、塩化ジメチルガリウム(MeGaCl)よび塩化ガリウム(GaCl)を含んでいる。
[0046]ステップ130中、堆積プロセスが終了される。一例において、プロセスチャンバはパージガスやキャリアガスによって洗い流されてもよく、かつ/またはプロセスチャンバは真空ポンプによって真空にされてもよい。パージおよび/または真空プロセスは過剰な堆積ガス、反応副生成物および他の汚染物を除去する。別の例において、堆積プロセスが終了されると、ステップ140のエッチングプロセスが、プロセスチャンバをパージおよび/または真空にすることなく即座にスタートされる。
[0047]ステップ140のエッチングプロセスは、ステップ120中に堆積されたシリコン含有材料を基板表面から除去する。エッチングプロセスは、エピタキシャルまたは単結晶材料と、アモルファスまたは多結晶材料の両方を除去する。もしあれば、基板表面に堆積された多結晶層がエピタキシャル層よりも速いレートで除去される。エッチングプロセスの時間は堆積プロセスの時間によってバランスをとられて、基板の所望のエリアに選択的に形成されたエピタキシャル層の正味堆積をもたらす。従って、ステップ120の堆積プロセスおよびステップ140のエッチングプロセスの正味結果は、選択的かつエピタキシャルに成長されたシリコン含有材料を形成する一方で、もしあれば多結晶シリコン含有材料の成長を最小化することである。
[0048]ステップ140中、基板は約10秒〜約90秒、好ましくは約20秒〜約60秒、より好ましくは約30秒〜45秒の範囲の期間エッチングガスに暴露される。エッチングガスは少なくとも1つのエッチャントおよびキャリアガスを含んでいる。エッチャントは普通、約10sccm〜約700sccm、好ましくは約50sccm〜約500sccm、より好ましくは約100sccm〜約400sccmの範囲のレート、例えば約200sccmでプロセスチャンバに提供される。エッチングガスにおいて使用されるエッチャントは塩素(Cl)、塩化水素(HCl)、三塩化ホウ素(BCl)、四塩化炭素(CCl)、クロロ三フッ化物(Chlorotrifluoride)(ClF)およびこれらの組み合わせを含んでもよい。好ましくは、塩素または塩化水素がエッチャントとして使用される。
[0049]エッチャントは普通、キャリアガスを具備するプロセスチャンバに提供される。キャリアガスは、約1slm〜約100slm、好ましくは約5slm〜約75slm、より好ましくは約10slm〜約50slmの範囲の流量、例えば約25slmを有している。キャリアガスは窒素(N)、水素(H)、アルゴン、ヘリウムおよびこれらの組み合わせを含んでもよい。一部の実施形態では、不活性キャリアガスが好まれ、これは窒素、アルゴン、ヘリウムおよびこれらの組み合わせを含んでいる。キャリアガスはエピタキシャルプロセス100中に使用される固有の(複数の)前駆体および/または温度に基づいて選択されてもよい。同じキャリアガスが普通、ステップ110〜150の各々の間ずっと使用される。しかしながら、一部の実施形態は、堆積プロセスで使用されたのとは異なるキャリアガスをエッチングプロセス中に使用することがある。一実施形態では、特にAGSプロセスが低温(例えば、<800℃)で遂行される場合、好ましいエッチャントは塩素ガスである。例えば、エッチングガスは塩素をエッチャントとして、窒素をキャリアガスとして含有し、約500℃〜約750℃の範囲の温度で基板表面に暴露される。別の例では、塩素および窒素を含有するエッチングガスが、約250℃〜約500℃の範囲の温度で基板表面に暴露される。
[0050]エッチングプロセスはステップ150中に終了される。一例では、プロセスチャンバはパージガスまたはキャリアガスによって洗い流されてもよく、かつ/またはプロセスチャンバは真空ポンプによって真空にされてもよい。パージおよび/または真空プロセスは過剰なエッチングガス、反応副生成物および他の汚染物を除去する。別の例では、エッチングプロセスが終了されると、ステップ160は、プロセスチャンバをパージおよび/または真空にすることなく即座にスタートされる。
[0051]エピタキシャル層および多結晶層の厚さはステップ160中に判断されてもよい。所定の厚さが達成される場合、エピタキシャルプロセス100はステップ170中に終了される。しかしながら、所定の厚さが達成されない場合、所望の厚さが達成されるまで、ステップ120〜160が1サイクルとして反復される。エピタキシャル層は普通、約10Å〜約2,000Å、好ましくは約100Å〜約1,500Å、より好ましくは約400Å〜約1,200Åの範囲の厚さ、例えば約800Åを有するように成長される。多結晶層は普通、もしあれば原子層から約500Åの範囲の厚さで堆積される。エピタキシャルシリコン含有層または多結晶シリコン含有層の所望または所定の厚さは具体的な製作プロセスに固有である。一例において、エピタキシャル層は所定の厚さに達する場合があるのに対して、多結晶層は厚すぎる。過剰な多結晶層はさらに、ステップ120および130をスキップしてステップ140〜160を反復することによってエッチングされてもよい。
[0052]一例において、図2A〜Eに描かれているように、ソース/ドレイン延長部はMOSFETデバイス内に形成され、ここではシリコン含有層がエピタキシャルかつ選択的に基板の表面に堆積される。図2Aは、イオンを基板230の表面に注入することによって形成されたソース/ドレイン領域232を描いている。ソース/ドレイン領域232のセグメントは、ゲート酸化物層235およびスペーサ234上に形成されたゲート236によってブリッジされる。ソース/ドレイン延長部を形成するために、ソース/ドレイン領域232の一部は、図2Bにおけるように、凹部238を生成するためにエッチングおよびウェットクリーニングされる。ゲート236のエッチングは、ソース/ドレイン領域232の一部をエッチングする前にハードマスクを堆積することによって回避されることがある。
[0053]図2Cは、本明細書に説明されているエピタキシャルプロセスの一実施形態を図示しており、シリコン含有エピタキシャル層240および任意の多結晶層242は、スペーサ234上に堆積せずに同時かつ選択的に堆積される。多結晶層242は、エピタキシャルプロセス100のステップ120および140の堆積およびエッチングプロセスを調整することによってゲート236上に任意に形成される。代替的に、エピタキシャル層240がソース/ドレイン領域232に堆積されると、多結晶層242はゲート236から連続的にエッチングされる。
[0054]別の例において、シリコン含有エピタキシャル層240および多結晶層242は、約1at%〜約50at%、好ましくは約24%以下の範囲のゲルマニウム濃度のSiGe含有層である。様々な量のシリコンおよびゲルマニウムを含有する複数のSiGe含有層が、グレーディングされた元素濃度のシリコン含有エピタキシャル層240を形成するために積層されてもよい。例えば、第1のSiGe層は、約15at%〜約25at%の範囲のゲルマニウム濃度で堆積されてもよく、第2のSiGe層は、約25at%〜約35at%の範囲のゲルマニウム濃度で堆積されてもよい。
[0055]別の例では、シリコン含有エピタキシャル層240および多結晶層242は、約200ppm〜約5at%、好ましくは約3at%以下、好ましくは約1at%〜約2at%の範囲の炭素濃度、例えば約1.5at%のSiC含有層である。別の実施形態では、シリコン含有エピタキシャル層240および多結晶層242は、約1at%〜約50at%、好ましくは約24at%以下のゲルマニウム濃度、および約200ppm〜約5at%、好ましくは約3at%以下、より好ましくは約1at%〜約2at%、例えば約1.5at%の炭素濃度を具備するSiGeC含有層である。
[0056]Si、SiGe、SiCまたはSiGeCを含有する複数の層は、シリコン含有エピタキシャル層240内のグレーディング元素濃度を形成するために様々な順序で堆積されてもよい。シリコン含有層は概して、約1×1019原子/cm〜約2.5×1021原子/cm、好ましくは約5×1019原子/cm〜約2×1020原子/cmの範囲の濃度を有するドーパント(例えば、ホウ素、ヒ素、リン、ガリウムまたはアルミニウム)によってドープされる。シリコン含有材料の個々の層に添加されるドーパントはグレーディングドーパントを形成する。例えば、シリコン含有エピタキシャル層240は、第1のSiGe含有層を約5×1019原子/cm〜約1×1020原子/cmの範囲のドーパント濃度(例えば、ホウ素)で、かつ第2のSiGe含有層を約1×2020原子/cm〜約2×1020原子/cmの範囲のドーパント濃度(例えば、ホウ素)で堆積することによって形成される。
[0057]次のステップ時に、図2Dは、スペーサ244、概してスペーサ234上に堆積された窒化物スペーサ(例えばSi)を示している。スペーサ244は普通、CVDまたはALD技術によって異なるチャンバに堆積される。従って、基板は、シリコン含有エピタキシャル層240を堆積するために使用されたプロセスチャンバから除去される。2つのチャンバ間の転送時に、基板は、温度、圧力、または水および酸素を含有する大気などの環境条件に暴露されることがある。スペーサ244を堆積したり、他の半導体プロセス(例えば、アニーリング、堆積または注入)を実行したりすると、基板は、エレベーテッド層248を堆積する前に環境条件に2度目に暴露されてもよい。一実施形態では、自然酸化物は約5at%より大きなゲルマニウム濃度で形成されたエピタキシャル層よりも、最小限のゲルマニウム濃度を含有するエピタキシャル層から除去するのが容易であるため、全くゲルマニウムを具備していないか最小限のゲルマニウム(例えば、約5at%未満)を具備するエピタキシャル層(図示せず)が、基板を環境条件に暴露する前にエピタキシャル層240の上部に堆積される。
[0058]図2Eは、シリコン含有材料からなるエレベーテッド層248がエピタキシャル層240(例えば、ドープSiGe)上に選択的かつエピタキシャルに堆積される別の例を描いている。堆積プロセス中、多結晶層242はゲート236上でさらに成長、堆積またはエッチングされる。
[0059]好ましい実施形態では、エレベーテッド層248は、ほとんどまたは全くゲルマニウムまたは炭素を含有していないエピタキシャル堆積シリコンである。しかしながら、代替実施形態では、エレベーテッド層248はゲルマニウムおよび/または炭素を含有する。例えば、エレベーテッド層248は約5at%以下のゲルマニウムを有してもよい。別の例では、エレベーテッド層248は約2at%以下の炭素を有してもよい。エレベーテッド層248はまた、ホウ素、ヒ素、リン、アルミニウムまたはガリウムなどのドーパントによってドープされてもよい。
[0060]シリコン含有化合物は、バイポーラデバイス製作(例えば、ベース、エミッタ、コレクタ、エミッタコンタクト)、BiCMOSデバイス製作(例えば、ベース、エミッタ、コレクタ、エミッタコンタクト)およびCMOSデバイス製作(例えば、チャネル、ソース/ドレイン、ソース/ドレイン延長部、エレベーテッドソース/ドレイン、基板、歪みシリコン、シリコン・オン・インシュレーター、およびコンタクトプラグ)に使用されるシリコン含有層を堆積するためのプロセスの実施形態内で利用される。プロセスの他の実施形態は、ゲート、ベースコンタクト、コレクタコンタクト、エミッタコンタクト、エレベーテッドソース/ドレインなどとして使用可能なシリコン含有層の成長を教示する。
[0061]これらのプロセスは、図3A〜3Cに描かれているようなMOSFETおよびバイポーラトランジスタにおいて選択的なエピタキシャルシリコン含有層を堆積するために極めて有用である。図3A〜3Bは、MOSFETデバイス上にエピタキシャル成長されたシリコン含有化合物を示している。シリコン含有化合物は、デバイスのソース/ドレイン部材に堆積される。シリコン含有化合物は下地層の結晶格子に接着し、かつこれから成長し、シリコン含有化合物が所望の厚さに成長されるとこの配列を維持する。図3Aは、凹型ソース/ドレイン層として堆積されたシリコン含有化合物を明示しているのに対して、図3Bは、凹型ソース/ドレイン層およびエレベーテッドソース/ドレイン層として堆積されたシリコン含有化合物を示している。
[0062]ソース/ドレイン領域312はイオン注入によって形成される。概して、基板310はn型ドープされるのに対して、ソース/ドレイン領域312はp型ドープされる。シリコン含有エピタキシャル層313はソース/ドレイン領域312に、および/または基板310上に直接、選択的に成長される。シリコン含有エピタキシャル層314はここでの態様に従ったシリコン含有層313上に選択的に成長される。ゲート酸化膜層318はセグメント化されたシリコン含有層313をブリッジする。概して、ゲート酸化膜層318は二酸化シリコン、酸窒化シリコンまたは酸化ハフニウムからなる。スペーサ316はゲート酸化膜層318を部分的に包含しており、これは普通、窒化物/酸化物スタック(例えば、Si/SiO/Si)などの隔離材料である。ゲート層322(例えば、多結晶シリコン)は、図3Aにおけるように、垂直側面に沿って二酸化シリコンなどの保護層319を有していることがある。代替的に、ゲート層322は、いずれかの側面に配置されたスペーサ316およびオフセット層320(例えば、Si)を有していることがある。
[0063]別の例において、図3Cは、堆積されたシリコン含有エピタキシャル層334をバイポーラトランジスタのベース層として描いている。シリコン含有エピタキシャル層334は本発明の種々の実施形態によって選択的に成長される。シリコン含有エピタキシャル層334は、事前に基板330に堆積されているn型コレクタ層332に堆積される。トランジスタはさらに、隔離層333(例えば、SiOまたはSi)、コンタクト層336(例えば、高濃度にドープされたポリ−Si)、オフセット層338(例えば、Si)、および第2の隔離層340(例えば、SiOまたはSi)を含んでいる。
[0064]代替実施形態では、図4は、シリコン含有材料/層を選択的に堆積するために使用可能なエピタキシャルプロセス400を図示している。エピタキシャルプロセス400は、エッチングプロセスが続く少なくとも2つの堆積プロセスを含んでいる。第1の堆積プロセスは、シリコンソースを含有する堆積ガスを含んでいるのに対して、第2の堆積プロセスは、ゲルマニウム、炭素またはドーパント(例えば、ホウ素、ヒ素、リン、ガリウムまたはアルミニウム)などの二次元素ソースを含有する堆積ガスを含んでいる。温度、圧力、流量、キャリアガスおよび前駆体などの、エピタキシャルプロセス100で使用された類似のプロセスパラメータがエピタキシャルプロセス400で使用される。
[0065]エピタキシャルプロセス400は、パターン化基板をプロセスチャンバにロードして、プロセスチャンバを所定の温度に調整するためのステップ410を含んでいる。ステップ420は、アモルファスおよび/または多結晶表面などの二次表面に多結晶層を形成しつつエピタキシャル層を単結晶表面に形成するための第1の堆積プロセスを提供する。エピタキシャル層および単結晶層は、シリコンソースを含有する堆積ガスから形成される。ステップ430中、第1の堆積プロセスは終了される。ステップ440は、単結晶表面にエピタキシャル層を成長させ続け、かつ二次表面に多結晶層を形成し続ける第2の堆積プロセスを提供する。エピタキシャル層および多結晶層は、基板表面を、二次元素ソースを含有する堆積ガスに暴露することによってさらに成長される。ステップ450において、第2の堆積プロセスが終了される。ステップ460は、暴露されたシリコン含有層をエッチングするためのエッチングプロセスを提供する。エッチングプロセスは、各材料が除去されるレートの結果としてエピタキシャル層のマージン部分のみを除去する一方で多結晶層を最小限にするか、これを完全に除去する。ステップ470中、エッチングプロセスが終了される。もしあればエピタキシャル層および多結晶層の厚さはステップ480中に判断される。所定の厚さが達成される場合、エピタキシャルプロセス400はステップ490で終了される。しかしながら、いずれかの層の所定の厚さが達成されない場合、ステップ420〜480は、所定の厚さが達成されるまで1サイクルとして反復される。
[0066]エピタキシャルプロセス400は、パターン化基板を含有するプロセスチャンバを所定の温度に調整することによってソース410でスタートする。温度および圧力は、遂行される具体的なプロセスに合わせられる。概して、プロセスチャンバはエピタキシャルプロセス400を通して一貫した温度に維持される。しかしながら、一部のステップは様々な温度で実行されてもよい。プロセスチャンバは、約250℃〜約1,000℃、好ましくは約500℃〜約800℃、より好ましくは約550℃〜約750℃の範囲の温度にキープされる。エピタキシャルプロセス400を遂行するための適切な温度は、ステップ420〜480中にシリコン含有材料を堆積および/またはエッチングするために使用される具体的な前駆体に左右されることがある。一実施形態では、塩素(Cl)ガスが、他のより共通のエッチャントを使用するプロセスよりも低い温度でシリコン含有材料のエッチャントとしても例外的にうまく作用することが分かっている。従って、一実施形態では、プロセスチャンバを事前加熱するための好ましい温度は750℃以下、好ましくは650℃以下、より好ましくは550℃以下である。プロセスチャンバは普通、0.1トール〜約200トール、好ましくは約1トール〜約50トールの圧力に維持される。圧力はプロセスステップ410〜480の際にばらつきを生じることがあるが、概して一定に維持される。
[0067]第1の堆積プロセスはステップ420で遂行される。パターン化基板は、多結晶層を二次表面に形成する一方でエピタキシャル層を単結晶表面に形成するために、第1の堆積ガスに暴露される。基板は、約0.5秒〜約30秒、好ましくは約1秒〜約20秒、より好ましくは約5秒〜約10秒の一定期間第1の堆積ガスに暴露される。堆積プロセスの明確な暴露時間は、ステップ460のエッチングプロセス中の暴露時間ならびにこのプロセスで使用される具体的な前駆体および温度と関連して判断される。概して、基板は、後続のステップ460中に容易にエッチング可能な最小厚さの多結晶層を形成しつつ最大厚さのエピタキシャル層を形成するのに十分長く第1の堆積ガスに暴露される。
[0068]第1の堆積ガスは少なくともシリコンソースおよびキャリアガスを含有している。第1の堆積ガスはまた、二次元素ソースおよび/またはドーパント化合物を含有していることがあるが、好ましくは、二次元素ソースおよびドーパント化合物は第2の堆積ガス中にある。従って、一態様において、第1の堆積ガスはシリコンソース、二次元素ソースおよびドーパントソースを含有してもよい。別の態様では、第1の堆積ガスはシリコンソースおよび二次元素ソースを含有してもよい。さらに別の態様では、第1の堆積ガスはシリコンソースおよびドーパントソースを含有してもよい。代替実施形態では、第1の堆積ガスはまた、塩化水素や塩素などの少なくとも1つのエッチャントを含んでもよい。
[0069]シリコンソースは普通、約5sccm〜約500sccm、好ましくは約10sccm〜約300sccm、より好ましくは約50sccm〜約200sccmの範囲のレート、例えば約100sccmでプロセスチャンバに提供される。好ましいシリコンソースはシラン、ジクロロシランおよびジシランを含んでいる。
[0070]シリコンソースは普通、キャリアガス中のプロセスチャンバに提供される。キャリアガスは、約1slm〜約100slm、好ましくは約5slm〜約75slm、より好ましくは約10slm〜約50slm、例えば約25slmの流量を有している。キャリアガスは窒素(N)、水素(H)、アルゴン、ヘリウムおよびこれらの組み合わせを含んでもよい。一部の実施形態では、不活性キャリアガスが好まれ、これは窒素、アルゴン、ヘリウムおよびこれらの組み合わせを含んでいる。好ましくは、エピタキシャルプロセス400を通して使用されるキャリアガスは、上記の理由によって、窒素である。
[0071]ステップ430中に、第1の堆積プロセスは終了される。一例では、プロセスチャンバはパージガスまたはキャリアガスによって洗い流されてもよく、かつ/またはプロセスチャンバは真空ポンプによって真空にされてもよい。パージおよび/または真空プロセスは過剰な堆積ガス、反応副生成物および他の汚染物を除去する。別の例では、第1の堆積プロセスが終了されると、ステップ440の第2の堆積プロセスが、プロセスチャンバをパージおよび/または真空にすることなく即座にスタートされる。
[0072]ステップ440で使用される堆積ガスはキャリアガスと、ゲルマニウムソース、炭素ソースおよび/またはドーパント化合物などの少なくとも1つの二次元素ソースとを含有している。代替的に、シリコンソースは第2の堆積ガスに含まれてもよい。二次元素ソースは、ステップ420中に堆積されたシリコン含有化合物の成長を継続するためにキャリアガスを具備するプロセスチャンバに添加される。シリコン含有化合物は、固有の二次元素ソースおよび二次元素ソースの濃度によってコントロールされる様々な組成を有してもよい。二次元素ソースは普通、約0.1sccm〜約20sccm、好ましくは約0.5sccm〜約10sccm、より好ましくは約1sccm〜約5sccmの範囲のレート、例えば約2sccmでプロセスチャンバに提供される。ゲルマニウムソース、炭素ソースおよびドーパント化合物が、上記前駆体から選択される。
[0073]ステップ450中、第2の堆積プロセスは終了される。一例では、プロセスチャンバはパージガスまたはキャリアガスによって洗い流されてもよく、かつ/またはプロセスチャンバは真空ポンプによって真空にされてもよい。パージおよび/または真空プロセスは過剰な堆積ガス、反応副生成物および他の汚染物を除去する。別の例では、第2の堆積プロセスが終了されると、ステップ460のエッチングプロセスは、プロセスチャンバをパージおよび/または真空にせずに即座にスタートされる。
[0074]ステップ460のエッチングプロセスは、ステップ420および440で堆積された材料を基板表面から除去する。エッチングプロセスは、エピタキシャルまたは単結晶材料と、アモルファスおよび/または多結晶材料との両方を除去する。もしあれば、基板表面に堆積された多結晶層は、エピタキシャル層よりも速いレートで除去される。エッチングプロセスの期間は、2つの堆積プロセスの期間とバランスをとられる。従って、ステップ420および440の堆積プロセスおよびステップ460のエッチングプロセスの正味結果は、もしあれば多結晶シリコン含有材料の成長を最小限にしつつ、選択的かつエピタキシャルに成長されたシリコン含有材料を形成することである。
[0075]ステップ460中、基板は、約10秒〜約90秒、好ましくは約20秒〜約60秒、より好ましくは約30秒〜約45秒の範囲の一定期間エッチングガスに暴露される。エッチングガスは少なくとも1つのエッチャントおよびキャリアガスを含んでいる。エッチャントは普通、約10sccm〜約700sccm、好ましくは約50sccm〜約500sccm、より好ましくは約100sccm〜約400sccmの範囲のレート、例えば約200sccmでプロセスチャンバに提供される。エッチングガス中で使用されるエッチャントは塩素(Cl)、塩化水素(HCl)、三塩化ホウ素(BCl)、四塩化炭素(CCl)、クロロ三フッ化物(Chlorotrifluoride)(ClF)およびこれらの組み合わせを含んでもよい。好ましくは、塩素または塩化水素がエッチャントとして使用される。
[0076]エッチャントは普通、キャリアガスに伴ってプロセスチャンバに提供される。キャリアガスは、約1slm〜約100slm、好ましくは約5slm〜約75slm、より好ましくは約10slm〜約50slmの範囲の流量、例えば約25slmを有している。キャリアガスは窒素(N)、水素(H)、アルゴン、ヘリウムおよびこれらの組み合わせを含んでもよい。一部の実施形態では、不活性キャリアガスが好まれ、これは窒素、アルゴン、ヘリウムおよびこれらの組み合わせを含んでいる。キャリアガスは、エピタキシャルプロセス400中に使用される固有の(複数の)前駆体および/または温度に基づいて選択されてもよい。同じキャリアガスが、ステップ420〜480の各々を通して普通は使用される。しかしながら、一部の実施形態は、堆積プロセスで使用されるのとは異なるキャリアガスをエッチングプロセス中に使用してもよい。一実施形態では、特にAGSプロセスが低温(例えば、<800℃)で遂行される場合は、好ましいエッチャントは塩素ガスである。例えば、エッチングガスは塩素をエッチャントとして、窒素をキャリアガスとして含有しており、これは、約500℃〜約750℃の範囲の温度で基板表面に暴露される。
[0077]エッチングプロセスはステップ470中に終了される。一例では、プロセスチャンバはパージガスまたはキャリアガスによって洗い流されてもよく、かつ/またはプロセスチャンバは真空ポンプによって真空にされてもよい。パージおよび/または真空プロセスは過剰なエッチングガス、反応副生成物および他の汚染物を除去する。別の例では、エッチングプロセスが終了されると、ステップ480が、プロセスチャンバをパージおよび/または真空にすることなく即座にスタートされる。
[0078]エピタキシャル層および多結晶層の厚さはステップ480中に判断されてもよい。所定の厚さが達成される場合、エピタキシャルプロセス400はステップ490で終了される。しかしながら、所定の厚さが達成されない場合、所望の厚さが達成されるまで、ステップ420〜180が1サイクルとして反復される。エピタキシャル層は普通、約10Å〜約2,000Å、好ましくは約100Å〜約1,500Å、より好ましくは約400Å〜約1,200Åの範囲の厚さ、例えば約800Åを有するように成長される。多結晶層は普通、もしあれば約原子層〜約500Åの範囲の厚さを有するように堆積される。エピタキシャルシリコン含有層または多結晶シリコン含有層の所望または所定の厚さは、具体的な製作プロセスに固有である。一例では、エピタキシャル層は所定の厚さに達することがあるのに対して、多結晶層は厚すぎる。過剰な多結晶層は、ステップ460および470を省略してステップ140〜160を反復することによってさらにエッチングされてもよい。同様に、他の例では、ステップ420、440および460は、エピタキシャルプロセス400を介して進行する際に個々に省略されてもよい。ステップ420、440および460をスキップすることによって、堆積されたシリコン含有材料の元素濃度および厚さはコントロールされてもよい。
[0079]本発明の実施形態は、多様な基板にシリコン含有化合物を堆積するプロセスを教示する。本発明の実施形態が有用であり得る基板は、結晶シリコン(例えば、Si<100>およびSi<111>)、酸化シリコン、シリコンゲルマニウム、ドープまたは非ドープウェーハおよびパターン化または非パターン化ウェーハなどの半導体ウェーハを含むが、これらに制限されない。基板は多様な形状(例えば、円形、正方形および矩形)およびサイズ(例えば、200mmOD、300mmOD)を有している。
[0080]一実施形態では、本明細書で説明されたプロセスによって堆積されたシリコン含有化合物は、約0at%〜約95at%の範囲内のゲルマニウム濃度を含んでいる。別の実施形態では、ゲルマニウム濃度は、約1at%〜約30at%、好ましくは約15at%〜約30at%の範囲内、例えば約20at%である。シリコン含有化合物はまた、約0at%〜約5at%の範囲内の炭素濃度を含んでいる。他の態様では、炭素濃度は約200ppm〜約3at%の範囲内、好ましくは約1.5at%である。
[0081]ゲルマニウムおよび/または炭素のシリコン含有化合物膜は本発明の種々のプロセスによって生成され、一貫的、散発的またはグレーディングの元素濃度を有する可能性がある。グレーディングシリコンゲルマニウム膜は、共にApplied Materials,Inc.,に譲渡された、米国特許第6,770,134号と、米国特許公開20020174827号として公開された米国特許出願第10/014,466号とに開示されており、グレーディングシリコン含有化合物膜の堆積方法を説明するためにその全体が参照として本明細書に組み込まれている。一例では、シリコンソース(例えば、SiH)およびゲルマニウムソース(例えば、GeH)が、シリコンゲルマニウム含有膜を選択的かつエピタキシャルに堆積するのに使用される。本例では、シリコンソースおよびゲルマニウムソースの比は、グレーディング膜を成長させる際に、シリコンおよびゲルマニウムなどの元素濃度をコントロールするために変化する可能性がある。別の例では、シリコンソースおよび炭素ソース(例えば、CHSiH)が、シリコン炭素含有膜を選択的かつエピタキシャルに堆積するのに使用される。シリコンソースおよび炭素ソースの比は、均質またはグレーディング膜を成長させる際に元素濃度をコントロールするために変化する可能性がある。別の例では、シリコンソース、ゲルマニウムソースおよび炭素ソースが、シリコンゲルマニウム炭素含有膜を選択的かつエピタキシャルに堆積するのに使用される。シリコン、ゲルマニウムおよび炭素ソースの比は、均質またはグレーディング膜を成長させる際に元素濃度をコントロールするために独立して変化させられる。
[0082]本明細書に説明されたプロセスによって形成されたMOSFETデバイスはPMOSコンポーネントまたはNMOSコンポーネントを含有してもよい。p型チャネルのPMOSコンポーネントは、チャネル導電を担うホールを有しているのに対して、n型チャネルのNMOSコンポーネントは、チャネル導電を担う電子を有している。従って、例えば、SiGeなどのシリコン含有材料は、PMOSコンポーネントを形成するために凹型エリアに堆積されてもよい。別の例では、SiCなどのシリコン含有膜が、NMOSコンポーネントを形成するために凹型エリアに堆積されてもよい。SiGeは、複数の理由によりPMOS用途に使用される。SiGe材料は、シリコンのみよりも多くのホウ素を組み込んでいるため、接合抵抗率は下げられることがある。また、基板表面のSiGe/シリサイド層界面は、Si/シリサイド界面よりも低いショットキーバリアを有している。
[0083]さらに、SiGeの格子定数はシリコンよりも大きいため、シリコンの上部にエピタキシャル成長されたSiGeは膜内部の圧縮応力を有している。圧縮応力は横方向寸法に転送されて、PMOSチャネルに圧縮歪みを作成して、ホールの移動度を増加させる。NMOS用途について、SiCの格子定数はシリコンよりも小さいため、SiCは凹型エリアにおいて、チャネルの引張応力を作成するために使用可能である。引張応力はチャネルに転送されて、電子移動度を増加させる。従って、一実施形態では、第1のシリコン含有層は第1の格子歪み値で形成され、第2のシリコン含有層は第2の格子歪み値で形成される。例えば、約50Å〜約200Åの厚さのSiC層が基板表面に堆積され、引き続き、約150Å〜約1,000Åの厚さのSiGe層がSiC層に堆積される。SiC層はエピタキシャル成長されてもよく、SiC層にエピタキシャル成長されたSiGe層よりも歪みが小さい。
[0084]本明細書に説明された実施形態では、シリコン含有化合物膜は、化学気相堆積(CVD)プロセスによって選択的かつエピタキシャルに堆積される。化学気相堆積プロセスは原子層成長(ALD)プロセスおよび/または原子層エピタキシ(ALE)プロセスを含んでいる。化学気相堆積は、プラズマ支援CVD(PA−CVD)、原子層CVD(ALCVD)、有機金属(organometallic)つまり有機金属(metalorganic)CVD(OMCVDつまりMOCVD)、レーザー支援CVD(LA−CVD)、紫外線CVD(UV−CVD)、ホットワイヤー(HWCVD)、低圧CVD(RP−CVD)、超高真空CVD(UHV−CVD)などの多数の技術の使用を含んでいる。一実施形態では、好ましいプロセスは、熱CVDを使用してシリコン含有化合物をエピタキシャル成長または堆積することであるのに対して、シリコン含有化合物は、シリコン、SiGe、SiC、SiGeC、これらのドープ変形およびこれらの組み合わせを含んでいる。
[0085]本発明のプロセスは、ALE、CVDおよびALDの従来技術において既知の機器で実施可能である。この装置は、プロセスチャンバに入る前に分離された堆積ガスおよびエッチングガスを維持するための複数のガスラインを含有してもよい。その後、ガスは、シリコン含有化合物膜が成長された加熱基板と接触させられる。シリコン含有膜を堆積するために使用可能なハードウェアは、カリフォルニア州サンタクララにあるApplied Materials,Inc.,より入手可能なEpi Centura(登録商標)システムおよびPoly Gen(登録商標)システムを含んでいる。ALD装置は、米国特許公開第20030079686号として公開され、Applied Materials,Inc.,に譲渡され、「Gas Delivery Apparatus and Method for ALD」と題された米国特許出願第10/032,284号に開示されており、この装置を説明するためにその全体が参照として本明細書に組み込まれている。他の装置は、当業界で既知のバッチ高温炉を含んでいる。
実施例
[0086]以下の仮定的な実施例が、基板表面上にエレベーテッドソースドレイン(ESD)構造を形成するために遂行された。パターン化基板は、基板表面およびゲート内に形成されたソース/ドレイン部材と、これらの間に形成されたスペーサとを具備する単結晶表面を含有した。
[0087]実施例1:Cl エッチャントによるシリコンの選択エピタキシ
−基板は加熱されたプロセスチャンバに置かれて、550℃に維持された。プロセスチャンバは約15トールの圧力に維持された。基板表面は、100sccmの流量のシランおよび25slmの流量の窒素を含有する堆積ガスの流れに7秒間暴露された。基板はその後、20sccmの流量の塩素ガスおよび25slmの流量の窒素を含有するエッチングガスに10秒間暴露された。堆積ガスの暴露およびエッチングガスの暴露のサイクルが、基板の暴露された単結晶部分にエピタキシャル成長シリコン層を形成するために50回反復された。シリコンエピタキシャル層は約1,000Åの厚さを有した。
[0088]実施例2:Cl エッチャントによるシリコンゲルマニウムの選択エピタキシ
−基板は加熱されたプロセスチャンバに置かれて、550℃に維持された。プロセスチャンバは約15トールの圧力に維持された。基板表面は、100sccmの流量のシランと、3sccmの流量のゲルマンと、25slmの流量の窒素とを含有する堆積ガスの流れに8秒間暴露された。基板はその後、20sccmの流量の塩素ガスおよび25slmの流量の窒素を含有するエッチングガスに10秒間暴露された。堆積ガスの暴露およびエッチングガスの暴露のサイクルが、基板の暴露された単結晶部分にエピタキシャル成長シリコン含有層を形成するために50回反復された。シリコン含有エピタキシャル層は約1,700Åの厚さを有した。
[0089]実施例3:Cl エッチャントによるシリコンゲルマニウムの選択エピタキシ
−基板は加熱されたプロセスチャンバに置かれて、550℃に維持された。プロセスチャンバは約15トールの圧力に維持された。基板表面は、100sccmの流量のシランおよび25slmの流量の窒素を含有する堆積ガスの流れに7秒間暴露された。基板表面はその後、5sccmの流量のゲルマンおよび25slmの流量の窒素を含有する第2の堆積ガスの流れに7秒間暴露された。基板は、20sccmの流量の塩素ガスおよび25slmの流量の窒素を含有するエッチングガスに10秒間暴露された。堆積ガスの暴露およびエッチングガスの暴露のサイクルが、基板の暴露された単結晶部分にエピタキシャル成長シリコン含有層を形成するために50回反復された。シリコン含有エピタキシャル層は約1,800Åの厚さを有した。
[0090]実施例4:Cl エッチャントによるシリコン炭素の選択エピタキシ
−基板は加熱されたプロセスチャンバに置かれて、550℃に維持された。プロセスチャンバは約15トールの圧力に維持された。基板表面はその後、100sccmの流量のシランと、1sccmの流量のメチルシランと、25slmの流量の窒素とを含有する堆積ガスの流れに8秒間暴露された。基板は、20sccmの流量の塩素ガスおよび25slmの流量の窒素を含有するエッチングガスに10秒間暴露された。堆積ガスの暴露およびエッチングガスの暴露のサイクルが、基板の暴露された単結晶部分にエピタキシャル成長シリコン含有層を形成するために50回反復された。シリコン含有エピタキシャル層は約1,600Åの厚さを有した。
[0091]実施例5:Cl エッチャントによるシリコン炭素の選択エピタキシ
−基板は加熱されたプロセスチャンバに置かれて、550℃に維持された。プロセスチャンバは約15トールの圧力に維持された。基板表面はその後、100sccmの流量のシランおよび25slmの流量の窒素を含有する堆積ガスの流れに7秒間暴露された。基板表面は、5sccmの流量のメチルシランおよび25slmの流量の窒素を含有する第2の堆積ガスの流れに7秒間暴露された。基板は、20sccmの流量の塩素ガスおよび25slmの流量の窒素を含有するエッチングガスに10秒間暴露された。堆積ガスの暴露およびエッチングガスの暴露のサイクルが、基板の暴露された単結晶部分にエピタキシャル成長シリコン含有層を形成するために50回反復された。シリコン含有エピタキシャル層は約1,800Åの厚さを有した。
[0092]実施例6:HClエッチャントによるシリコンの選択エピタキシ
−基板は加熱されたプロセスチャンバに置かれて、700℃に維持された。プロセスチャンバは約15トールの圧力に維持された。基板表面はその後、100sccmの流量のシランおよび25slmの流量の水素を含有する堆積ガスの流れに7秒間暴露された。基板は、200sccmの流量の塩化水素および25slmの流量の水素を含有するエッチングガスに40秒間暴露された。堆積ガスの暴露およびエッチングガスの暴露のサイクルが、基板の暴露された単結晶部分にエピタキシャル成長シリコン層を形成するために10回反復された。シリコンエピタキシャル層は約800Åの厚さを有した。
[0093]実施例7:HClエッチャントによるシリコンゲルマニウムの選択エピタキシ
−基板は加熱されたプロセスチャンバに置かれて、700℃に維持された。プロセスチャンバは約15トールの圧力に維持された。基板表面はその後、100sccmの流量のシランと、3sccmの流量のゲルマンと、25slmの流量の水素とを含有する堆積ガスの流れに8秒間暴露された。基板は、200sccmの流量の塩化水素および25slmの流量の水素を含有するエッチングガスに40秒間暴露された。堆積ガスの暴露およびエッチングガスの暴露のサイクルが、基板の暴露された単結晶部分にエピタキシャル成長シリコン含有層を形成するために20回反復された。シリコン含有エピタキシャル層は約1,500Åの厚さを有した。
[0094]実施例8:HClエッチャントによるシリコンゲルマニウムの選択エピタキシ
−基板は加熱されたプロセスチャンバに置かれて、700℃に維持された。プロセスチャンバは約15トールの圧力に維持された。基板表面はその後、100sccmの流量のシランおよび25slmの流量の水素を含有する堆積ガスの流れに7秒間暴露された。基板表面は、5sccmの流量のゲルマンおよび25slmの流量の水素を含有する第2の堆積ガスの流れに7秒間暴露された。基板は、200sccmの流量の塩化水素および25slmの流量の水素を含有するエッチングガスに40秒間暴露された。堆積ガスの暴露およびエッチングガスの暴露のサイクルが、基板の暴露された単結晶部分にエピタキシャル成長シリコン含有層を形成するために20回反復された。シリコン含有エピタキシャル層は約1,600Åの厚さを有した。
[0095]実施例9:HClエッチャントによるシリコン炭素の選択エピタキシ
−基板は加熱されたプロセスチャンバに置かれて、700℃に維持された。プロセスチャンバは約15トールの圧力に維持された。基板表面はその後、100sccmの流量のシランと、1sccmの流量のメチルシランと、25slmの流量の水素とを含有する堆積ガスの流れに8秒間暴露された。基板は、200sccmの流量の塩化水素および25slmの流量の水素を含有するエッチングガスに40秒間暴露された。堆積ガスの暴露およびエッチングガスの暴露のサイクルが、基板の暴露された単結晶部分にエピタキシャル成長シリコン含有層を形成するために20回反復された。シリコン含有エピタキシャル層は約1,500Åの厚さを有した。
[0096]実施例10:HClエッチャントによるシリコン炭素の選択エピタキシ
−基板は加熱されたプロセスチャンバに置かれて、700℃に維持された。プロセスチャンバは約15トールの圧力に維持された。基板表面はその後、100sccmの流量のシランおよび25slmの流量の水素を含有する堆積ガスの流れに7秒間暴露された。基板表面は、5sccmの流量のゲルマンおよび25slmの流量の水素を含有する第2の堆積ガスの流れに7秒間暴露された。基板は、200sccmの流量の塩化水素および25slmの流量の水素を含有するエッチングガスに40秒間暴露された。堆積ガスの暴露およびエッチングガスの暴露のサイクルが、基板の暴露された単結晶部分にエピタキシャル成長シリコン含有層を形成するために20回反復された。シリコン含有エピタキシャル層は約1,600Åの厚さを有した。ゲートなどの基板表面の暴露された誘電部分は、堆積ガスから制限的な多結晶成長を形成したか、あるいは全く多結晶成長を形成しなかった。
[0097]実施例11:BによってドープされかつCl によってエッチングされたシリコンの選択エピタキシ
−基板は加熱されたプロセスチャンバに置かれて、550℃に維持された。プロセスチャンバは約15トールの圧力に維持された。基板表面は、100sccmの流量のシランと、3sccmの流量のジボランと25slmの流量の窒素とを含有する堆積ガスの流れに7秒間暴露された。基板はその後、20sccmの流量の塩素ガスおよび25slmの流量の窒素を含有するエッチングガスに10秒間暴露された。堆積ガスの暴露およびエッチングガスの暴露のサイクルは、基板の暴露された単結晶部分にエピタキシャル成長シリコン層を形成するために50回反復された。シリコンエピタキシャル層は約1,000Åの厚さを有した。
[0098]実施例12:BによってドープされかつCl によってエッチングされたシリコンゲルマニウムの選択エピタキシ
−基板は加熱されたプロセスチャンバに置かれて、550℃に維持された。プロセスチャンバは約15トールの圧力に維持された。基板表面は、100sccmの流量のシランと、3sccmの流量のゲルマンと、3sccmの流量のジボランと25slmの流量の窒素とを含有する堆積ガスの流れに8秒間暴露された。基板はその後、20sccmの流量の塩素ガスおよび25slmの流量の窒素を含有するエッチングガスに10秒間暴露された。堆積ガスの暴露およびエッチングガスの暴露のサイクルは、基板の暴露された単結晶部分にエピタキシャル成長シリコン含有層を形成するために50回反復された。シリコン含有エピタキシャル層は約1,700Åの厚さを有した。
[0099]実施例13:BによってドープされかつCl によってエッチングされたシリコンゲルマニウムの選択エピタキシ
−基板は加熱されたプロセスチャンバに置かれて、550℃に維持された。プロセスチャンバは約15トールの圧力に維持された。基板表面は、100sccmの流量のシランと、3sccmの流量のジボランと、25slmの流量の窒素とを含有する堆積ガスの流れに7秒間暴露された。基板表面はその後、5sccmの流量のゲルマンおよび25slmの流量の窒素を含有する第2の堆積ガスの流れに7秒間暴露された。基板はその後、20sccmの流量の塩素ガスおよび25slmの流量の窒素を含有するエッチングガスに10秒間暴露された。堆積ガスの暴露およびエッチングガスの暴露のサイクルは、基板の暴露された単結晶部分にエピタキシャル成長シリコン含有層を形成するために50回反復された。シリコン含有エピタキシャル層は約1,800Åの厚さを有した。
[00100]実施例14:PによってドープされかつCl によってエッチングされたシリコン炭素の選択エピタキシ
−基板は加熱されたプロセスチャンバに置かれて、550℃に維持された。プロセスチャンバは約15トールの圧力に維持された。基板表面は、100sccmの流量のシランと、1sccmの流量のメチルシランと、3sccmの流量のホスフィンと、25slmの流量の窒素とを含有する堆積ガスの流れに8秒間暴露された。基板はその後、20sccmの流量の塩素ガスおよび25slmの流量の窒素を含有するエッチングガスに10秒間暴露された。堆積ガスの暴露およびエッチングガスの暴露のサイクルが、基板の暴露された単結晶部分にエピタキシャル成長シリコン含有層を形成するために80回反復された。シリコン含有エピタキシャル層は約1,600Åの厚さを有した。
[00101]実施例15:PによってドープされかつCl によってエッチングされたシリコン炭素の選択エピタキシ
−基板は加熱されたプロセスチャンバに置かれて、550℃に維持された。プロセスチャンバは約15トールの圧力に維持された。基板表面は、100sccmの流量のシランと、3sccmの流量のホスフィンと、25slmの流量の窒素とを含有する堆積ガスの流れに7秒間暴露された。基板表面はその後、5sccmの流量のメチルシランおよび25slmの流量の窒素を含有する第2の堆積ガスの流れに7秒間暴露された。基板はその後、20sccmの流量の塩素ガスおよび25slmの流量の窒素を含有するエッチングガスに10秒間暴露された。堆積ガスの暴露およびエッチングガスの暴露のサイクルは、基板の暴露された単結晶部分にエピタキシャル成長シリコン含有層を形成するために80回反復された。シリコン含有エピタキシャル層は約1,800Åの厚さを有した。
シリコンエピタキシャル膜形成時のHClおよび/またはCl の使用
[00102]上記のように、本発明者は、シリコンエピタキシャル膜形成プロセスでClをエッチャントガスとして使用することは、得られるシリコンエピタキシャル膜の表面形態の不良につながる場合があることを発見した。具体的な理論に縛られたくはないが、Clはシリコンエピタキシャル膜表面をかなり積極的に攻撃して、孔食などを生成することがあると思われる。Clの使用は、シリコンエピタキシャル膜が炭素を含有する場合にとりわけ問題があると分かっている。
[00103]本発明の一実施形態では、ClおよびHClの両方が、シリコンエピタキシャル膜形成プロセスのエッチング段階で用いられる。HClの存在は、HClがほとんど解離しない低い基板温度(例えば、摂氏約600度以下)に対しても、Clの攻撃性を低下させると思われる。さらに、AGSプロセス中、HClは、(例えば、表面形態を改良するために)このプロセスの堆積およびエッチング段階で連続的に流されてもよい。
[00104]図5は、シリコンエピタキシャル膜形成中にClを用いるための第1の方法500のフローチャートである。図5を参照すると、方法500はステップ501で開始する。ステップ502において、基板は、エピタキシャル膜を形成するように適合されたプロセスチャンバ(図示せず)に置かれる。プロセスチャンバは、1つ以上の基板にエピタキシャル膜を形成するように適合された任意の従来のエピタキシャル膜チャンバを備えてもよい。他のエピタキシャル膜チャンバおよび/またはシステムが使用されてもよいが、例示的なエピタキシャル膜チャンバは、カリフォルニア州サンタクララにあるApplied Materials,Inc.,から入手可能なEpi Centura(登録商標)システムおよびPoly Gen(登録商標)システムに見られることがある。
[00105]プロセスチャンバ内への配置に続いて、基板は所望の基板および/またはプロセス温度に加熱される。本発明の少なくとも1つの実施形態では、摂氏約700度未満の基板および/またはプロセス温度が、プロセスチャンバ内に形成された任意のシリコンエピタキシャル層内の炭素の組み込みを改良するために用いられてもよい。具体的な実施形態では、摂氏約550〜650度の基板および/またはプロセス温度範囲が使用されてもよく、さらに別の実施形態では、摂氏約600度未満の基板および/またはプロセス温度が使用されてもよい。摂氏700度より高い基板および/またはプロセス温度を含む他の基板および/またはプロセス温度が使用されてもよい。
[00106]所望の基板および/またはプロセス温度に達した後、ステップ503において、基板は、基板上にシリコンエピタキシャル膜を形成するために少なくともシリコンソースに暴露される。例えば、基板は、シランなどのシリコンソース、窒素などのキャリアガス、またはリンやホウ素などのドーパントソースなどに暴露されてもよい。炭素ソース、ゲルマニウムソース、あるいはここで既に説明されたガスのうちのいずれかなどの任意の他の適切なシリコンソース、キャリアガス、ドーパントソースまたは他のガスが使用されてもよい。エピタキシャル膜形成プロセス中に、エピタキシャル層は基板の任意の単結晶表面に形成されてもよいのに対して、多結晶層は、(既に説明されたように)基板上にある任意の多結晶層および/または任意のアモルファス層に形成されてもよい。
[00107]ステップ504において、基板は、ステップ503中に基板に形成されたシリコンエピタキシャル膜および/または任意の他の膜(例えば、基板上にある多結晶またはアモルファス層に形成された多結晶シリコン)をエッチングするために、HClおよびClに暴露される。基板の単結晶表面に形成されたエピタキシャル膜は、ステップ503中に形成された他の膜よりもゆっくりとエッチングする点に注目する。
[00108]エッチング中のHClの存在は、HClがほとんど解離しない低い基板および/またはプロセス温度(例えば、摂氏約600度以下)でもClの攻撃性を低下させることがある。少なくとも1つの実施形態では、Clに対して実質的により大きな流量のHClが用いられてもよい。例えば、少なくとも1つの実施形態では、(より大きいまたは小さいHCl/Cl比が使用されてもよいが)Clの流量のおよそ6〜10倍のHCl流量が使用されてもよい。具体的な実施形態では、約300sccmのHCl流量、約30〜50sccmのCl流量および約10〜50slm(例えば、約20〜25slm)の窒素キャリアガス流量が使用されてもよい。他の流量/比が用いられてもよい。
[00109]エッチングに続いて、プロセスチャンバは、チャンバから任意のClおよび/または他の不要な種/副生成物を除去するために(約20秒間または他の適切な期間窒素および/または別の不活性ガスによって)パージされてもよい。その後、ステップ505において、基板に形成されたエピタキシャル膜が所望の厚さであるか否かが判断される。例えば、エピタキシャル膜の厚さは、ステップ503および/または504中に使用されたプロセス時間および/または他のパラメータに基づいて測定または推定されてもよい。膜が所望の厚さである場合、方法500はステップ506で終了する。そうでなければ、方法500はステップ503に戻り、追加の堆積(ステップ503)およびエッチングステップ(504)が基板に対して実行される。ステップ503および504は、所望の膜厚が達成されるまで反復されてもよい。
[00110]ステップ504中にHClおよびClの両方を使用することによって、Clを一次エッチャントとして用いることの利点(例えば、低い基板および/またはプロセス温度処理、良好な炭素組み込みなど)が、方法500中に形成されたエピタキシャル膜の表面形態を劣化させることなく実現可能である。
[00111]図6は、シリコンエピタキシャル膜形成中にClを用いるための第2の方法600のフローチャートである。図6を参照すると、方法600はステップ601で開始する。ステップ602において、基板は、エピタキシャル膜を形成するように適合されたプロセスチャンバ(図示せず)に置かれる。プロセスチャンバは、1つ以上の基板にエピタキシャル膜を形成するように適合された任意の従来のエピタキシャル膜チャンバを備えてもよい。他のエピタキシャル膜チャンバおよび/またはシステムが使用されてもよいが、例示的なエピタキシャル膜チャンバが、カリフォルニア州サンタクララにあるApplied Materials,Inc.,から入手可能なEpi Centura(登録商標)システムおよびPoly Gen(登録商標)システムに見られることがある。
[00112]プロセスチャンバ内への配置に続いて、基板は所望の基板および/またはプロセス温度に加熱される。本発明の少なくとも1つの実施形態では、摂氏約700度未満の基板および/またはプロセス温度が、プロセスチャンバ内に形成された任意のシリコンエピタキシャル層内への炭素組み込みを改良するために用いられてもよい。具体的な実施形態では、摂氏約550〜650度の基板および/またはプロセス温度範囲が使用されてもよく、さらに別の実施形態では、摂氏約600度未満の基板および/またはプロセス温度が使用されてもよい。摂氏700度より高い基板および/またはプロセス温度を含む他の基板および/またはプロセス温度が使用されてもよい。
[00113]所望の基板および/またはプロセス温度に達すると、ステップ603において、基板は、基板にシリコンエピタキシャル膜を形成するために、少なくともシリコンソースおよびHClに暴露される。例えば、基板は、シランやジシランなどのシリコンソース、HCl、および窒素などのキャリアガスに暴露されてもよい。(他の適切なソースおよび/またはガスのように)リンやホウ素などのドーパントソース、炭素ソースまたはゲルマニウムソースなどもまた使用されてもよい。エピタキシャル膜形成プロセス中に、エピタキシャル層は基板の任意の単結晶表面に形成されてもよいのに対して、多結晶層は、(既に説明されているように)基板上にある任意の多結晶層および/または任意のアモルファス層に形成されてもよい。シリコンエピタキシャル膜形成中のHClの存在は、基板に形成された他の膜(例えば、多結晶層)に対するシリコンエピタキシャル膜堆積の選択率を高めることがあり、またエピタキシャル膜の表面形態を改良することがある。
[00114]少なくとも1つの実施形態では、およそ300sccmのHCl流量が、約50〜150sccmのシラン(または約10〜40sccmの流量のジシラン)のシリコンソース流量および約10slm〜50slm(例えば、約20〜25slm)の窒素キャリアガス流量と併用されてもよい。より多いまたはより少ないHCl、シリコンおよび/またはキャリアガス流量が使用されてもよい。
[00115]ステップ604において、基板はステップ603中に基板に形成されたエピタキシャル膜および/または他の膜(例えば、基板に存在する多結晶またはアモルファス層に形成された多結晶シリコン)をエッチングするためにHClおよびClに暴露される。基板の単結晶表面に形成されたエピタキシャル膜は、ステップ603中に形成された他の膜よりもゆっくりとエッチングする点に注目する。上記のように、HClの存在は、HClがほとんど解離しない低い基板および/またはプロセス温度(例えば、摂氏約600度以下)でもClの攻撃性を低下させることがある。
[00116]少なくとも1つの実施形態では、Clに対して実質的により大きな流量のHClが用いられてもよい。例えば、少なくとも1つの実施形態では、(より多いまたは少ないHCl/Cl比が使用されてもよいが)Clの流量のおよそ6〜10倍のHCl流量が使用されてもよい。具体的な実施形態では、(他の流量が用いられてもよいが)約300sccmのHCl流量、約30〜50sccmのCl流量および約20〜25のキャリアガス流量もまた使用されてもよい。
[00117]エッチングに続いて、プロセスチャンバは、任意のClおよび/または他の不要な種/副生成物をチャンバから除去するために(例えば、約20秒または他の適切な期間窒素および/または別の不活性ガスによって)パージされてもよい。その後、ステップ605において、基板に形成されたエピタキシャル膜が所望の厚さであるか否かが判断される。例えば、エピタキシャル膜の厚さは、ステップ603および/または604中に使用されたプロセス時間および/または他のパラメータに基づいて測定または推定されてもよい。膜が所望の厚さである場合、方法600はステップ606で終了する。そうでなければ、方法600はステップ603に戻り、追加の堆積ステップ(ステップ603)およびエッチングステップ(604)が基板に対して実行される。ステップ603および604は、所望の膜厚が達成されるまで反復されてもよい。
[00118]上記のように、ステップ604中にHClおよびClの両方を使用することによって、Clを一次エッチャントとして用いることの利点(例えば、低い基板および/またはプロセス温度処理、良好な炭素組み込みなど)が、方法600中に形成されたエピタキシャル膜の表面形態を劣化させることなく実現可能であることがある。さらに、ステップ603中のHClの使用は、基板上の他の膜(例えば、多結晶シリコン)の形成に対してシリコンエピタキシャル膜の形成の助けとなる場合がある。同じまたは異なるHCl流量がステップ603および604で使用されてもよい。
[00119]図7は、シリコンエピタキシャル膜形成中にClを用いるための第3の方法700のフローチャートである。図7を参照すると、方法700はステップ701で開始する。ステップ702において、基板は、エピタキシャル膜を形成するように適合されたプロセスチャンバ(図示せず)に置かれる。プロセスチャンバは、1つ以上の基板にエピタキシャル膜を形成するように適合された任意の従来のエピタキシャル膜チャンバを備えてもよい。他のエピタキシャル膜チャンバおよび/またはシステムが使用されてもよいが、例示的なエピタキシャル膜チャンバは、カリフォルニア州サンタクララにあるApplied Materials,Inc.,から入手可能なEpi Centura(登録商標)システムおよびPoly Gen(登録商標)システムに見られることがある。
[00120]プロセスチャンバ内への配置に続いて、基板は所望の基板および/またはプロセス温度に加熱される。本発明の少なくとも1つの実施形態では、摂氏約700度未満の基板および/またはプロセス温度が、プロセスチャンバ内に形成された任意のシリコンエピタキシャル層内の炭素組み込みを改良するために用いられてもよい。具体的な実施形態では、摂氏約550〜650度の基板および/またはプロセス温度範囲が使用されてもよく、さらに別の実施形態では、摂氏約600度未満の基板および/またはプロセス温度が使用されてもよい。摂氏700度より高い基板および/またはプロセス温度を含む他の基板および/またはプロセス温度が使用されてもよい。
[00121]所望の基板および/またはプロセス温度に達した後、ステップ703において、基板は、基板に炭素含有シリコンエピタキシャル膜を形成するために少なくともシリコンソースおよび炭素ソースに暴露される。例えば、基板は、シランやジシランなどのシリコンソース、メタンなどの炭素ソースまたは窒素などのキャリアガスソースなどに暴露されてもよい。(他の適切なソースおよび/またはガスのように)リンやホウ素などのドーパントソースやゲルマニウムソースなどもまた使用されてもよい。エピタキシャル膜形成プロセス中、エピタキシャル層は基板の任意の単結晶表面に形成されてもよいのに対して、(既に説明されているように)多結晶層は基板上にある任意の多結晶層および/または任意のアモルファス層に形成されてもよい
[00122]少なくとも1つの実施形態では、(より多いまたは少ない炭素ソース、シリコンソースおよび/またはキャリアガス流量が使用されてもよいが)約1〜5sccmのメタンの炭素ソース流量が、約50〜150sccmのシラン(または、約10〜40sccmのジシラン)のシリコンソース流量および約20〜25slmの窒素キャリアガス流量と併用されてもよい。HClもまた所望ならば流されてもよい。
[00123]炭素含有エピタキシャル膜は、他の厚さが使用されてもよいが、例えば約10〜約1600オングストロームの厚さを有してもよい。例えば、約1秒〜約300秒、1つ以上の実施形態では約10秒の堆積時間が使用されてもよい。
[00124]ステップ704において、炭素含有シリコンエピタキシャル膜がカプセル化膜によってカプセル化される。例えば、カプセル化膜は、炭素含有シリコンエピタキシャル膜にわたって(炭素ソースなしの)シリコンエピタキシャル膜を形成するためにシランやジシランなどのシリコンソースおよび窒素などのキャリアガスに基板を暴露することによって形成される。ステップ703の基板および/またはプロセス温度に類似しているかこれとは異なる基板および/またはプロセス温度が用いられてもよい。炭素含有シリコンエピタキシャル膜にわたるカプセル化膜の存在は、(エッチング中の)炭素含有シリコンエピタキシャル膜における塩素と炭素(および/または水素)の相互作用を減少させ、表面形態を改良させることがある。例えば、多数の炭素ソースは水素が豊富であるため、炭素ソースに暴露されたシリコン表面はかなり水素終端されることがある。このような水素終端表面は、エッチング中に塩素との反応が不良な場合がある。
[00125]少なくとも1つの実施形態では、シリコンエピタキシャル膜がカプセル化膜として用いられてもよく、(より多いまたは少ないシリコンソースおよび/またはキャリアガス流量が使用されてもよいが)約50〜150の流量のシラン(または、約10〜40sccmの流量のジシラン)のシリコンソースおよび約20〜25slmの流量の窒素キャリアガスを流すことによって形成されてもよい。HClもまた、図6を参照して既に説明されたように流されてもよい。
[00126]第1のシリコンエピタキシャル膜は、他の厚さが使用されてもよいが、約2オングストローム〜約500オングストロームの厚さを有してもよい。例えば、約1秒〜約100秒、1つ以上の実施形態では約5秒の堆積時間が使用されてもよい。
[00127]ステップ705において、基板は、ステップ704中に形成されたカプセル化膜および/または他の膜(例えば、基板上にある多結晶および/またはアモルファス層に形成された多結晶シリコン、あるいは炭素含有シリコンエピタキシャル膜に形成された単結晶シリコン)をエッチングするためにClに暴露される。例えば、少なくとも1つの実施形態では、(より多いまたは少ないClおよび/または窒素キャリアガス流量が使用されてもよいが)基板は、約30〜50sccmのCl流量および約20〜25slmの窒素キャリアガス流量に暴露されてもよい。HClはまた、図6を参照して既に説明されたように流されてもよい。他のエッチャントおよび/またはキャリアガスが使用されてもよい。
[00128]エッチングに続いて、プロセスチャンバは、任意のClおよび/または他の不要な種/副生成物をチャンバから除去するために(例えば、約20秒または他の適切な期間窒素および/または別の不活性ガスによって)パージされてもよい。その後、ステップ706において、基板に形成されたエピタキシャル膜が所望の厚さであるか否かが判断される。例えば、エピタキシャル膜の厚さは、ステップ703および/または704および/または705中に使用されるプロセス時間および/または他のパラメータに基づいて測定または推定されてもよい。膜が所望の厚さである場合、方法700はステップ707で終了する。そうでなければ、方法700はステップ703に戻り、追加の堆積ステップ(ステップ703)、カプセル化ステップ(ステップ704)およびエッチングステップ(ステップ705)が基板に対して実行される。所望の膜厚が達成されるまで、ステップ703、704および/または705が反復されてもよい。
[00129]図8は、シリコンエピタキシャル膜形成中にClを用いるための第4の方法800のフローチャートである。図8を参照すると、方法800はステップ801から開始する。ステップ802において、基板は、エピタキシャル膜を形成するように適合されたプロセスチャンバ(図示せず)に置かれる。プロセスチャンバは、1つ以上の基板にエピタキシャル膜を形成するように適合された任意の従来のエピタキシャル膜チャンバを備えてもよい。他のエピタキシャル膜チャンバおよび/またはシステムが使用されてもよいが、例示的なエピタキシャル膜チャンバが、カリフォルニア州サンタクララにあるAppleid Materials,Inc.,から入手可能なEpi Centura(登録商標)システムおよびPoly Gen(登録商標)システムに見られることがある。
[00130]プロセスチャンバ内への配置に続いて、基板は所望の基板および/またはプロセス温度に加熱される。本発明の少なくとも1つの実施形態では、摂氏約700度未満の基板および/またはプロセス温度が、プロセスチャンバ内に形成された任意のシリコンエピタキシャル層内の炭素組み込みを改良するために用いられてもよい。具体的な実施形態では、摂氏約550〜650度の基板および/またはプロセス温度範囲が使用されてもよく、さらに別の実施形態では、摂氏約600度未満の基板および/またはプロセス温度が使用されてもよい。摂氏700度より高い基板および/またはプロセス温度を含む他の基板および/またはプロセス温度が使用されてもよい。
[00131]所望の基板および/またはプロセス温度に達した後、ステップ803において、基板は、基板上に第1のシリコンエピタキシャル膜を形成するために、(炭素ソースなしの)少なくともシリコンソースに暴露される。例えば、基板は、シランやジシランなどのシリコンソースおよび窒素などのキャリアガスに暴露されてもよい。(他の適切なソースおよび/またはガスのように)リンやホウ素などのドーパントソースやゲルマニウムソースなどもまた使用されてもよい。エピタキシャル膜形成中に、(既に説明されているように)エピタキシャル層は基板の任意の単結晶表面に形成されてもよいのに対して、多結晶層は基板上にある任意の多結晶層および/または任意のアモルファス層に形成されてもよい。HClはまた、図6を参照して既に説明されているように流されてもよい。
[00132](より多いまたは少ないシリコンソースおよび/またはキャリアガス流量が使用されてもよいが)第1のシリコンエピタキシャル膜は、例えば、約50〜150sccmの流量のシラン(または、約10〜40sccmの流量のジシラン)のシリコンソースおよび約20〜25slmの流量の窒素キャリアガスを流すことによって形成されてもよい。HClはまた、図6を参照して既に説明されているように流されてもよい。
[00133]少なくとも1つの実施形態では、第1のシリコンエピタキシャル膜は、他の厚さが使用されてもよいが、約2オングストローム〜約100オングストロームの厚さを有してもよい。例えば、約1秒〜約100秒、1つ以上の実施形態では約5秒の堆積時間が使用されてもよい。
[00134]第1のシリコンエピタキシャル膜が形成された後、ステップ804において、基板は、第1のシリコンエピタキシャル膜にわたって基板上に炭素含有シリコンエピタキシャル膜を形成するために少なくともシリコンソースおよび炭素ソースに暴露される。例えば、基板は、シランやジシランなどのシリコンソース、メタンなどの炭素ソース、および窒素などのキャリアガスに暴露されてもよい。(他の適切なソースおよび/またはガスのように)リンやホウ素などのドーパントソースやゲルマニウムソースなどもまた使用されてもよい。エピタキシャル膜形成プロセス中、(既に説明されているように)炭素含有エピタキシャル層は基板の任意の単結晶表面に形成されてもよいのに対して、多結晶層は基板上にある任意の多結晶層および/または任意のアモルファス層に形成されてもよい。
[00135]少なくとも1つの実施形態では、(より多いまたは少ないシリコンソースおよび/またはキャリアガス流量が使用されてもよいが)およそ1〜5sccmのメタンの炭素ソース流量が、約50〜150sccmの流量のシラン(または、約10〜40sccmのジシラン)のシリコンソースおよび約20〜25slmの流量の窒素キャリアガスと併用されてもよい。HClが所望ならば流されてもよい。
[00136]他の厚さが使用されてもよいが、炭素含有エピタキシャル膜は例えば、約2オングストローム〜約100オングストロームの厚さを有してもよい。例えば、約1秒〜約50秒、1つ以上の実施形態では約10秒の堆積時間が使用されてもよい。
[00137]炭素含有シリコンエピタキシャル膜が形成された後、ステップ805において、基板は、炭素含有シリコンエピタキシャル膜にわたって基板上に第2のシリコンエピタキシャル膜を形成するために(炭素ソースなしの)少なくともシリコンソースに暴露される。例えば、基板は、シランやジシランなどのシリコンソースおよび窒素などのキャリアガスに暴露されてもよい。(他の適切なソースおよび/またはガスのように)リンやホウ素などのドーパントソースやゲルマニウムソースなどもまた使用されてもよい。炭素含有シリコンエピタキシャル膜にわたる第2のシリコンエピタキシャル膜の存在は、(ステップ804で形成された)炭素含有シリコンエピタキシャル膜における塩素と炭素(および/または水素)の相互作用を減少させることがある。HClはまた、図6を参照して既に説明されているように流されてもよい。
[00138](より多いまたは少ないシリコンソースおよび/またはキャリアガス流量が使用されてもよいが)第2のシリコンエピタキシャル膜は例えば、約50〜150sccmの流量のシラン(または、約10〜40sccmの流量のジシラン)のシリコンソースおよび約20〜25slmの流量の窒素キャリアガスを流すことによって形成されてもよい。HClもまた図6を参照して既に説明されているように流されてもよい。
[00139]少なくとも1つの実施形態では、他の厚さが使用されてもよいが、第2のシリコンエピタキシャル膜は約2オングストローム〜約100オングストロームの厚さを有してもよい。例えば、約1秒〜約100秒、1つ以上の実施形態では約5秒の堆積時間が使用されてもよい。
[00140]ステップ806において、基板は、ステップ805中に形成された少なくとも第2のシリコンエピタキシャル膜および/または任意の他の膜(例えば、基板上にある多結晶および/またはアモルファス層に形成された多結晶シリコンおよび/または炭素含有シリコンエピタキシャル膜に形成された単結晶シリコン)をエッチングするためにClに暴露される。例えば、少なくとも1つの実施形態では、(より多いまたはより少ないClおよび/または窒素キャリアガス流量が使用されてもよいが)基板は約30〜50sccmのCl流量および20slmの流量の窒素キャリアガス流量に暴露されてもよい。HClはまた、図5を参照して既に説明されているように流されてもよい。
[00141]エッチングに続いて、プロセスチャンバは、任意のClおよび/または任意の他の不要な種/副生成物をチャンバから除去するために、(例えば、約20秒または他の適切な期間窒素および/または別の不活性ガスによって)パージされてもよい。その後、ステップ807において、基板に形成されたエピタキシャル膜が所望の厚さであるか否かが判断される。例えば、エピタキシャル膜の厚さは、ステップ803および/または804および/または805および/または806中に使用されたプロセス時間および/または他のパラメータに基づいて測定または推定されてもよい。膜が所望の厚さである場合、方法800はステップ808で終了する。そうでなければ、方法800はステップ803に戻り、追加の堆積ステップ(ステップ803〜805)および追加のエッチングステップ(ステップ806)が基板に対して実行される。所望の膜厚が達成されるまでステップ803、804、805および/または806が反復されてもよい。
[00142](図7および8の)方法700および/または800はまた(炭素含有エピタキシャル膜に加えて)他のタイプのシリコンエピタキシャル膜をカプセル化するために用いられてもよい。例えば、ゲルマニウム、ホウ素、リンなどの追加の元素ソースは、追加元素含有シリコンエピタキシャル膜を形成するためにステップ703(図7)またはステップ804(図8)中に使用されてもよい。追加元素含有シリコンエピタキシャル膜は次いで、(例えば、ステップ705またはステップ806中に追加元素含有シリコンエピタキシャル膜をClに暴露することを回避するために)図7および8を参照して説明されたのと同様にステップ704またはステップ805中にカプセル化されてもよい。
[00143]図9は、本発明に従って提供された例示的エピタキシャル膜形成システム900のブロック図である。図9を参照すると、システム900は、基板サポート904および少なくとも1つの加熱モジュール906を含むエピタキシャルチャンバ902を含んでいる。基板サポート904は、エピタキシャルチャンバ902内でのエピタキシャル膜形成中に基板908をサポートするように適合されており、加熱モジュール906は、エピタキシャルチャンバ902内でのエピタキシャル膜形成中に基板908を加熱するように適合されている。2つ以上の加熱モジュールおよび/または他の加熱モジュール配置が使用されてもよい。加熱モジュール906は例えばランプアレイや他の適切な加熱ソースおよび/または要素を含んでもよい。
[00144]システム900はまた、エピタキシャルチャンバ902に結合されたガスサプライ910および排出システム912と、エピタキシャルチャンバ902、ガスサプライ910および/または排出システム912に結合されたコントローラ914とを含んでいる。ガスサプライ910は、エピタキシャルチャンバ902によって用いられる任意のソース、キャリア、エッチャント、ドーパントまたは他のガス用のソースおよび/または送出システムを含んでもよい。排出システム912は、無駄なガスや反応生成物などをチャンバ902から排出するための任意の適切なシステムを含んでもよく、また1つ以上の真空ポンプを含んでもよい。
[00145]コントローラ914は、エピタキシャルチャンバ902、ガスサプライ910および/または排出システム912の動作をコントロールするために用いられてもよい1つ以上のマイクロプロセッサおよび/またはマイクロコントローラ、専用ハードウェア、これらの組み合わせなどを含んでもよい。少なくとも1つの実施形態では、コントローラ914は、システム900の動作をコントロールするためにコンピュータプログラムコード916を用いるように適合されてもよい。例えば、コントローラ914は、図5〜8の方法500、600、700および800を含む本明細書で説明されたような方法/プロセスのいずれかのステップの1つ以上を実行するか、そうでなければ開始してもよい。このようなステップを実行および/または開始する任意のコンピュータプログラムコードはコンピュータプログラム製品として具現化されてもよい。本明細書に説明された各コンピュータプログラム製品はコンピュータによって読み取り可能な媒体(例えば、キャリア波信号、フロッピーディスク、コンパクトディスク、DVD、ハードドライブ、ランダムアクセスメモリなど)によって担持されてもよい。
[00146]本発明に従ったClおよびHClの両方の使用は、共流(co−flow)プロセスなどの選択Si含有堆積プロセス(例えば、堆積およびエッチング反応が同時に生じる選択エピタキシプロセス)中に用いられてもよい。付加的に、本発明に従ったClおよびHCl両方の使用は、Si含有膜の表面を処置したり、シリコンエッチングを介して金属酸化膜半導体電界効果トランジスタ(MOSFET)デバイスのソース/ドレイン凹型エリアを形成したりするために使用されてもよい。本発明に従って結合Cl/HCl流から利点を得られる例示的な表面処置プロセスおよび/またはMOSFETデバイスのソース/ドレイン凹型エリアを形成するためのプロセスは、2005年1月31日に出願された米国特許出願第11/047,323号(Docket第9793号)に説明されており、これはその全体が参照として本明細書に組み込まれている。AGSプロセス中に、エッチングおよび堆積ステップは異なる温度で実行されてもよい。例えば、堆積温度は、置換炭素組み込みを増加させるためにエッチング温度よりも低くてもよい。具体的な実施形態では、約650℃以下の基板温度が堆積中に使用されてもよく、約650℃より高い基板温度がエッチング中に使用されてもよい。上記は本発明の実施形態を目的としているが、本発明の他のさらなる実施形態が、この基本的範囲を逸脱することなく考案されてもよく、この範囲は以下の請求項によって判断される。
本明細書に説明された少なくとも1つの実施形態の、シリコン含有材料を選択的かつエピタキシャルに堆積するためのプロセスについて説明するフローチャートである。 MOSFET内のソース/ドレイン延長デバイスの製作技術の概略図を示している。 MOSFET内のソース/ドレイン延長デバイスの製作技術の概略図を示している。 MOSFET内のソース/ドレイン延長デバイスの製作技術の概略図を示している。 MOSFET内のソース/ドレイン延長デバイスの製作技術の概略図を示している。 MOSFET内のソース/ドレイン延長デバイスの製作技術の概略図を示している。 本明細書に説明された実施形態を適用することによって選択的かつエピタキシャルに堆積されたシリコン含有層を含有するデバイスを示している。 本明細書に説明された実施形態を適用することによって選択的かつエピタキシャルに堆積されたシリコン含有層を含有するデバイスを示している。 本明細書に説明された実施形態を適用することによって選択的かつエピタキシャルに堆積されたシリコン含有層を含有するデバイスを示している。 本明細書に説明された別の実施形態の、シリコン含有材料を選択的かつエピタキシャルに堆積するためのプロセスについて説明するフローチャートである。 本発明に従った、シリコンエピタキシャル膜形成時にClを用いるための第1の方法のフローチャートである。 本発明に従った、シリコンエピタキシャル膜形成時にClを用いるための第2の方法のフローチャートである。 本発明に従った、シリコンエピタキシャル膜形成時にClを用いるための第3の方法のフローチャートである。 本発明に従った、シリコンエピタキシャル膜形成時にClを用いるための第4の方法のフローチャートである。 本発明に従って提供された例示的エピタキシャル膜形成システムのブロック図である。
符号の説明
230…基板、232…ソース/ドレイン領域、234…スペーサ、235…ゲート酸化膜層、236…ゲート、238…凹部、240…シリコン含有エピタキシャル膜、248…エレベーテッド層、310…基板、312…ソース/ドレイン領域、313…シリコン含有層、314…シリコン含有エピタキシャル層、316…スペーサ、318…ゲート酸化膜層、320…オフセット層、322…ゲート層、332…コレクタ層、333…隔離層、334…シリコン含有エピタキシャル層、338…オフセット層。

Claims (41)

  1. 基板にエピタキシャル膜を形成するための方法であって、
    (a)基板を提供するステップと、
    (b)前記基板の少なくとも一部にエピタキシャル膜を形成するために少なくともシリコンソースに前記基板を暴露するステップと、
    (c)ステップ(b)中に形成された前記エピタキシャル膜および他の膜をエッチングするためにHClおよびClに前記基板を暴露するステップと、
    を備える方法。
  2. ステップ(b)および(c)を少なくとも1回反復するステップをさらに備える、請求項1に記載の方法。
  3. ステップ(b)中に追加元素ソースに前記基板を暴露するステップをさらに備える、請求項1に記載の方法。
  4. 前記追加元素ソースが炭素ソースを備える、請求項3に記載の方法。
  5. 前記追加元素ソースがゲルマニウムソースを備える、請求項3に記載の方法。
  6. 前記追加元素ソースがホウ素またはリンソースを備える、請求項3に記載の方法。
  7. 少なくともステップ(b)中に摂氏約550〜650度の基板温度を用いるステップをさらに備える、請求項3に記載の方法。
  8. 少なくともステップ(b)中に摂氏約600度未満の基板温度を用いるステップをさらに備える、請求項7に記載の方法。
  9. ステップ(b)中にHClを流すステップをさらに備える、請求項1に記載の方法。
  10. ステップ(b)および(c)中に実質的に同じHCl流量を使用するステップをさらに備える、請求項9に記載の方法。
  11. ステップ(c)中にClの流量の約6〜10倍のHClの流量を用いるステップをさらに備える、請求項9に記載の方法。
  12. 少なくともステップ(b)中に摂氏約550〜650度の基板温度を用いるステップをさらに備える、請求項11に記載の方法。
  13. 少なくともステップ(b)中に摂氏約600度未満の基板温度を用いるステップをさらに備える、請求項12に記載の方法。
  14. ステップ(c)中にClの流量の約6〜10倍のHClの流量を用いるステップをさらに備える、請求項1に記載の方法。
  15. ステップ(b)が
    (i)炭素含有シリコンエピタキシャル膜を形成するために炭素ソースに前記基板を暴露するステップと、
    (ii)前記炭素含有シリコンエピタキシャル膜をカプセル化するステップと、
    を含む、請求項1に記載の方法。
  16. 前記炭素含有シリコンエピタキシャル膜をカプセル化する前記ステップが、前記炭素含有シリコンエピタキシャル膜にわたってシリコンエピタキシャル膜を形成するために、前記炭素ソースなしの前記シリコンソースに前記基板を暴露するステップを備える、請求項15に記載の方法。
  17. 少なくともステップ(b)中に摂氏約550〜650度の基板温度を用いるステップをさらに備える、請求項15に記載の方法。
  18. 少なくともステップ(b)中に摂氏約600度未満の基板温度を用いるステップをさらに備える、請求項15に記載の方法。
  19. ステップ(b)が、
    (i)第1のシリコンエピタキシャル膜を形成するために炭素ソースなしの前記シリコンソースに前記基板を暴露するステップと、
    (ii)前記第1のシリコンエピタキシャル膜にわたって炭素含有シリコンエピタキシャル膜を形成するために、前記炭素ソースを具備する前記シリコンソースに前記基板を暴露するステップと、
    (iii)前記炭素含有シリコンエピタキシャル膜にわたって第2のシリコンエピタキシャル膜を形成するために前記炭素ソースなしの前記シリコンソースに前記基板を暴露するステップと、
    を含む、請求項1に記載の方法。
  20. ステップ(ii)の処理時間の約半分のステップ(i)および(iii)の処理時間を用いるステップをさらに備える、請求項19に記載の方法。
  21. 基板にエピタキシャル膜を形成するための方法であって、
    (a)基板を提供するステップと、
    (b)炭素含有シリコンエピタキシャル膜を形成するためにシリコンソースおよび炭素ソースに前記基板を暴露するステップと、
    (c)前記炭素含有シリコンエピタキシャル膜をカプセル化膜によってカプセル化するステップと、
    (d)前記カプセル化膜をエッチングするためにClに前記基板を暴露するステップと、
    を備える方法。
  22. ステップ(b)〜(d)を少なくとも1回反復するステップをさらに備える、請求項21に記載の方法。
  23. 少なくともステップ(b)中に摂氏約550〜650度の基板温度を用いるステップをさらに備える、請求項21に記載の方法。
  24. 少なくともステップ(b)中に摂氏約600度未満の基板温度を用いるステップをさらに備える、請求項23に記載の方法。
  25. ステップ(c)が、前記炭素含有シリコンエピタキシャル膜にわたってシリコンエピタキシャル膜を形成するために、前記炭素ソースなしの前記シリコンソースに前記基板を暴露するステップを含む、請求項21に記載の方法。
  26. ステップ(b)が
    (i)第1のシリコンエピタキシャル膜を形成するために炭素ソースなしの前記シリコンソースに前記基板を暴露するステップと、
    (ii)前記第1のシリコンエピタキシャル膜にわたって炭素含有シリコンエピタキシャル膜を形成するために前記炭素ソースを具備する前記シリコンソースに前記基板を暴露するステップと、
    を含んでおり、
    ステップ(c)が、
    (iii)前記炭素含有シリコンエピタキシャル膜にわたって第2のシリコンエピタキシャル膜を形成するために、前記炭素ソースなしの前記シリコンソースに前記基板を暴露するステップを含む、請求項21に記載の方法。
  27. ステップ(ii)の処理時間の約半分のステップ(i)および(iii)の処理時間を用いるステップをさらに備える、請求項26に記載の方法。
  28. ステップ(b)中にHClを流すステップをさらに備える、請求項21に記載の方法。
  29. ステップ(b)および(c)中にHClを流すステップをさらに備える、請求項21に記載の方法。
  30. 基板にエピタキシャル膜を形成するための方法であって、
    (a)基板を提供するステップと、
    (b)追加元素含有シリコンエピタキシャル膜を形成するためにシリコンソースおよび追加元素ソースに前記基板を暴露するステップと、
    (c)前記追加元素含有シリコンエピタキシャル膜をカプセル化膜によってカプセル化するステップと、
    (d)前記カプセル化膜をエッチングするためにClに前記基板を暴露するステップと、
    を備える方法。
  31. 前記追加元素ソースが炭素ソースを備える、請求項30に記載の方法。
  32. 前記追加元素ソースがゲルマニウムソースを備える、請求項30に記載の方法。
  33. 前記追加元素ソースがホウ素またはリンソースを備える、請求項30に記載の方法。
  34. ステップ(b)〜(d)を少なくとも1回反復するステップをさらに備える、請求項30に記載の方法。
  35. 少なくともステップ(b)中に摂氏約550〜650度の基板温度を用いるステップをさらに備える、請求項30に記載の方法。
  36. 少なくともステップ(b)中に摂氏約600度未満の基板温度を用いるステップをさらに備える、請求項35に記載の方法。
  37. ステップ(c)が、前記追加元素含有シリコンエピタキシャル膜にわたってシリコンエピタキシャル膜を形成するために、前記追加元素ソースなしの前記シリコンソースに前記基板を暴露するステップを含む、請求項30に記載の方法。
  38. ステップ(b)が
    (i)第1のシリコンエピタキシャル膜を形成するために追加元素ソースなしの前記シリコンソースに前記基板を暴露するステップと、
    (ii)前記第1のシリコンエピタキシャル膜にわたって追加元素含有シリコンエピタキシャル膜を形成するために、前記追加元素ソースを具備する前記シリコンソースに前記基板を暴露するステップと、
    を備えており、
    ステップ(c)が
    (iii)前記追加元素含有シリコンエピタキシャル膜にわたって第2のシリコンエピタキシャル膜を形成するために、前記追加元素ソースなしの前記シリコンソースに前記基板を暴露するステップを含む、請求項30に記載の方法。
  39. ステップ(ii)の処理時間の約半分のステップ(i)および(iii)の処理時間を用いるステップをさらに備える、請求項38に記載の方法。
  40. ステップ(b)中にHClを流すステップをさらに備える、請求項30に記載の方法。
  41. ステップ(b)および(c)中にHClを流すステップをさらに備える、請求項30に記載の方法。
JP2007544499A 2004-12-01 2005-11-30 シリコンエピタキシャル膜形成方法 Expired - Fee Related JP4918043B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US11/001,774 US7312128B2 (en) 2004-12-01 2004-12-01 Selective epitaxy process with alternating gas supply
US11/001,774 2004-12-01
US11/227,974 2005-09-14
US11/227,974 US7682940B2 (en) 2004-12-01 2005-09-14 Use of Cl2 and/or HCl during silicon epitaxial film formation
PCT/US2005/043420 WO2006060543A2 (en) 2004-12-01 2005-11-30 Use of cl2 and/or hcl during silicon epitaxial film formation

Publications (2)

Publication Number Publication Date
JP2008522442A true JP2008522442A (ja) 2008-06-26
JP4918043B2 JP4918043B2 (ja) 2012-04-18

Family

ID=36168375

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007544499A Expired - Fee Related JP4918043B2 (ja) 2004-12-01 2005-11-30 シリコンエピタキシャル膜形成方法

Country Status (7)

Country Link
US (4) US7682940B2 (ja)
EP (1) EP1829089A2 (ja)
JP (1) JP4918043B2 (ja)
KR (1) KR101181040B1 (ja)
CN (1) CN102176411B (ja)
TW (1) TWI327749B (ja)
WO (1) WO2006060543A2 (ja)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2010035409A1 (ja) * 2008-09-26 2010-04-01 信越半導体株式会社 シリコンエピタキシャルウェーハの製造方法
WO2010067517A1 (ja) * 2008-12-11 2010-06-17 株式会社日立国際電気 半導体装置の製造方法
JP2010171101A (ja) * 2009-01-21 2010-08-05 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
JP2013070055A (ja) * 2006-12-12 2013-04-18 Applied Materials Inc シリコンと炭素を含有するインサイチュリンドープエピタキシャル層の形成
JP2013546169A (ja) * 2010-10-06 2013-12-26 アプライド マテリアルズ インコーポレイテッド 3dメモリに適用するpecvd酸化物−窒化物スタック及び酸化物−シリコンスタック
JP2015230998A (ja) * 2014-06-06 2015-12-21 ローム株式会社 SiCエピタキシャルウェハ、SiCエピタキシャルウェハの製造装置、SiCエピタキシャルウェハの製造方法、および半導体装置
JPWO2016067918A1 (ja) * 2014-10-31 2017-07-20 富士電機株式会社 炭化珪素エピタキシャル膜の成長方法
JP2018026494A (ja) * 2016-08-12 2018-02-15 株式会社アルバック 成膜方法及び成膜装置
JP2019537840A (ja) * 2016-11-03 2019-12-26 ユ−ジーン テクノロジー カンパニー.リミテッド 低温エピタキシャル層の形成方法

Families Citing this family (107)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1421607A2 (en) * 2001-02-12 2004-05-26 ASM America, Inc. Improved process for deposition of semiconductor films
US7186630B2 (en) 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
US7166528B2 (en) 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
US7253125B1 (en) 2004-04-16 2007-08-07 Novellus Systems, Inc. Method to improve mechanical strength of low-k dielectric film using modulated UV exposure
US9659769B1 (en) 2004-10-22 2017-05-23 Novellus Systems, Inc. Tensile dielectric films using UV curing
US7790633B1 (en) 2004-10-26 2010-09-07 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7682940B2 (en) 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
US7510982B1 (en) 2005-01-31 2009-03-31 Novellus Systems, Inc. Creation of porosity in low-k films by photo-disassociation of imbedded nanoparticles
US7438760B2 (en) * 2005-02-04 2008-10-21 Asm America, Inc. Methods of making substitutionally carbon-doped crystalline Si-containing materials by chemical vapor deposition
US8980769B1 (en) 2005-04-26 2015-03-17 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8454750B1 (en) 2005-04-26 2013-06-04 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8137465B1 (en) 2005-04-26 2012-03-20 Novellus Systems, Inc. Single-chamber sequential curing of semiconductor wafers
US8282768B1 (en) 2005-04-26 2012-10-09 Novellus Systems, Inc. Purging of porogen from UV cure chamber
US8889233B1 (en) 2005-04-26 2014-11-18 Novellus Systems, Inc. Method for reducing stress in porous dielectric films
US20070048956A1 (en) * 2005-08-30 2007-03-01 Tokyo Electron Limited Interrupted deposition process for selective deposition of Si-containing films
CN1941296A (zh) * 2005-09-28 2007-04-04 中芯国际集成电路制造(上海)有限公司 应变硅cmos晶体管的原位掺杂硅锗与碳化硅源漏极区
KR101038843B1 (ko) * 2005-10-05 2011-06-03 어플라이드 머티어리얼스, 인코포레이티드 에피택셜막 형성 방법 및 장치
JP2009521801A (ja) * 2005-12-22 2009-06-04 エーエスエム アメリカ インコーポレイテッド ドープされた半導体物質のエピタキシャル堆積
US7579248B2 (en) * 2006-02-13 2009-08-25 Taiwan Semiconductor Manufacturing Company, Ltd. Resolving pattern-loading issues of SiGe stressor
WO2007112058A2 (en) * 2006-03-24 2007-10-04 Applied Materials, Inc. Carbon precursors for use during silicon epitaxial firm formation
KR101074186B1 (ko) * 2006-04-07 2011-10-14 어플라이드 머티어리얼스, 인코포레이티드 에피택셜 필름 형성을 위한 클러스터 툴
US7674337B2 (en) * 2006-04-07 2010-03-09 Applied Materials, Inc. Gas manifolds for use during epitaxial film formation
US8278176B2 (en) 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films
KR101160930B1 (ko) 2006-07-31 2012-06-29 어플라이드 머티어리얼스, 인코포레이티드 카본-함유 실리콘 에피택셜 층을 형성하는 방법
DE112007001813T5 (de) * 2006-07-31 2009-07-09 Applied Materials, Inc., Santa Clara Verfahren zum Steuern der Morphologie während der Bildung einer epitaktischen Schicht
US8105955B2 (en) * 2006-08-15 2012-01-31 Globalfoundries Singapore Pte. Ltd. Integrated circuit system with carbon and non-carbon silicon
US7554110B2 (en) * 2006-09-15 2009-06-30 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with partial stressor channel
US8465991B2 (en) 2006-10-30 2013-06-18 Novellus Systems, Inc. Carbon containing low-k dielectric constant recovery using UV treatment
US7851232B2 (en) * 2006-10-30 2010-12-14 Novellus Systems, Inc. UV treatment for carbon-containing low-k dielectric repair in semiconductor processing
US10037905B2 (en) 2009-11-12 2018-07-31 Novellus Systems, Inc. UV and reducing treatment for K recovery and surface clean in semiconductor processing
US20080132039A1 (en) * 2006-12-01 2008-06-05 Yonah Cho Formation and treatment of epitaxial layer containing silicon and carbon
US7741200B2 (en) * 2006-12-01 2010-06-22 Applied Materials, Inc. Formation and treatment of epitaxial layer containing silicon and carbon
US7837790B2 (en) * 2006-12-01 2010-11-23 Applied Materials, Inc. Formation and treatment of epitaxial layer containing silicon and carbon
US7906174B1 (en) 2006-12-07 2011-03-15 Novellus Systems, Inc. PECVD methods for producing ultra low-k dielectric films using UV treatment
US7897495B2 (en) * 2006-12-12 2011-03-01 Applied Materials, Inc. Formation of epitaxial layer containing silicon and carbon
US7960236B2 (en) * 2006-12-12 2011-06-14 Applied Materials, Inc. Phosphorus containing Si epitaxial layers in N-type source/drain junctions
US20080138955A1 (en) * 2006-12-12 2008-06-12 Zhiyuan Ye Formation of epitaxial layer containing silicon
US20080153266A1 (en) * 2006-12-21 2008-06-26 Interuniversitair Microeletronica Centrum (Imec) Vzw Method to improve the selective epitaxial growth (seg) process
US20080173239A1 (en) * 2007-01-24 2008-07-24 Yuri Makarov Method, system, and apparatus for the growth of SiC and related or similar material, by chemical vapor deposition, using precursors in modified cold-wall reactor
US9064960B2 (en) * 2007-01-31 2015-06-23 Applied Materials, Inc. Selective epitaxy process control
US8242028B1 (en) 2007-04-03 2012-08-14 Novellus Systems, Inc. UV treatment of etch stop and hard mask films for selectivity and hermeticity enhancement
JP5380794B2 (ja) * 2007-06-22 2014-01-08 富士通セミコンダクター株式会社 半導体装置の製造方法および半導体層の形成方法
US20080314311A1 (en) * 2007-06-24 2008-12-25 Burrows Brian H Hvpe showerhead design
US7776679B2 (en) * 2007-07-20 2010-08-17 Stmicroelectronics Crolles 2 Sas Method for forming silicon wells of different crystallographic orientations
US7700452B2 (en) * 2007-08-29 2010-04-20 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel transistor
US8211510B1 (en) 2007-08-31 2012-07-03 Novellus Systems, Inc. Cascaded cure approach to fabricate highly tensile silicon nitride films
US7759199B2 (en) 2007-09-19 2010-07-20 Asm America, Inc. Stressor for engineered strain on channel
US20090149008A1 (en) * 2007-10-05 2009-06-11 Applied Materials, Inc. Method for depositing group iii/v compounds
US7776698B2 (en) 2007-10-05 2010-08-17 Applied Materials, Inc. Selective formation of silicon carbon epitaxial layer
US7772074B2 (en) * 2007-10-18 2010-08-10 Applied Materials, Inc. Method of forming conformal silicon layer for recessed source-drain
US7939447B2 (en) * 2007-10-26 2011-05-10 Asm America, Inc. Inhibitors for selective deposition of silicon containing films
US7772097B2 (en) * 2007-11-05 2010-08-10 Asm America, Inc. Methods of selectively depositing silicon-containing films
US7655543B2 (en) * 2007-12-21 2010-02-02 Asm America, Inc. Separate injection of reactive species in selective formation of films
JP4635062B2 (ja) * 2008-03-11 2011-02-16 株式会社東芝 半導体装置の製造方法
JP5478616B2 (ja) * 2008-06-04 2014-04-23 ダウ・コーニング・コーポレイション 半導体エピタキシーにおけるメモリ効果の低減方法
US7906817B1 (en) 2008-06-06 2011-03-15 Novellus Systems, Inc. High compressive stress carbon liners for MOS devices
CN102361011B (zh) * 2008-06-11 2016-06-22 美格纳半导体有限会社 形成半导体器件的栅极的方法
US9050623B1 (en) 2008-09-12 2015-06-09 Novellus Systems, Inc. Progressive UV cure
US8486191B2 (en) * 2009-04-07 2013-07-16 Asm America, Inc. Substrate reactor with adjustable injectors for mixing gases within reaction chamber
US8491720B2 (en) * 2009-04-10 2013-07-23 Applied Materials, Inc. HVPE precursor source hardware
US8183132B2 (en) * 2009-04-10 2012-05-22 Applied Materials, Inc. Methods for fabricating group III nitride structures with a cluster tool
US8138069B2 (en) * 2009-04-24 2012-03-20 Applied Materials, Inc. Substrate pretreatment for subsequent high temperature group III depositions
US20100273291A1 (en) * 2009-04-28 2010-10-28 Applied Materials, Inc. Decontamination of mocvd chamber using nh3 purge after in-situ cleaning
KR20120023040A (ko) * 2009-04-29 2012-03-12 어플라이드 머티어리얼스, 인코포레이티드 HVPE에서 인-시튜 사전-GaN 증착 층을 형성하는 방법
US8211784B2 (en) * 2009-10-26 2012-07-03 Advanced Ion Beam Technology, Inc. Method for manufacturing a semiconductor device with less leakage current induced by carbon implant
US8367528B2 (en) 2009-11-17 2013-02-05 Asm America, Inc. Cyclical epitaxial deposition and etch
JP5610798B2 (ja) * 2010-03-12 2014-10-22 キヤノン株式会社 シンチレータの製造方法
US20110256692A1 (en) 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor concentric delivery showerhead
US8778767B2 (en) 2010-11-18 2014-07-15 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits and fabrication methods thereof
WO2012102755A1 (en) * 2011-01-28 2012-08-02 Applied Materials, Inc. Carbon addition for low resistivity in situ doped silicon epitaxy
US10011920B2 (en) * 2011-02-23 2018-07-03 International Business Machines Corporation Low-temperature selective epitaxial growth of silicon for device integration
TWI534291B (zh) 2011-03-18 2016-05-21 應用材料股份有限公司 噴淋頭組件
US8809170B2 (en) 2011-05-19 2014-08-19 Asm America Inc. High throughput cyclical epitaxial deposition and etch process
US9537004B2 (en) 2011-05-24 2017-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain formation and structure
US9595438B2 (en) * 2011-09-12 2017-03-14 Nasp Iii/V Gmbh Method for producing a III/V Si template
JP2013089889A (ja) * 2011-10-21 2013-05-13 Elpida Memory Inc 半導体装置及びその製造方法
KR20130081956A (ko) * 2012-01-10 2013-07-18 삼성전자주식회사 질화물 반도체층 성장 방법
US9012310B2 (en) 2012-06-11 2015-04-21 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxial formation of source and drain regions
US9925569B2 (en) 2012-09-25 2018-03-27 Applied Materials, Inc. Chamber cleaning with infrared absorption gas
US9157730B2 (en) 2012-10-26 2015-10-13 Applied Materials, Inc. PECVD process
US9059212B2 (en) 2012-10-31 2015-06-16 International Business Machines Corporation Back-end transistors with highly doped low-temperature contacts
US8900958B2 (en) 2012-12-19 2014-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxial formation mechanisms of source and drain regions
US9252008B2 (en) 2013-01-11 2016-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxial formation mechanisms of source and drain regions
US8853039B2 (en) 2013-01-17 2014-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. Defect reduction for formation of epitaxial layer in source and drain regions
US20140220756A1 (en) * 2013-02-01 2014-08-07 Globalfoundries Inc. Methods of forming semiconductor devices by forming a semiconductor layer above source/drain regions prior to removing a gate cap layer
JP5931780B2 (ja) * 2013-03-06 2016-06-08 東京エレクトロン株式会社 選択エピタキシャル成長法および成膜装置
US9093468B2 (en) 2013-03-13 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Asymmetric cyclic depositon and etch process for epitaxial formation mechanisms of source and drain regions
US9029226B2 (en) 2013-03-13 2015-05-12 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for doping lightly-doped-drain (LDD) regions of finFET devices
US8877592B2 (en) 2013-03-14 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxial growth of doped film for source and drain regions
CN105103271B (zh) 2013-03-15 2018-05-22 应用材料公司 用于脉冲式光激发沉积与蚀刻的装置与方法
US8853060B1 (en) 2013-05-27 2014-10-07 United Microelectronics Corp. Epitaxial process
US9076652B2 (en) 2013-05-27 2015-07-07 United Microelectronics Corp. Semiconductor process for modifying shape of recess
US9293534B2 (en) 2014-03-21 2016-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Formation of dislocations in source and drain regions of FinFET devices
CN104867821B (zh) * 2014-02-25 2018-06-08 中芯国际集成电路制造(上海)有限公司 减少锗硅源漏区外延工艺中的颗粒缺陷的方法
US9299587B2 (en) 2014-04-10 2016-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Microwave anneal (MWA) for defect recovery
CN105609406B (zh) * 2014-11-19 2018-09-28 株式会社日立国际电气 半导体器件的制造方法、衬底处理装置、气体供给系统
JP5892527B1 (ja) * 2015-01-06 2016-03-23 信越化学工業株式会社 太陽電池用fzシリコン単結晶の製造方法及び太陽電池の製造方法
KR102534730B1 (ko) * 2015-04-10 2023-05-26 어플라이드 머티어리얼스, 인코포레이티드 선택적 에피택셜 성장을 위한 성장률을 증강시키기 위한 방법
US9847221B1 (en) 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
KR102427152B1 (ko) 2016-12-12 2022-07-28 어플라이드 머티어리얼스, 인코포레이티드 핀 전계 효과 트랜지스터(finfet) 디바이스 위에 등각성의 에피택셜 반도체 클래딩 재료를 형성하는 방법
TWI711716B (zh) * 2017-06-06 2020-12-01 美商應用材料股份有限公司 使用沉積-處理-蝕刻製程之矽的選擇性沉積
JP6971823B2 (ja) * 2017-12-13 2021-11-24 東京エレクトロン株式会社 シリコン含有膜のエッチング方法、コンピュータ記憶媒体、及びシリコン含有膜のエッチング装置
JP2019186351A (ja) * 2018-04-09 2019-10-24 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US10811498B2 (en) 2018-08-30 2020-10-20 Atomera Incorporated Method for making superlattice structures with reduced defect densities
US10566191B1 (en) 2018-08-30 2020-02-18 Atomera Incorporated Semiconductor device including superlattice structures with reduced defect densities
US11031242B2 (en) * 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
CN112885709B (zh) * 2021-01-13 2024-03-22 中电化合物半导体有限公司 一种碳化硅外延结构的制备方法及半导体设备

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0992621A (ja) * 1995-09-28 1997-04-04 Oki Electric Ind Co Ltd 半導体薄膜の選択成長方法

Family Cites Families (172)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US648420A (en) * 1900-02-14 1900-05-01 Adolph Martin Dyer's shell.
US3675619A (en) 1969-02-25 1972-07-11 Monsanto Co Apparatus for production of epitaxial films
NL187942C (nl) 1980-08-18 1992-02-17 Philips Nv Zenerdiode en werkwijze ter vervaardiging daarvan.
US5294286A (en) 1984-07-26 1994-03-15 Research Development Corporation Of Japan Process for forming a thin film of silicon
US5693139A (en) 1984-07-26 1997-12-02 Research Development Corporation Of Japan Growth of doped semiconductor monolayers
JPH0639357B2 (ja) 1986-09-08 1994-05-25 新技術開発事業団 元素半導体単結晶薄膜の成長方法
US5607511A (en) 1992-02-21 1997-03-04 International Business Machines Corporation Method and apparatus for low temperature, low pressure chemical vapor deposition of epitaxial silicon layers
US5112439A (en) 1988-11-30 1992-05-12 Mcnc Method for selectively depositing material on substrates
JPH0824191B2 (ja) 1989-03-17 1996-03-06 富士通株式会社 薄膜トランジスタ
EP0413982B1 (en) 1989-07-27 1997-05-14 Junichi Nishizawa Impurity doping method with adsorbed diffusion source
JP2880322B2 (ja) 1991-05-24 1999-04-05 キヤノン株式会社 堆積膜の形成方法
US5227330A (en) 1991-10-31 1993-07-13 International Business Machines Corporation Comprehensive process for low temperature SI epit axial growth
US5480818A (en) 1992-02-10 1996-01-02 Fujitsu Limited Method for forming a film and method for manufacturing a thin film transistor
JP2917694B2 (ja) 1992-04-02 1999-07-12 日本電気株式会社 化合物半導体気相成長方法及びその装置
JPH0750690B2 (ja) 1992-08-21 1995-05-31 日本電気株式会社 ハロゲン化物を用いる半導体結晶のエピタキシャル成長方法とその装置
US5273930A (en) 1992-09-03 1993-12-28 Motorola, Inc. Method of forming a non-selective silicon-germanium epitaxial film
US5236545A (en) 1992-10-05 1993-08-17 The Board Of Governors Of Wayne State University Method for heteroepitaxial diamond film development
JP3255469B2 (ja) 1992-11-30 2002-02-12 三菱電機株式会社 レーザ薄膜形成装置
JP3265042B2 (ja) 1993-03-18 2002-03-11 東京エレクトロン株式会社 成膜方法
JPH0729897A (ja) 1993-06-25 1995-01-31 Nec Corp 半導体装置の製造方法
US5372860A (en) 1993-07-06 1994-12-13 Corning Incorporated Silicon device production
JPH07109573A (ja) 1993-10-12 1995-04-25 Semiconductor Energy Lab Co Ltd ガラス基板および加熱処理方法
US5796116A (en) 1994-07-27 1998-08-18 Sharp Kabushiki Kaisha Thin-film semiconductor device including a semiconductor film with high field-effect mobility
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US5807792A (en) 1996-12-18 1998-09-15 Siemens Aktiengesellschaft Uniform distribution of reactants in a device layer
US6335280B1 (en) 1997-01-13 2002-01-01 Asm America, Inc. Tungsten silicide deposition process
US6055927A (en) 1997-01-14 2000-05-02 Applied Komatsu Technology, Inc. Apparatus and method for white powder reduction in silicon nitride deposition using remote plasma source cleaning technology
JP2953567B2 (ja) * 1997-02-06 1999-09-27 日本電気株式会社 半導体装置の製造方法
US5849092A (en) 1997-02-25 1998-12-15 Applied Materials, Inc. Process for chlorine trifluoride chamber cleaning
TW417249B (en) 1997-05-14 2001-01-01 Applied Materials Inc Reliability barrier integration for cu application
US6118216A (en) 1997-06-02 2000-09-12 Osram Sylvania Inc. Lead and arsenic free borosilicate glass and lamp containing same
KR100385946B1 (ko) 1999-12-08 2003-06-02 삼성전자주식회사 원자층 증착법을 이용한 금속층 형성방법 및 그 금속층을장벽금속층, 커패시터의 상부전극, 또는 하부전극으로구비한 반도체 소자
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
KR100269306B1 (ko) 1997-07-31 2000-10-16 윤종용 저온처리로안정화되는금속산화막으로구성된완충막을구비하는집적회로장치및그제조방법
KR100261017B1 (ko) 1997-08-19 2000-08-01 윤종용 반도체 장치의 금속 배선층을 형성하는 방법
US6019838A (en) 1998-01-05 2000-02-01 Memc Electronic Materials, Inc. Crystal growing apparatus with melt-doping facility
US6042654A (en) 1998-01-13 2000-03-28 Applied Materials, Inc. Method of cleaning CVD cold-wall chamber and exhaust lines
TW437017B (en) 1998-02-05 2001-05-28 Asm Japan Kk Silicone polymer insulation film on semiconductor substrate and method for formation thereof
US6383955B1 (en) 1998-02-05 2002-05-07 Asm Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film
US6514880B2 (en) 1998-02-05 2003-02-04 Asm Japan K.K. Siloxan polymer film on semiconductor substrate and method for forming same
US6159852A (en) 1998-02-13 2000-12-12 Micron Technology, Inc. Method of depositing polysilicon, method of fabricating a field effect transistor, method of forming a contact to a substrate, method of forming a capacitor
US6797558B2 (en) 2001-04-24 2004-09-28 Micron Technology, Inc. Methods of forming a capacitor with substantially selective deposite of polysilicon on a substantially crystalline capacitor dielectric layer
US6232196B1 (en) 1998-03-06 2001-05-15 Asm America, Inc. Method of depositing silicon with high step coverage
US6019839A (en) 1998-04-17 2000-02-01 Applied Materials, Inc. Method and apparatus for forming an epitaxial titanium silicide film by low pressure chemical vapor deposition
JP4214585B2 (ja) 1998-04-24 2009-01-28 富士ゼロックス株式会社 半導体デバイス、半導体デバイスの製造方法及び製造装置
US6025627A (en) 1998-05-29 2000-02-15 Micron Technology, Inc. Alternate method and structure for improved floating gate tunneling devices
FR2779572B1 (fr) 1998-06-05 2003-10-17 St Microelectronics Sa Transistor bipolaire vertical a faible bruit et procede de fabrication correspondant
KR100275738B1 (ko) 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
JP4204671B2 (ja) 1998-09-11 2009-01-07 三菱電機株式会社 半導体装置の製造方法
KR100287180B1 (ko) 1998-09-17 2001-04-16 윤종용 계면 조절층을 이용하여 금속 배선층을 형성하는 반도체 소자의 제조 방법
KR100327328B1 (ko) 1998-10-13 2002-05-09 윤종용 부분적으로다른두께를갖는커패시터의유전막형성방버뵤
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6305314B1 (en) 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
WO2000063956A1 (fr) 1999-04-20 2000-10-26 Sony Corporation Procede et dispositif pour realiser un depot de couches minces, et procede pour la production d'un dispositif a semiconducteur a couches minces
US20030232554A1 (en) 1999-05-04 2003-12-18 Blum Ronald D. Multi-layer tacky and water-absorbing shoe-cleaning product
US6124158A (en) 1999-06-08 2000-09-26 Lucent Technologies Inc. Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants
US6329088B1 (en) 1999-06-24 2001-12-11 Advanced Technology Materials, Inc. Silicon carbide epitaxial layers grown on substrates offcut towards <1{overscore (1)}00>
KR20010017820A (ko) 1999-08-14 2001-03-05 윤종용 반도체 소자 및 그 제조방법
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6489241B1 (en) 1999-09-17 2002-12-03 Applied Materials, Inc. Apparatus and method for surface finishing a silicon film
DE10049257B4 (de) 1999-10-06 2015-05-13 Samsung Electronics Co., Ltd. Verfahren zur Dünnfilmerzeugung mittels atomarer Schichtdeposition
FI117942B (fi) 1999-10-14 2007-04-30 Asm Int Menetelmä oksidiohutkalvojen kasvattamiseksi
SG99871A1 (en) 1999-10-25 2003-11-27 Motorola Inc Method for fabricating a semiconductor structure including a metal oxide interface with silicon
FR2801420B1 (fr) 1999-11-23 2002-04-12 St Microelectronics Sa Transistor bipolaire vertical a faible bruit basse frequence et gain en courant eleve, et procede de fabrication correspondant
US6780704B1 (en) 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
FI118804B (fi) 1999-12-03 2008-03-31 Asm Int Menetelmä oksidikalvojen kasvattamiseksi
US6291319B1 (en) 1999-12-17 2001-09-18 Motorola, Inc. Method for fabricating a semiconductor structure having a stable crystalline interface with silicon
US6348420B1 (en) 1999-12-23 2002-02-19 Asm America, Inc. Situ dielectric stacks
EP1123991A3 (en) 2000-02-08 2002-11-13 Asm Japan K.K. Low dielectric constant materials and processes
US6492283B2 (en) 2000-02-22 2002-12-10 Asm Microchemistry Oy Method of forming ultrathin oxide layer
WO2001066832A2 (en) 2000-03-07 2001-09-13 Asm America, Inc. Graded thin films
KR100363088B1 (ko) 2000-04-20 2002-12-02 삼성전자 주식회사 원자층 증착방법을 이용한 장벽 금속막의 제조방법
US6630413B2 (en) 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
US6458718B1 (en) 2000-04-28 2002-10-01 Asm Japan K.K. Fluorine-containing materials and processes
EP2293322A1 (en) 2000-06-08 2011-03-09 Genitech, Inc. Method for forming a metal nitride layer
KR100373853B1 (ko) 2000-08-11 2003-02-26 삼성전자주식회사 반도체소자의 선택적 에피택시얼 성장 방법
US6461909B1 (en) 2000-08-30 2002-10-08 Micron Technology, Inc. Process for fabricating RuSixOy-containing adhesion layers
US20020163013A1 (en) 2000-09-11 2002-11-07 Kenji Toyoda Heterojunction bipolar transistor
JP5290488B2 (ja) 2000-09-28 2013-09-18 プレジデント アンド フェロウズ オブ ハーバード カレッジ 酸化物、ケイ酸塩及びリン酸塩の気相成長
KR100378186B1 (ko) 2000-10-19 2003-03-29 삼성전자주식회사 원자층 증착법으로 형성된 박막이 채용된 반도체 소자 및그 제조방법
US6613695B2 (en) 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
EP1340269B1 (en) 2000-11-30 2009-02-25 Asm International N.V. Thin films for magnetic devices
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
KR20020049875A (ko) 2000-12-20 2002-06-26 윤종용 반도체 메모리 소자의 강유전체 커패시터 및 그 제조방법
JP2002198525A (ja) 2000-12-27 2002-07-12 Toshiba Corp 半導体装置及びその製造方法
KR100393208B1 (ko) 2001-01-15 2003-07-31 삼성전자주식회사 도핑된 다결정 실리콘-저매니움막을 이용한 반도체 소자및 그 제조방법
US6426265B1 (en) 2001-01-30 2002-07-30 International Business Machines Corporation Incorporation of carbon in silicon/silicon germanium epitaxial layer to enhance yield for Si-Ge bipolar technology
US6528374B2 (en) 2001-02-05 2003-03-04 International Business Machines Corporation Method for forming dielectric stack without interfacial layer
US7026219B2 (en) 2001-02-12 2006-04-11 Asm America, Inc. Integration of high k gate dielectric
EP1421607A2 (en) 2001-02-12 2004-05-26 ASM America, Inc. Improved process for deposition of semiconductor films
US20020117399A1 (en) 2001-02-23 2002-08-29 Applied Materials, Inc. Atomically thin highly resistive barrier layer in a copper via
JP3547419B2 (ja) 2001-03-13 2004-07-28 株式会社東芝 半導体装置及びその製造方法
US6812101B2 (en) 2001-04-02 2004-11-02 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method for manufacture thereof
US6576535B2 (en) 2001-04-11 2003-06-10 Texas Instruments Incorporated Carbon doped epitaxial layer for high speed CB-CMOS
JP2002343790A (ja) 2001-05-21 2002-11-29 Nec Corp 金属化合物薄膜の気相堆積方法及び半導体装置の製造方法
KR20040008193A (ko) 2001-05-30 2004-01-28 에이에스엠 아메리카, 인코포레이티드 저온 로딩 및 소성
US6828218B2 (en) 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
KR100430404B1 (ko) * 2001-06-02 2004-05-04 삼성전자주식회사 구조 선택적 에피택시얼 성장 기술 및 선택적 실리콘 식각기술을 사용한 단결정 실리콘 패턴 형성 방법
US6391803B1 (en) 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
US6709989B2 (en) 2001-06-21 2004-03-23 Motorola, Inc. Method for fabricating a semiconductor structure including a metal oxide interface with silicon
US6861334B2 (en) 2001-06-21 2005-03-01 Asm International, N.V. Method of fabricating trench isolation structures for integrated circuits using atomic layer deposition
US20030198754A1 (en) 2001-07-16 2003-10-23 Ming Xi Aluminum oxide chamber and process
US20030066486A1 (en) 2001-08-30 2003-04-10 Applied Materials, Inc. Microwave heat shield for plasma chamber
US6806145B2 (en) 2001-08-31 2004-10-19 Asm International, N.V. Low temperature method of forming a gate stack with a high k layer deposited over an interfacial oxide layer
US6960537B2 (en) 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
US20030072884A1 (en) 2001-10-15 2003-04-17 Applied Materials, Inc. Method of titanium and titanium nitride layer deposition
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
KR20030035152A (ko) 2001-10-30 2003-05-09 주식회사 하이닉스반도체 반도체웨이퍼 제조방법
US6743681B2 (en) 2001-11-09 2004-06-01 Micron Technology, Inc. Methods of Fabricating Gate and Storage Dielectric Stacks having Silicon-Rich-Nitride
US6590344B2 (en) 2001-11-20 2003-07-08 Taiwan Semiconductor Manufacturing Co., Ltd. Selectively controllable gas feed zones for a plasma reactor
US6551893B1 (en) 2001-11-27 2003-04-22 Micron Technology, Inc. Atomic layer deposition of capacitor dielectric
US6773507B2 (en) 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6696332B2 (en) 2001-12-26 2004-02-24 Texas Instruments Incorporated Bilayer deposition to avoid unwanted interfacial reactions during high K gate dielectric processing
US6790755B2 (en) 2001-12-27 2004-09-14 Advanced Micro Devices, Inc. Preparation of stack high-K gate dielectrics with nitrided layer
US6620670B2 (en) 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
US7175713B2 (en) 2002-01-25 2007-02-13 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
JP3914064B2 (ja) 2002-02-28 2007-05-16 富士通株式会社 混晶膜の成長方法及び装置
US20030216981A1 (en) 2002-03-12 2003-11-20 Michael Tillman Method and system for hosting centralized online point-of-sale activities for a plurality of distributed customers and vendors
US6825134B2 (en) 2002-03-26 2004-11-30 Applied Materials, Inc. Deposition of film layers by alternately pulsing a precursor and high frequency power in a continuous gas flow
JP3937892B2 (ja) 2002-04-01 2007-06-27 日本電気株式会社 薄膜形成方法および半導体装置の製造方法
US7439191B2 (en) 2002-04-05 2008-10-21 Applied Materials, Inc. Deposition of silicon layers for active matrix liquid crystal display (AMLCD) applications
US6846516B2 (en) 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US6720027B2 (en) 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US6869838B2 (en) 2002-04-09 2005-03-22 Applied Materials, Inc. Deposition of passivation layers for active matrix liquid crystal display (AMLCD) applications
US20030235961A1 (en) 2002-04-17 2003-12-25 Applied Materials, Inc. Cyclical sequential deposition of multicomponent films
US20030215570A1 (en) 2002-05-16 2003-11-20 Applied Materials, Inc. Deposition of silicon nitride
US20030213560A1 (en) 2002-05-16 2003-11-20 Yaxin Wang Tandem wafer processing system and process
US6716719B2 (en) 2002-05-29 2004-04-06 Micron Technology, Inc. Method of forming biasable isolation regions using epitaxially grown silicon between the isolation regions
US7105891B2 (en) 2002-07-15 2006-09-12 Texas Instruments Incorporated Gate structure and method
US6723658B2 (en) 2002-07-15 2004-04-20 Texas Instruments Incorporated Gate structure and method
US7449385B2 (en) 2002-07-26 2008-11-11 Texas Instruments Incorporated Gate dielectric and method
US6919251B2 (en) 2002-07-31 2005-07-19 Texas Instruments Incorporated Gate dielectric and method
US7186630B2 (en) 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
KR100542736B1 (ko) 2002-08-17 2006-01-11 삼성전자주식회사 원자층 증착법을 이용한 산화막의 형성방법 및 이를이용한 반도체 장치의 캐패시터 형성방법
US7199023B2 (en) 2002-08-28 2007-04-03 Micron Technology, Inc. Atomic layer deposited HfSiON dielectric films wherein each precursor is independendently pulsed
US6759286B2 (en) 2002-09-16 2004-07-06 Ajay Kumar Method of fabricating a gate structure of a field effect transistor using a hard mask
US6998305B2 (en) 2003-01-24 2006-02-14 Asm America, Inc. Enhanced selectivity for epitaxial deposition
US7098141B1 (en) * 2003-03-03 2006-08-29 Lam Research Corporation Use of silicon containing gas for CD and profile feature enhancements of gate and shallow trench structures
JP3872027B2 (ja) 2003-03-07 2007-01-24 株式会社東芝 クリーニング方法及び半導体製造装置
US20040226911A1 (en) 2003-04-24 2004-11-18 David Dutton Low-temperature etching environment
CN100454200C (zh) 2003-06-09 2009-01-21 喜开理株式会社 相对压力控制系统和相对流量控制系统
US6982433B2 (en) 2003-06-12 2006-01-03 Intel Corporation Gate-induced strain for MOS performance improvement
EP1519420A2 (en) 2003-09-25 2005-03-30 Interuniversitaire Microelectronica Centrum vzw ( IMEC) Multiple gate semiconductor device and method for forming same
JP2005167064A (ja) 2003-12-04 2005-06-23 Sharp Corp 不揮発性半導体記憶装置
US6987055B2 (en) * 2004-01-09 2006-01-17 Micron Technology, Inc. Methods for deposition of semiconductor material
US7045432B2 (en) 2004-02-04 2006-05-16 Freescale Semiconductor, Inc. Method for forming a semiconductor device with local semiconductor-on-insulator (SOI)
US7071117B2 (en) 2004-02-27 2006-07-04 Micron Technology, Inc. Semiconductor devices and methods for depositing a dielectric film
US7230274B2 (en) * 2004-03-01 2007-06-12 Cree, Inc Reduction of carrot defects in silicon carbide epitaxy
KR100532509B1 (ko) 2004-03-26 2005-11-30 삼성전자주식회사 SiGe를 이용한 트렌치 커패시터 및 그 형성방법
US20050241671A1 (en) 2004-04-29 2005-11-03 Dong Chun C Method for removing a substance from a substrate using electron attachment
KR100625175B1 (ko) 2004-05-25 2006-09-20 삼성전자주식회사 채널층을 갖는 반도체 장치 및 이를 제조하는 방법
US7579280B2 (en) 2004-06-01 2009-08-25 Intel Corporation Method of patterning a film
US7396743B2 (en) * 2004-06-10 2008-07-08 Singh Kaushal K Low temperature epitaxial growth of silicon-containing films using UV radiation
US7361563B2 (en) 2004-06-17 2008-04-22 Samsung Electronics Co., Ltd. Methods of fabricating a semiconductor device using a selective epitaxial growth technique
KR100607409B1 (ko) 2004-08-23 2006-08-02 삼성전자주식회사 기판 식각 방법 및 이를 이용한 반도체 장치 제조 방법
US7560322B2 (en) 2004-10-27 2009-07-14 Northrop Grumman Systems Corporation Method of making a semiconductor structure for high power semiconductor devices
US7682940B2 (en) 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
US7560352B2 (en) 2004-12-01 2009-07-14 Applied Materials, Inc. Selective deposition
US7312128B2 (en) 2004-12-01 2007-12-25 Applied Materials, Inc. Selective epitaxy process with alternating gas supply
US7235492B2 (en) 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
US7438760B2 (en) 2005-02-04 2008-10-21 Asm America, Inc. Methods of making substitutionally carbon-doped crystalline Si-containing materials by chemical vapor deposition
JP4832022B2 (ja) * 2005-07-29 2011-12-07 株式会社日立国際電気 基板処理装置
KR101038843B1 (ko) 2005-10-05 2011-06-03 어플라이드 머티어리얼스, 인코포레이티드 에피택셜막 형성 방법 및 장치
WO2007112058A2 (en) 2006-03-24 2007-10-04 Applied Materials, Inc. Carbon precursors for use during silicon epitaxial firm formation
US7674337B2 (en) 2006-04-07 2010-03-09 Applied Materials, Inc. Gas manifolds for use during epitaxial film formation
KR101074186B1 (ko) 2006-04-07 2011-10-14 어플라이드 머티어리얼스, 인코포레이티드 에피택셜 필름 형성을 위한 클러스터 툴
DE112007001813T5 (de) 2006-07-31 2009-07-09 Applied Materials, Inc., Santa Clara Verfahren zum Steuern der Morphologie während der Bildung einer epitaktischen Schicht
KR101160930B1 (ko) 2006-07-31 2012-06-29 어플라이드 머티어리얼스, 인코포레이티드 카본-함유 실리콘 에피택셜 층을 형성하는 방법

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0992621A (ja) * 1995-09-28 1997-04-04 Oki Electric Ind Co Ltd 半導体薄膜の選択成長方法

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013070055A (ja) * 2006-12-12 2013-04-18 Applied Materials Inc シリコンと炭素を含有するインサイチュリンドープエピタキシャル層の形成
WO2010035409A1 (ja) * 2008-09-26 2010-04-01 信越半導体株式会社 シリコンエピタキシャルウェーハの製造方法
JP5273150B2 (ja) * 2008-09-26 2013-08-28 信越半導体株式会社 シリコンエピタキシャルウェーハの製造方法
WO2010067517A1 (ja) * 2008-12-11 2010-06-17 株式会社日立国際電気 半導体装置の製造方法
JP2010171101A (ja) * 2009-01-21 2010-08-05 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
JP2013546169A (ja) * 2010-10-06 2013-12-26 アプライド マテリアルズ インコーポレイテッド 3dメモリに適用するpecvd酸化物−窒化物スタック及び酸化物−シリコンスタック
JP2015230998A (ja) * 2014-06-06 2015-12-21 ローム株式会社 SiCエピタキシャルウェハ、SiCエピタキシャルウェハの製造装置、SiCエピタキシャルウェハの製造方法、および半導体装置
JPWO2016067918A1 (ja) * 2014-10-31 2017-07-20 富士電機株式会社 炭化珪素エピタキシャル膜の成長方法
US10096470B2 (en) 2014-10-31 2018-10-09 Fuji Electric Co., Ltd. Method of producing a silicon carbide single-crystal substrate by epitaxial growth of a SiC epitaxial film on a SiC substrate
JP2018026494A (ja) * 2016-08-12 2018-02-15 株式会社アルバック 成膜方法及び成膜装置
JP2019537840A (ja) * 2016-11-03 2019-12-26 ユ−ジーン テクノロジー カンパニー.リミテッド 低温エピタキシャル層の形成方法

Also Published As

Publication number Publication date
US20100221902A1 (en) 2010-09-02
CN102176411A (zh) 2011-09-07
US7732305B2 (en) 2010-06-08
KR20070086438A (ko) 2007-08-27
CN102176411B (zh) 2013-02-13
WO2006060543A3 (en) 2006-08-31
KR101181040B1 (ko) 2012-09-07
JP4918043B2 (ja) 2012-04-18
TWI327749B (en) 2010-07-21
US8586456B2 (en) 2013-11-19
US7682940B2 (en) 2010-03-23
US20060115933A1 (en) 2006-06-01
TW200629377A (en) 2006-08-16
US7960256B2 (en) 2011-06-14
US20110230036A1 (en) 2011-09-22
EP1829089A2 (en) 2007-09-05
WO2006060543A2 (en) 2006-06-08
US20060260538A1 (en) 2006-11-23

Similar Documents

Publication Publication Date Title
JP4918043B2 (ja) シリコンエピタキシャル膜形成方法
US7598178B2 (en) Carbon precursors for use during silicon epitaxial film formation
JP5303148B2 (ja) 交互ガス供給による選択的エピタキシープロセス
US7560352B2 (en) Selective deposition
US7517775B2 (en) Methods of selective deposition of heavily doped epitaxial SiGe
KR100941545B1 (ko) 선택적 에피택시 공정의 제어
US20070082451A1 (en) Methods to fabricate mosfet devices using a selective deposition process

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080905

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20101130

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20101210

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110608

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110705

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110930

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20111007

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20111104

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20111111

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20111130

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120117

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120127

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150203

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees