JP2008504677A5 - - Google Patents

Download PDF

Info

Publication number
JP2008504677A5
JP2008504677A5 JP2007518034A JP2007518034A JP2008504677A5 JP 2008504677 A5 JP2008504677 A5 JP 2008504677A5 JP 2007518034 A JP2007518034 A JP 2007518034A JP 2007518034 A JP2007518034 A JP 2007518034A JP 2008504677 A5 JP2008504677 A5 JP 2008504677A5
Authority
JP
Japan
Prior art keywords
strain
inducing
semiconductor
region
strained
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2007518034A
Other languages
English (en)
Other versions
JP2008504677A (ja
Filing date
Publication date
Priority claimed from US10/930,404 external-priority patent/US7227205B2/en
Application filed filed Critical
Publication of JP2008504677A publication Critical patent/JP2008504677A/ja
Publication of JP2008504677A5 publication Critical patent/JP2008504677A5/ja
Pending legal-status Critical Current

Links

Claims (29)

  1. 半導体デバイスであって、
    歪み誘発層の上の歪み半導体層を含む基板であって、前記歪み誘発層がシリコンよりも大きい格子定数を有し、前記歪み半導体層において二軸性歪みを生成する、基板と、
    前記歪み半導体層のデバイス・チャネル部分の上のゲート導体を含む少なくとも1つのゲート領域であって、前記デバイス・チャネル部分が前記少なくとも1つのゲート導体に隣接したソース領域およびドレイン領域を分離する、ゲート領域と、
    少なくとも1つの一軸性歪み誘発手段であって、前記少なくとも1つのゲート領域に隣接すると共に前記少なくとも1つのゲート領域の上に位置する歪み誘発ライナ、前記少なくとも1つのゲート領域に隣接して配置された歪み誘発ウェル、または、前記歪み誘発ライナ及び前記歪み誘発ウェルの組み合わせ、から選択される一軸性歪み誘発手段とを含み、
    前記一軸性歪み誘発手段の各々が前記少なくとも1つのゲート領域の下にある前記歪み半導体層のデバイス・チャネル部分に対して一軸性歪みを生成する、
    半導体デバイス。
  2. 前記歪み誘発ライナが存在し、前記ライナが、酸化物、ドーピングした酸化物、窒化物、Al23、HfO2、ZrO2、HfSiO、またはそれらの組み合わせを含む、請求項1に記載の半導体デバイス。
  3. 前記歪み誘発層が、原子重量パーセントで5%から50%までの範囲の濃度でGeが存在するSiGeを含み、前記歪み半導体層において引張り力の下で前記二軸性歪みを生成し、前記歪み誘発ライナが引張り力の下にあり、前記歪み誘発ライナが前記歪み誘発層と共に前記デバイス・チャネルに平行な方向に引張り力のもとで前記一軸性歪みを与える、請求項2に記載の半導体デバイス。
  4. 前記歪み誘発層が炭素をドーピングしたシリコンを含み、前記炭素が原子重量パーセントで1%から6%までの範囲の濃度で存在し、前記歪み半導体層において圧縮力の下で前記二軸性歪みを生成し、前記歪み誘発ライナが圧縮力の下にあり、前記歪み誘発ライナが前記歪み誘発層と共に前記デバイス・チャネルに平行な方向に圧縮力のもとで前記一軸性歪みを与える、請求項2に記載の半導体デバイス。
  5. 本質的に引張り性の歪み誘電材料を含む分離領域を更に含み、前記本質的に引張り性の歪み誘電材料が前記歪み半導体層において引張り力の下で前記二軸性歪みを増大させる、請求項3に記載の半導体デバイス。
  6. 本質的に圧縮性の歪み誘電材料を含む分離領域を更に含み、前記本質的に圧縮性の歪み誘電材料が前記歪み半導体層において圧縮力の下で前記二軸性歪みを増大させる、請求項4に記載の半導体デバイス。
  7. 前記歪み誘発ウェルが存在する、請求項1に記載の半導体デバイス。
  8. 前記歪み誘発ライナおよび前記歪み誘発ウェルが双方とも存在する、請求項1に記載の半導体デバイス。
  9. 半導体デバイスであって、
    圧縮歪み半導体表面および引張り歪み半導体表面を含む基板であって、前記圧縮歪み半導体表面および引張り歪み半導体表面が、二軸性の歪みがかかっており、シリコンよりも大きい格子定数を有する歪み誘発層の上に配置されている、基板と、
    前記基板の前記圧縮歪み半導体層のデバイス・チャネル部分の上のゲート導体を含む、前記二軸性圧縮歪み半導体層の上の少なくとも1つのゲート領域と、
    前記基板の前記引張り歪み半導体層のデバイス・チャネル部分の上のゲート導体を含む、前記引張り歪み半導体層の上の少なくとも1つのゲート領域と、
    少なくとも1つの圧縮歪み誘発手段であって、前記圧縮歪み半導体表面に配置された前記少なくとも1つのゲート領域に隣接すると共に前記少なくとも1つのゲート領域の上に位置する圧縮歪み誘発ライナ、前記圧縮歪み半導体ウエハの上の前記少なくとも1つのゲート領域に隣接して配置された圧縮歪み誘発ウェル、または、前記圧縮歪み誘発ライナ及び前記圧縮歪み誘発ウェルの組み合わせ、から選択される圧縮歪み誘発手段とを含み、
    前記圧縮歪み誘発手段の各々が前記圧縮歪み半導体表面の前記デバイス・チャネル部分に平行な方向に前記圧縮歪み半導体層において圧縮一軸性歪みを生成し、
    前記圧縮歪み誘発層が存在する場合、前記引張り歪み半導体層上に配置された前記少なくとも1つのゲート領域の上に引張り歪み誘発ライナが存在するという条件で、前記引張り歪み誘発ライナが前記引張り歪み半導体層の前記デバイス・チャネル部分に平行な方向に前記引張り歪み半導体層において引張り一軸性歪みを生成し、前記圧縮歪み誘発ウェルが存在する場合、前記引張り歪み半導体層上の前記少なくとも1つのゲート領域に隣接して引張り歪み誘発ウェルが存在するという条件で、前記引張り歪み誘発ウェルが前記引張り歪み半導体層の引張り一軸性歪みを生成し、前記引張り一軸性歪みが前記引張り歪み半導体層の前記デバイス・チャネル部分に平行な方向である、前記デバイス・チャネル部分に平行な方向に前記引張り歪み半導体層において引張り一軸性歪みを生成する、半導体デバイス。
  10. 本質的に圧縮性の歪み誘電材料を含む、前記圧縮歪み半導体表面に当接する分離領域であって、前記圧縮歪み半導体表面において圧縮歪みを二軸的に増大させる、前記圧縮歪み半導体表面に当接する分離領域と、
    本質的に圧縮性の歪み誘電材料を含む、前記二軸性引張り歪み半導体表面に当接する分離領域であって、二軸性歪みを圧縮力の下で増大させる、前記二軸性引張り歪み半導体表面に当接する分離領域と、
    を更に含む、請求項に記載の半導体デバイス。
  11. 前記圧縮歪み誘発ウェルが存在し、前記ウェルがSiGeを含み、前記引張り歪み誘発ウェルが炭素をドーピングしたシリコンまたは炭素をドーピングしたシリコン・ゲルマニウムを含む、請求項に記載の半導体デバイス。
  12. 本質的に引張り性の歪み誘電材料を含む、前記圧縮歪み半導体表面に当接する分離領域であって、引張り二軸性歪みを引張り力の下で増大させる、前記圧縮歪み半導体表面に当接する分離領域と、
    本質的に圧縮性の歪み誘電材料を含む、前記引張り歪み半導体表面に当接する分離領域であって、二軸性歪みを引張り力の下で増大させる、前記引張り歪み半導体表面に当接する分離領域と、
    を更に含む、請求項11に記載の半導体デバイス。
  13. 前記歪み誘発ウェルが存在し、前記歪み誘発ウェルが前記デバイス・チャネルに平行な方向に前記半導体基板に配置されている、請求項9に記載の半導体デバイス。
  14. 前記半導体基板が、5%から50%までの範囲の濃度でGeが存在するSiGeを含んでいる下に位置する歪み誘発層によって誘発される二軸性引張り歪みを含み、前記歪み誘発ウェルが、炭素をドーピングしたシリコンまたは炭素をドーピングしたシリコン・ゲルマニウムを含み、一軸性歪みが引張り力の下にある、請求項に記載の半導体デバイス
  15. 前記半導体基板が、炭素をドーピングしたシリコンを含んでいる下に位置する歪み誘発ライナによって誘発される二軸性圧縮歪みを含み、前記炭素が1%から6%までの範囲の濃度で存在し、前記歪み誘発ウェルがSiGeを含み、前記一軸性歪みが圧縮力の下にある、請求項に記載の半導体デバイス
  16. 前記半導体基板が、Si、歪みSi、Si1-yy、Si1-x-yGexy、Si1-xGex、Si合金、Ge、Ge合金、GaAs、InAs、InP、シリコン・オン・インシュレータ(SOI)、またはSiGeオン・インシュレータ(SGOI)基板を含む緩和結晶構造を有する、請求項15に記載の半導体デバイス
  17. 前記歪み誘発ライナおよび前記歪み誘発ウェルが内部引張り歪みを有し、前記歪み誘発ウェルが炭素をドーピングしたシリコンまたは炭素をドーピングしたシリコン・ゲルマニウムを含み、前記歪み誘発ライナが前記歪み誘発ウェルと共に、前記半導体基板の前記デバイス・チャネル部分に平行な引張り一軸性歪みを生成する、請求項に記載の半導体デバイス。
  18. 半導体デバイスであって、
    二軸性歪み半導体表面を有する第1のデバイス領域および緩和半導体表面を有する第2のデバイス領域を含む基板であって、前記半導体表面がシリコンよりも大きい格子定数を有する歪み誘発層の上に配置されている、基板と、
    前記二軸性歪み半導体表面のデバイス・チャネル部分の上のゲート導体を含む、前記二軸性歪み半導体表面の上の少なくとも1つのゲート領域と、
    前記緩和半導体表面のデバイス・チャネル部分の上のゲート導体を含む、前記緩和半導体表面の上の少なくとも1つのゲート領域と、
    前記緩和半導体表面の上に配置された前記少なくとも1つのゲート領域に隣接すると共に前記少なくとも1つのゲート領域の上にあり、前記二軸性歪み半導体表面の上に配置された前記少なくとも1つのゲート領域の上にある、歪み誘発ライナと、
    前記緩和半導体表面の上の前記少なくとも1つのゲート領域に隣接して配置された歪み誘発ウェルであって、前記歪み誘発ウェルが前記歪み誘発ライナと共に、前記第2のデバイス領域において前記緩和半導体表面の前記デバイス・チャネル部分に平行な方向に一軸性歪みを生成し、前記二軸性歪み半導体表面が前記歪み誘発ライナと共に、前記第1のデバイス領域において前記二軸性半導体表面の前記デバイス・チャネル部分に平行な前記方向に一軸性歪みを生成する、歪み誘発ウェルと、
    を含む、半導体デバイス。
  19. 前記歪み誘発ウェルが前記第1のデバイス領域および前記第2のデバイス領域に存在する、請求項18に記載の半導体デバイス。
  20. 半導体デバイスであって、
    二軸性歪み半導体表面を有する第1のデバイス領域および緩和半導体表面を有する第2のデバイス領域を含む基板であって、前記半導体表面がシリコンよりも大きい格子定数を有する歪み誘発層の上に配置されている、基板と、
    前記二軸性歪み半導体表面のデバイス・チャネル部分の上のゲート導体を含む、前記二軸性歪み半導体表面の上の少なくとも1つのゲート領域と、
    前記緩和半導体表面のデバイス・チャネル部分の上のゲート導体を含む、前記緩和半導体表面の上の少なくとも1つのゲート領域と、
    前記緩和半導体表面の上の前記少なくとも1つのゲート領域に隣接し、更に、前記二軸性歪み半導体表面の上の前記少なくとも1つのゲート領域に隣接した歪み誘発ウェルと、
    前記緩和半導体表面の上に配置された前記少なくとも1つのゲート領域に隣接すると共に前記少なくとも1つのゲート領域の上にある歪み誘発ライナであって、前記歪み誘発ライナが前記歪み誘発ウェルと共に、前記第2のデバイス領域において前記緩和半導体表面の前記デバイス・チャネル部分に平行な方向に一軸性歪みを生成し、前記二軸性歪み半導体表面が前記歪み誘発ウェルと共に、前記第1のデバイス領域において前記二軸性半導体表面の前記デバイス・チャネル部分に平行な前記方向に一軸性歪みを生成する、歪み誘発ライナと、
    を含む、半導体デバイス。
  21. 半導体構造を設ける方法であって、
    少なくとも1つの歪み半導体表面を有する基板を設けるステップであって、前記少なくとも1つの歪み半導体表面が第1の方向および第2の方向に大きさが等しい内部歪みを有し、前記第1の方向が同一平面内にあって前記第2の方向に垂直であり、前記少なくとも1つの歪み半導体表面がシリコンよりも大きい格子定数を有する歪み誘発層の上に配置されている、ステップと、
    前記少なくとも1つの歪み半導体表面の上に少なくとも1つの半導体デバイスを生成するステップであって、前記少なくとも1つの半導体デバイスが前記半導体表面のデバイス・チャネル部分の上のゲート導体を含み、前記デバイス・チャネルがソース領域およびドレイン領域を分離する、ステップと、
    少なくとも1つの圧縮歪み誘発手段であって、前記少なくとも1つのゲート領域に隣接すると共に前記少なくとも1つのゲート領域の上に位置する歪み誘発ライナ、前記少なくとも1つのゲート領域に隣接して配置された歪み誘発ウェル、または、前記歪み誘発ライナ及び前記歪み誘発ウェルの組み合わせ、から選択される歪み誘発手段とを含み、
    前記歪み誘発手段の各々が前記デバイス・チャネルに平行な方向に前記少なくとも1つの歪み半導体表面において一軸性歪みを生成し、前記第1の方向の前記歪みの大きさが前記第2の方向とは異なる、歪み誘発手段を形成するステップと、
    を含む、方法。
  22. 前記少なくとも1つの歪み半導体層を有する前記基板を設けるステップが、SiGe層の上にある引張り歪みエピタキシャル成長半導体層を設けるステップを含み、前記SiGe層が5%から30%までの範囲の濃度で存在するGeを有し、
    前記歪み誘発ライナが、圧縮応力または引張り応力を生成する条件の下で化学気相付着を用いて堆積した酸化物、窒化物、ドーピングした酸化物、またはそれらの組み合わせを含む、請求項21に記載の方法。
  23. 前記少なくとも1つの歪み半導体層を有する前記基板を設けるステップが、炭素をドーピングしたシリコン層の上にある圧縮歪みエピタキシャル成長半導体層を設けるステップを含み、炭素を有する前記炭素をドーピングしたシリコン層が0.5%から6%までの範囲の濃度で存在し、
    前記歪み誘発ライナが、圧縮応力または引張り応力を生成する条件の下で化学気相付着を用いて堆積した酸化物、窒化物、ドーピングした酸化物、またはそれらの組み合わせを含む、請求項21に記載の方法。
  24. 前記歪み誘発ウェルを形成するステップが、前記歪み成長半導体表面の表面をエッチングしてくぼみを設け、前記くぼみ内に歪み誘発材料を含むシリコンをエピタキシャル成長させるステップを含み、
    0.5%から6%までの範囲の濃度で炭素をドーピングした前記くぼみ内のシリコンが、引張り歪み誘発ウェルを提供し、5%から50%までの範囲の濃度でGeが存在する前記くぼみ内のシリコン・ゲルマニウムが圧縮歪み誘発ウェルを提供する、請求項21に記載の方法。
  25. 前記エッチングを形成するステップが、方向性および非方向性エッチングを含むエッチング・プロセスを含み、前記くぼみが前記少なくとも1つのゲート領域に隣接したスペーサをアンダーカットする、請求項24に記載の方法。
  26. 半導体構造を設ける方法であって、
    第1のデバイス領域および第2のデバイス領域を有する基板を設けるステップであって、前記デバイス領域がシリコンよりも大きい格子定数を有する歪み誘発層の上に配置された少なくとも1つの歪み半導体表面を有する、ステップと、
    前記第1のデバイス領域および前記第2のデバイス領域において前記基板のデバイス・チャネル部分の上に少なくとも1つの半導体デバイスを生成するステップと、
    前記第1のデバイス領域および第2のデバイス領域において一軸性歪みを生成するステップであって、前記一軸性歪みが前記第1のデバイス領域および前記第2のデバイス領域の前記デバイス・チャネルに平行な方向である、ステップと、
    を含む、方法。
  27. 前記第1のデバイス領域および前記第2のデバイス領域における前記一軸性歪みが引張り性または圧縮性であり、前記第1のデバイス領域における前記一軸性歪みが前記第2のデバイス領域と同一または異なる、請求項26に記載の方法。
  28. 前記第1のデバイス領域および前記第2のデバイス領域において一軸性歪みを生成するステップが、更に、
    前記第1のデバイス領域および前記第2のデバイス領域を処理して歪み誘発構造の組み合わせを設けるステップであって、この構造が、前記少なくとも1つの半導体デバイスの下にある二軸性歪み半導体表面および前記少なくとも1つの半導体デバイスの上の歪み誘発ライナの第1の組み合わせ、前記少なくとも1つの半導体デバイスの下にある前記二軸性歪み半導体表面および前記少なくとも1つの半導体デバイスに隣接した歪み誘発ウェルを含む第2の組み合わせ、前記少なくとも1つの半導体デバイスの下にある前記二軸性歪み半導体表面、前記少なくとも1つの半導体デバイスの上の歪み誘発ライナ、および、前記少なくとも1つの半導体デバイスに隣接した歪み誘発ウェルを含む第3の組み合わせ、または、前記少なくとも1つの半導体デバイスの下にある緩和基板、緩和表面上の前記少なくとも1つの半導体デバイスの上の前記歪み誘発ライナ、および、前記少なくとも1つの半導体デバイスに隣接した前記歪み誘発ウェルを含む第4の組み合わせを含み、前記第1のデバイス領域における前記歪み誘発構造の組み合わせが前記第2のデバイス領域における前記歪み誘発構造の組み合わせと同一であるかまたは異なる、ステップを含む、請求項27に記載の方法。
  29. 前記第1のデバイス領域における前記一軸性歪みが引張り力の下にあり、少なくとも1つのnFETを含み、前記第2のデバイス領域が圧縮力の下にあり、少なくとも1つのpFETを含む、請求項26に記載の方法。
JP2007518034A 2004-06-24 2005-04-07 改良した歪みシリコンcmosデバイスおよび方法 Pending JP2008504677A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US58267804P 2004-06-24 2004-06-24
US10/930,404 US7227205B2 (en) 2004-06-24 2004-08-31 Strained-silicon CMOS device and method
PCT/US2005/011661 WO2006006972A1 (en) 2004-06-24 2005-04-07 Improved strained-silicon cmos device and method

Publications (2)

Publication Number Publication Date
JP2008504677A JP2008504677A (ja) 2008-02-14
JP2008504677A5 true JP2008504677A5 (ja) 2008-05-08

Family

ID=34965231

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007518034A Pending JP2008504677A (ja) 2004-06-24 2005-04-07 改良した歪みシリコンcmosデバイスおよび方法

Country Status (5)

Country Link
US (1) US7227205B2 (ja)
EP (1) EP1790012B1 (ja)
JP (1) JP2008504677A (ja)
CN (1) CN1985374B (ja)
WO (1) WO2006006972A1 (ja)

Families Citing this family (103)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6713810B1 (en) * 2003-02-10 2004-03-30 Micron Technology, Inc. Non-volatile devices, and electronic systems comprising non-volatile devices
DE102004031710B4 (de) * 2004-06-30 2007-12-27 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Herstellen unterschiedlich verformter Halbleitergebiete und Transistorpaar in unterschiedlich verformten Halbleitergebieten
JP4444027B2 (ja) * 2004-07-08 2010-03-31 富士通マイクロエレクトロニクス株式会社 nチャネルMOSトランジスタおよびCMOS集積回路装置
US7288448B2 (en) * 2004-08-24 2007-10-30 Orlowski Marius K Method and apparatus for mobility enhancement in a semiconductor device
US7179696B2 (en) * 2004-09-17 2007-02-20 Texas Instruments Incorporated Phosphorus activated NMOS using SiC process
US7354806B2 (en) * 2004-09-17 2008-04-08 International Business Machines Corporation Semiconductor device structure with active regions having different surface directions and methods
US20060118878A1 (en) * 2004-12-02 2006-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. CMOS device with selectively formed and backfilled semiconductor substrate areas to improve device performance
US7405436B2 (en) * 2005-01-05 2008-07-29 International Business Machines Corporation Stressed field effect transistors on hybrid orientation substrate
US7323391B2 (en) * 2005-01-15 2008-01-29 Applied Materials, Inc. Substrate having silicon germanium material and stressed silicon nitride layer
US7138309B2 (en) * 2005-01-19 2006-11-21 Sharp Laboratories Of America, Inc. Integration of biaxial tensile strained NMOS and uniaxial compressive strained PMOS on the same wafer
US7465972B2 (en) 2005-01-21 2008-12-16 Taiwan Semiconductor Manufacturing Company, Ltd. High performance CMOS device design
US7442597B2 (en) * 2005-02-02 2008-10-28 Texas Instruments Incorporated Systems and methods that selectively modify liner induced stress
US7842537B2 (en) * 2005-02-14 2010-11-30 Intel Corporation Stressed semiconductor using carbon and method for producing the same
JP2006253317A (ja) * 2005-03-09 2006-09-21 Fujitsu Ltd 半導体集積回路装置およびpチャネルMOSトランジスタ
US7429775B1 (en) 2005-03-31 2008-09-30 Xilinx, Inc. Method of fabricating strain-silicon CMOS
JP4239203B2 (ja) * 2005-05-31 2009-03-18 株式会社東芝 半導体装置とその製造方法
US7423283B1 (en) 2005-06-07 2008-09-09 Xilinx, Inc. Strain-silicon CMOS using etch-stop layer and method of manufacture
US7655991B1 (en) * 2005-09-08 2010-02-02 Xilinx, Inc. CMOS device with stressed sidewall spacers
US8003470B2 (en) 2005-09-13 2011-08-23 Infineon Technologies Ag Strained semiconductor device and method of making the same
JP4930375B2 (ja) * 2005-09-28 2012-05-16 富士通株式会社 半導体装置及びその製造方法
US7936006B1 (en) 2005-10-06 2011-05-03 Xilinx, Inc. Semiconductor device with backfilled isolation
DE102005052055B3 (de) * 2005-10-31 2007-04-26 Advanced Micro Devices, Inc., Sunnyvale Eingebettete Verformungsschicht in dünnen SOI-Transistoren und Verfahren zur Herstellung desselben
US7947546B2 (en) * 2005-10-31 2011-05-24 Chartered Semiconductor Manufacturing, Ltd. Implant damage control by in-situ C doping during SiGe epitaxy for device applications
US7678630B2 (en) * 2006-02-15 2010-03-16 Infineon Technologies Ag Strained semiconductor device and method of making same
US7691698B2 (en) * 2006-02-21 2010-04-06 International Business Machines Corporation Pseudomorphic Si/SiGe/Si body device with embedded SiGe source/drain
JP5096681B2 (ja) 2006-02-21 2012-12-12 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
DE102006009272B4 (de) * 2006-02-28 2013-01-03 Globalfoundries Inc. Verfahren zur Herstellung eines verspannten Transistors durch eine späte Amorphisierung und durch zu entfernende Abstandshalter
US7696019B2 (en) * 2006-03-09 2010-04-13 Infineon Technologies Ag Semiconductor devices and methods of manufacturing thereof
US7323392B2 (en) * 2006-03-28 2008-01-29 Taiwan Semiconductor Manufacturing Company, Ltd. High performance transistor with a highly stressed channel
DE102006019835B4 (de) * 2006-04-28 2011-05-12 Advanced Micro Devices, Inc., Sunnyvale Transistor mit einem Kanal mit Zugverformung, der entlang einer kristallographischen Orientierung mit erhöhter Ladungsträgerbeweglichkeit orientiert ist
KR100703986B1 (ko) * 2006-05-22 2007-04-09 삼성전자주식회사 동작 특성과 플리커 노이즈 특성이 향상된 아날로그트랜지스터를 구비하는 반도체 소자 및 그 제조 방법
US7279758B1 (en) * 2006-05-24 2007-10-09 International Business Machines Corporation N-channel MOSFETs comprising dual stressors, and methods for forming the same
DE102006030264B4 (de) * 2006-06-30 2008-08-28 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung von Transistoren mit einem Kanal mit biaxialer Verformung, die durch Silizium/Germanium in der Gateelektrode hervorgerufen wird
JP4534164B2 (ja) * 2006-07-25 2010-09-01 エルピーダメモリ株式会社 半導体装置の製造方法
US7473594B2 (en) * 2006-07-25 2009-01-06 International Business Machines Corporation Raised STI structure and superdamascene technique for NMOSFET performance enhancement with embedded silicon carbon
DE102006035669B4 (de) * 2006-07-31 2014-07-10 Globalfoundries Inc. Transistor mit einem verformten Kanalgebiet, das eine leistungssteigernde Materialzusammensetzung aufweist und Verfahren zur Herstellung
US7494878B2 (en) * 2006-10-25 2009-02-24 United Microelectronics Corp. Metal-oxide-semiconductor transistor and method of forming the same
TWI319211B (en) * 2006-12-13 2010-01-01 Univ Nat Taiwan Mobility enhancement of thin film transistor by strain technology
US7968952B2 (en) * 2006-12-29 2011-06-28 Intel Corporation Stressed barrier plug slot contact structure for transistor performance enhancement
US7494886B2 (en) * 2007-01-12 2009-02-24 International Business Machines Corporation Uniaxial strain relaxation of biaxial-strained thin films using ion implantation
US7525161B2 (en) * 2007-01-31 2009-04-28 International Business Machines Corporation Strained MOS devices using source/drain epitaxy
US7632724B2 (en) * 2007-02-12 2009-12-15 International Business Machines Corporation Stressed SOI FET having tensile and compressive device regions
US7868390B2 (en) * 2007-02-13 2011-01-11 United Microelectronics Corp. Method for fabricating strained-silicon CMOS transistor
US7927954B2 (en) * 2007-02-26 2011-04-19 United Microelectronics Corp. Method for fabricating strained-silicon metal-oxide semiconductor transistors
US7875511B2 (en) * 2007-03-13 2011-01-25 International Business Machines Corporation CMOS structure including differential channel stressing layer compositions
US20080237733A1 (en) * 2007-03-27 2008-10-02 International Business Machines Corporation Structure and method to enhance channel stress by using optimized sti stress and nitride capping layer stress
DE102007015504B4 (de) * 2007-03-30 2014-10-23 Advanced Micro Devices, Inc. SOI-Transistor mit Drain- und Sourcegebieten mit reduzierter Länge und einem dazu benachbarten verspannten dielektrischen Material und Verfahren zur Herstellung
DE102007020258B4 (de) * 2007-04-30 2018-06-28 Globalfoundries Inc. Technik zur Verbesserung des Transistorleitungsverhaltens durch eine transistorspezifische Kontaktgestaltung
US7737468B2 (en) * 2007-05-21 2010-06-15 Infineon Technologies Ag Semiconductor devices having recesses filled with semiconductor materials
US7851288B2 (en) * 2007-06-08 2010-12-14 International Business Machines Corporation Field effect transistor using carbon based stress liner
JP5286701B2 (ja) 2007-06-27 2013-09-11 ソニー株式会社 半導体装置および半導体装置の製造方法
JP5389346B2 (ja) * 2007-10-11 2014-01-15 富士通セミコンダクター株式会社 Mos電界効果トランジスタおよびその製造方法
JP5107680B2 (ja) 2007-11-16 2012-12-26 パナソニック株式会社 半導体装置
US20090173967A1 (en) * 2008-01-04 2009-07-09 International Business Machines Corporation Strained-channel fet comprising twist-bonded semiconductor layer
JP5268385B2 (ja) * 2008-02-13 2013-08-21 パナソニック株式会社 半導体装置
US8361879B2 (en) * 2008-05-19 2013-01-29 Infineon Technologies Ag Stress-inducing structures, methods, and materials
US20090289280A1 (en) * 2008-05-22 2009-11-26 Da Zhang Method for Making Transistors and the Device Thereof
US7855110B2 (en) * 2008-07-08 2010-12-21 International Business Machines Corporation Field effect transistor and method of fabricating same
US7951662B2 (en) * 2008-07-20 2011-05-31 United Microelectronics Corp. Method of fabricating strained silicon transistor
DE102008044983B4 (de) * 2008-08-29 2014-08-21 Advanced Micro Devices, Inc. Verfahren zum Herstellen eines strukturierten verformten Substrats, insbesondere zur Herstellung verformter Transistoren mit geringerer Dicke der aktiven Schicht
JP2010141263A (ja) * 2008-12-15 2010-06-24 Toshiba Corp 半導体装置
KR20100081667A (ko) * 2009-01-07 2010-07-15 삼성전자주식회사 스트레인드 채널을 갖는 반도체 장치 및 그 제조 방법
US8143131B2 (en) * 2009-03-31 2012-03-27 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating spacers in a strained semiconductor device
DE102009023237B4 (de) * 2009-05-29 2013-11-28 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verfahren zum Herstellen von Transistorelementen mit unterschiedlicher Verformung und Halbleiterbauelement
TWI509780B (zh) * 2009-07-15 2015-11-21 Silanna Semiconductor Usa Inc 積體電路及其製造方法
US9466719B2 (en) 2009-07-15 2016-10-11 Qualcomm Incorporated Semiconductor-on-insulator with back side strain topology
US8232597B2 (en) 2009-07-15 2012-07-31 Io Semiconductor, Inc. Semiconductor-on-insulator with back side connection
US8921168B2 (en) 2009-07-15 2014-12-30 Silanna Semiconductor U.S.A., Inc. Thin integrated circuit chip-on-board assembly and method of making
US9496227B2 (en) 2009-07-15 2016-11-15 Qualcomm Incorporated Semiconductor-on-insulator with back side support layer
EP2937898A1 (en) * 2009-07-15 2015-10-28 Silanna Semiconductor U.S.A., Inc. Semiconductor-on-insulator with backside heat dissipation
US9390974B2 (en) 2012-12-21 2016-07-12 Qualcomm Incorporated Back-to-back stacked integrated circuit assembly and method of making
US20110042728A1 (en) * 2009-08-18 2011-02-24 International Business Machines Corporation Semiconductor device with enhanced stress by gates stress liner
US8169025B2 (en) * 2010-01-19 2012-05-01 International Business Machines Corporation Strained CMOS device, circuit and method of fabrication
US8288218B2 (en) 2010-01-19 2012-10-16 International Business Machines Corporation Device structure, layout and fabrication method for uniaxially strained transistors
US8563095B2 (en) * 2010-03-15 2013-10-22 Applied Materials, Inc. Silicon nitride passivation layer for covering high aspect ratio features
US8389300B2 (en) * 2010-04-02 2013-03-05 Centre National De La Recherche Scientifique Controlling ferroelectricity in dielectric films by process induced uniaxial strain
US8643061B2 (en) * 2010-10-20 2014-02-04 International Business Machines Corporation Structure of high-K metal gate semiconductor transistor
US8828840B2 (en) * 2011-01-12 2014-09-09 Chinese Academy of Sciences, Institute of Microelectronics Semiconductor device and method for manufacturing the same
DE102011003302A1 (de) 2011-01-28 2012-08-02 Carl Zeiss Smt Gmbh Vergrößerte abbildende Optik sowie Metrologiesystem mit einer derartigen abbildenden Optik
US8389352B2 (en) 2011-02-11 2013-03-05 International Business Machines Corporation Silicon germanium film formation method and structure
CN102856375B (zh) * 2011-06-27 2015-05-20 中国科学院微电子研究所 一种半导体结构及其制造方法
US9281304B2 (en) * 2011-12-08 2016-03-08 Texas Instruments Incorporated Transistor assisted ESD diode
CN103165420B (zh) * 2011-12-14 2015-11-18 中国科学院上海微系统与信息技术研究所 一种SiGe中嵌入超晶格制备应变Si的方法
JP5848142B2 (ja) * 2012-01-25 2016-01-27 ルネサスエレクトロニクス株式会社 縦型プレーナパワーmosfetの製造方法
JP2013187482A (ja) * 2012-03-09 2013-09-19 Fuji Electric Co Ltd Mos型半導体装置およびその製造方法
CN102738179B (zh) * 2012-07-16 2015-08-19 西安电子科技大学 一种SOI应变SiGe CMOS集成器件及制备方法
US20140248749A1 (en) * 2013-03-04 2014-09-04 Globalfoundries Inc. Stress memorization technique
KR102201606B1 (ko) * 2013-12-27 2021-01-12 인텔 코포레이션 Cmos에 대한 2-축 인장 변형된 ge 채널
US9502565B2 (en) * 2014-06-27 2016-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Channel strain control for nonplanar compound semiconductor devices
US9515181B2 (en) 2014-08-06 2016-12-06 Qualcomm Incorporated Semiconductor device with self-aligned back side features
US9570360B2 (en) 2014-08-27 2017-02-14 International Business Machines Corporation Dual channel material for finFET for high performance CMOS
US9543323B2 (en) 2015-01-13 2017-01-10 International Business Machines Corporation Strain release in PFET regions
US9679899B2 (en) * 2015-08-24 2017-06-13 Stmicroelectronics, Inc. Co-integration of tensile silicon and compressive silicon germanium
CN107507863B (zh) * 2016-06-14 2021-04-06 西安电子科技大学 基于沟道晶向选择的压应变Si PMOS器件及其制备方法
CN107507806B (zh) * 2016-06-14 2020-06-05 西安电子科技大学 基于沟道晶向选择的压应变Si CMOS器件及其制备方法
CN106098611B (zh) * 2016-06-20 2019-01-08 西安电子科技大学 基于氮化硅应力薄膜与尺度效应的晶圆级单轴应变sgoi的制作方法
CN106067441B (zh) * 2016-06-20 2019-01-29 西安电子科技大学 基于非晶化与尺度效应的晶圆级单轴应变sgoi的制作方法
CN106098608B (zh) * 2016-06-20 2018-11-16 西安电子科技大学 基于氮化硅应力薄膜与尺度效应的SiN埋绝缘层上晶圆级单轴应变SiGe的制作方法
CN105938814B (zh) * 2016-06-20 2018-09-11 西安电子科技大学 基于氮化硅应力薄膜与尺度效应的AlN埋绝缘层上晶圆级单轴应变Si的制作方法
CN106098610B (zh) * 2016-06-20 2019-01-08 西安电子科技大学 基于氮化硅应力薄膜与尺度效应的AlN埋绝缘层上晶圆级单轴应变Ge的制作方法
CN106098612B (zh) * 2016-06-20 2020-01-31 西安电子科技大学 基于氮化硅应力薄膜与尺度效应的SiN埋绝缘层上晶圆级单轴应变Ge的制作方法
WO2018126476A1 (en) * 2017-01-09 2018-07-12 Qualcomm Incorporated Rate-matching scheme for control channels using polar codes
KR102501097B1 (ko) 2018-06-21 2023-02-16 삼성전자주식회사 반도체 장치 및 반도체 장치의 제조 방법

Family Cites Families (89)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3602841A (en) 1970-06-18 1971-08-31 Ibm High frequency bulk semiconductor amplifiers and oscillators
US4853076A (en) 1983-12-29 1989-08-01 Massachusetts Institute Of Technology Semiconductor thin films
US4655415A (en) 1985-01-24 1987-04-07 The Garrett Corporation Helicopter flotation
DE3676781D1 (de) 1985-09-13 1991-02-14 Siemens Ag Integrierte bipolar- und komplementaere mos-transistoren auf einem gemeinsamen substrat enthaltende schaltung und verfahren zu ihrer herstellung.
US4958213A (en) 1987-12-07 1990-09-18 Texas Instruments Incorporated Method for forming a transistor base region under thick oxide
US5354695A (en) 1992-04-08 1994-10-11 Leedy Glenn J Membrane dielectric isolation IC fabrication
US5459346A (en) 1988-06-28 1995-10-17 Ricoh Co., Ltd. Semiconductor substrate with electrical contact in groove
US5006913A (en) 1988-11-05 1991-04-09 Mitsubishi Denki Kabushiki Kaisha Stacked type semiconductor device
US5108843A (en) 1988-11-30 1992-04-28 Ricoh Company, Ltd. Thin film semiconductor and process for producing the same
US4952524A (en) 1989-05-05 1990-08-28 At&T Bell Laboratories Semiconductor device manufacture including trench formation
US5310446A (en) 1990-01-10 1994-05-10 Ricoh Company, Ltd. Method for producing semiconductor film
US5060030A (en) 1990-07-18 1991-10-22 Raytheon Company Pseudomorphic HEMT having strained compensation layer
US5081513A (en) 1991-02-28 1992-01-14 Xerox Corporation Electronic device with recovery layer proximate to active layer
US5371399A (en) 1991-06-14 1994-12-06 International Business Machines Corporation Compound semiconductor having metallic inclusions and devices fabricated therefrom
US5134085A (en) 1991-11-21 1992-07-28 Micron Technology, Inc. Reduced-mask, split-polysilicon CMOS process, incorporating stacked-capacitor cells, for fabricating multi-megabit dynamic random access memories
US5391510A (en) 1992-02-28 1995-02-21 International Business Machines Corporation Formation of self-aligned metal gate FETs using a benignant removable gate material during high temperature steps
US6008126A (en) 1992-04-08 1999-12-28 Elm Technology Corporation Membrane dielectric isolation IC fabrication
US5561302A (en) 1994-09-26 1996-10-01 Motorola, Inc. Enhanced mobility MOSFET device and method
US5670798A (en) 1995-03-29 1997-09-23 North Carolina State University Integrated heterostructures of Group III-V nitride semiconductor materials including epitaxial ohmic contact non-nitride buffer layer and methods of fabricating same
US5679965A (en) 1995-03-29 1997-10-21 North Carolina State University Integrated heterostructures of Group III-V nitride semiconductor materials including epitaxial ohmic contact, non-nitride buffer layer and methods of fabricating same
US5557122A (en) 1995-05-12 1996-09-17 Alliance Semiconductors Corporation Semiconductor electrode having improved grain structure and oxide growth properties
US5792703A (en) * 1996-03-20 1998-08-11 International Business Machines Corporation Self-aligned contact wiring process for SI devices
US6403975B1 (en) 1996-04-09 2002-06-11 Max-Planck Gesellschaft Zur Forderung Der Wissenschafteneev Semiconductor components, in particular photodetectors, light emitting diodes, optical modulators and waveguides with multilayer structures grown on silicon substrates
US5880040A (en) 1996-04-15 1999-03-09 Macronix International Co., Ltd. Gate dielectric based on oxynitride grown in N2 O and annealed in NO
US5861651A (en) 1997-02-28 1999-01-19 Lucent Technologies Inc. Field effect devices and capacitors with improved thin film dielectrics and method for making same
US5940736A (en) 1997-03-11 1999-08-17 Lucent Technologies Inc. Method for forming a high quality ultrathin gate oxide layer
US6309975B1 (en) 1997-03-14 2001-10-30 Micron Technology, Inc. Methods of making implanted structures
US6025280A (en) 1997-04-28 2000-02-15 Lucent Technologies Inc. Use of SiD4 for deposition of ultra thin and controllable oxides
US5960297A (en) 1997-07-02 1999-09-28 Kabushiki Kaisha Toshiba Shallow trench isolation structure and method of forming the same
JP3139426B2 (ja) 1997-10-15 2001-02-26 日本電気株式会社 半導体装置
US6066545A (en) 1997-12-09 2000-05-23 Texas Instruments Incorporated Birdsbeak encroachment using combination of wet and dry etch for isolation nitride
US6274421B1 (en) 1998-01-09 2001-08-14 Sharp Laboratories Of America, Inc. Method of making metal gate sub-micron MOS transistor
KR100275908B1 (ko) 1998-03-02 2000-12-15 윤종용 집적 회로에 트렌치 아이솔레이션을 형성하는방법
US6361885B1 (en) 1998-04-10 2002-03-26 Organic Display Technology Organic electroluminescent materials and device made from such materials
US6165383A (en) 1998-04-10 2000-12-26 Organic Display Technology Useful precursors for organic electroluminescent materials and devices made from such materials
US5989978A (en) 1998-07-16 1999-11-23 Chartered Semiconductor Manufacturing, Ltd. Shallow trench isolation of MOSFETS with reduced corner parasitic currents
JP4592837B2 (ja) 1998-07-31 2010-12-08 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US6319794B1 (en) 1998-10-14 2001-11-20 International Business Machines Corporation Structure and method for producing low leakage isolation devices
US6235598B1 (en) 1998-11-13 2001-05-22 Intel Corporation Method of using thick first spacers to improve salicide resistance on polysilicon gates
US6117722A (en) 1999-02-18 2000-09-12 Taiwan Semiconductor Manufacturing Company SRAM layout for relaxing mechanical stress in shallow trench isolation technology and method of manufacture thereof
US6255169B1 (en) 1999-02-22 2001-07-03 Advanced Micro Devices, Inc. Process for fabricating a high-endurance non-volatile memory device
JP4521542B2 (ja) * 1999-03-30 2010-08-11 ルネサスエレクトロニクス株式会社 半導体装置および半導体基板
US6284626B1 (en) 1999-04-06 2001-09-04 Vantis Corporation Angled nitrogen ion implantation for minimizing mechanical stress on side walls of an isolation trench
US6656822B2 (en) 1999-06-28 2003-12-02 Intel Corporation Method for reduced capacitance interconnect system using gaseous implants into the ILD
US6362082B1 (en) 1999-06-28 2002-03-26 Intel Corporation Methodology for control of short channel effects in MOS transistors
US6281532B1 (en) 1999-06-28 2001-08-28 Intel Corporation Technique to obtain increased channel mobilities in NMOS transistors by gate electrode engineering
US6228694B1 (en) 1999-06-28 2001-05-08 Intel Corporation Method of increasing the mobility of MOS transistors by use of localized stress regions
KR100332108B1 (ko) 1999-06-29 2002-04-10 박종섭 반도체 소자의 트랜지스터 및 그 제조 방법
TW426940B (en) 1999-07-30 2001-03-21 United Microelectronics Corp Manufacturing method of MOS field effect transistor
US6284623B1 (en) 1999-10-25 2001-09-04 Peng-Fei Zhang Method of fabricating semiconductor devices using shallow trench isolation with reduced narrow channel effect
US6476462B2 (en) 1999-12-28 2002-11-05 Texas Instruments Incorporated MOS-type semiconductor device and method for making same
US6221735B1 (en) 2000-02-15 2001-04-24 Philips Semiconductors, Inc. Method for eliminating stress induced dislocations in CMOS devices
US6531369B1 (en) 2000-03-01 2003-03-11 Applied Micro Circuits Corporation Heterojunction bipolar transistor (HBT) fabrication using a selectively deposited silicon germanium (SiGe)
US6368931B1 (en) 2000-03-27 2002-04-09 Intel Corporation Thin tensile layers in shallow trench isolation and method of making same
JP2002076334A (ja) * 2000-08-30 2002-03-15 Hitachi Ltd 半導体装置及びその製造方法
US6493497B1 (en) 2000-09-26 2002-12-10 Motorola, Inc. Electro-optic structure and process for fabricating same
US6501121B1 (en) 2000-11-15 2002-12-31 Motorola, Inc. Semiconductor structure
US6544854B1 (en) 2000-11-28 2003-04-08 Lsi Logic Corporation Silicon germanium CMOS channel
US6563152B2 (en) 2000-12-29 2003-05-13 Intel Corporation Technique to obtain high mobility channels in MOS transistors by forming a strain layer on an underside of a channel
US20020086497A1 (en) 2000-12-30 2002-07-04 Kwok Siang Ping Beaker shape trench with nitride pull-back for STI
US6265317B1 (en) 2001-01-09 2001-07-24 Taiwan Semiconductor Manufacturing Company Top corner rounding for shallow trench isolation
JP3678661B2 (ja) * 2001-03-08 2005-08-03 シャープ株式会社 半導体装置
US6603156B2 (en) 2001-03-31 2003-08-05 International Business Machines Corporation Strained silicon on insulator structures
US6403486B1 (en) 2001-04-30 2002-06-11 Taiwan Semiconductor Manufacturing Company Method for forming a shallow trench isolation
US6358806B1 (en) 2001-06-29 2002-03-19 Lsi Logic Corporation Silicon carbide CMOS channel
US6531740B2 (en) 2001-07-17 2003-03-11 Motorola, Inc. Integrated impedance matching and stability network
US6498358B1 (en) 2001-07-20 2002-12-24 Motorola, Inc. Structure and method for fabricating an electro-optic system having an electrochromic diffraction grating
US6908810B2 (en) 2001-08-08 2005-06-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of preventing threshold voltage of MOS transistor from being decreased by shallow trench isolation formation
JP2003060076A (ja) * 2001-08-21 2003-02-28 Nec Corp 半導体装置及びその製造方法
US20030057184A1 (en) 2001-09-22 2003-03-27 Shiuh-Sheng Yu Method for pull back SiN to increase rounding effect in a shallow trench isolation process
US6656798B2 (en) 2001-09-28 2003-12-02 Infineon Technologies, Ag Gate processing method with reduced gate oxide corner and edge thinning
US6621131B2 (en) * 2001-11-01 2003-09-16 Intel Corporation Semiconductor transistor having a stressed channel
JP2003179157A (ja) * 2001-12-10 2003-06-27 Nec Corp Mos型半導体装置
US6583000B1 (en) 2002-02-07 2003-06-24 Sharp Laboratories Of America, Inc. Process integration of Si1-xGex CMOS with Si1-xGex relaxation after STI formation
US6492216B1 (en) * 2002-02-07 2002-12-10 Taiwan Semiconductor Manufacturing Company Method of forming a transistor with a strained channel
US6605498B1 (en) 2002-03-29 2003-08-12 Intel Corporation Semiconductor transistor having a backfilled channel material
GB0209739D0 (en) 2002-04-29 2002-06-05 Univ Newcastle Semiconductor device having strained silicon and silicon-germanium alloy layers
US6946371B2 (en) 2002-06-10 2005-09-20 Amberwave Systems Corporation Methods of fabricating semiconductor structures having epitaxially grown source and drain elements
US6787421B2 (en) * 2002-08-15 2004-09-07 Freescale Semiconductor, Inc. Method for forming a dual gate oxide device using a metal oxide and resulting device
JP2004079887A (ja) * 2002-08-21 2004-03-11 Renesas Technology Corp 半導体装置
US6867428B1 (en) * 2002-10-29 2005-03-15 Advanced Micro Devices, Inc. Strained silicon NMOS having silicon source/drain extensions and method for its fabrication
JP2004172389A (ja) * 2002-11-20 2004-06-17 Renesas Technology Corp 半導体装置およびその製造方法
KR100487922B1 (ko) 2002-12-06 2005-05-06 주식회사 하이닉스반도체 반도체소자의 트랜지스터 및 그 형성방법
US7001837B2 (en) * 2003-01-17 2006-02-21 Advanced Micro Devices, Inc. Semiconductor with tensile strained substrate and method of making the same
US6911379B2 (en) * 2003-03-05 2005-06-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming strained silicon on insulator substrate
JP4585510B2 (ja) * 2003-03-07 2010-11-24 台湾積體電路製造股▲ふん▼有限公司 シャロートレンチアイソレーションプロセス
US7078742B2 (en) * 2003-07-25 2006-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Strained-channel semiconductor structure and method of fabricating the same
US7005333B2 (en) * 2003-12-30 2006-02-28 Infineon Technologies Ag Transistor with silicon and carbon layer in the channel region
US7053400B2 (en) 2004-05-05 2006-05-30 Advanced Micro Devices, Inc. Semiconductor device based on Si-Ge with high stress liner for enhanced channel carrier mobility

Similar Documents

Publication Publication Date Title
JP2008504677A5 (ja)
US7494902B2 (en) Method of fabricating a strained multi-gate transistor
US7101742B2 (en) Strained channel complementary field-effect transistors and methods of manufacture
US9450098B2 (en) FinFET having superlattice stressor
US7608489B2 (en) High performance stress-enhance MOSFET and method of manufacture
TWI463526B (zh) 改良具應力矽之cmos元件的方法及以該方法製備而成的元件
JP4678877B2 (ja) Si:C−OIおよびSGOI上のシリコン・デバイスならびに製造方法
US7405436B2 (en) Stressed field effect transistors on hybrid orientation substrate
US6882025B2 (en) Strained-channel transistor and methods of manufacture
US7560328B2 (en) Strained Si on multiple materials for bulk or SOI substrates
US7525161B2 (en) Strained MOS devices using source/drain epitaxy
US9711413B2 (en) High performance CMOS device design
JP2008504677A (ja) 改良した歪みシリコンcmosデバイスおよび方法
CN102832236A (zh) 应变沟道的场效应晶体管
TW200807572A (en) Silicon/silcion germaninum/silicon body device with embedded carbon dopant
JP5043862B2 (ja) 半導体構造およびその製造方法(相補型金属酸化膜半導体)
EP3123514B1 (en) Strain compensation in transistors
US7268362B2 (en) High performance transistors with SiGe strain
US11088263B2 (en) Method of forming vertical field effect transistor device
KR100956575B1 (ko) 개선된 스트레인드 실리콘 cmos 장치 및 그 제조 방법
Mazure et al. Strain-enhanced CMOS through novel process-substrate stress hybridization of super-critically thick strained silicon directly on insulator (SC-SSOI)