JP2007103950A - 金属配線構造の形成方法 - Google Patents
金属配線構造の形成方法 Download PDFInfo
- Publication number
- JP2007103950A JP2007103950A JP2006273586A JP2006273586A JP2007103950A JP 2007103950 A JP2007103950 A JP 2007103950A JP 2006273586 A JP2006273586 A JP 2006273586A JP 2006273586 A JP2006273586 A JP 2006273586A JP 2007103950 A JP2007103950 A JP 2007103950A
- Authority
- JP
- Japan
- Prior art keywords
- film
- layer
- metal
- exposed surface
- forming
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Pending
Links
- 238000000034 method Methods 0.000 title claims abstract description 228
- 229910052751 metal Inorganic materials 0.000 title claims abstract description 184
- 239000002184 metal Substances 0.000 title claims abstract description 184
- 230000004888 barrier function Effects 0.000 claims abstract description 104
- 238000010926 purge Methods 0.000 claims abstract description 56
- 238000006243 chemical reaction Methods 0.000 claims abstract description 47
- 229910001507 metal halide Inorganic materials 0.000 claims abstract description 40
- 229910052757 nitrogen Inorganic materials 0.000 claims abstract description 35
- 239000012298 atmosphere Substances 0.000 claims abstract description 30
- -1 metal halide compound Chemical class 0.000 claims abstract description 25
- 229910052739 hydrogen Inorganic materials 0.000 claims abstract description 15
- 239000010408 film Substances 0.000 claims description 468
- 239000007789 gas Substances 0.000 claims description 162
- 239000010949 copper Substances 0.000 claims description 93
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 claims description 82
- 239000011148 porous material Substances 0.000 claims description 82
- 229910052802 copper Inorganic materials 0.000 claims description 79
- 230000008569 process Effects 0.000 claims description 68
- 238000000231 atomic layer deposition Methods 0.000 claims description 60
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 claims description 49
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 claims description 43
- 238000012545 processing Methods 0.000 claims description 39
- 239000003990 capacitor Substances 0.000 claims description 28
- 150000005309 metal halides Chemical class 0.000 claims description 26
- 239000000758 substrate Substances 0.000 claims description 24
- 239000003638 chemical reducing agent Substances 0.000 claims description 22
- 239000000377 silicon dioxide Substances 0.000 claims description 21
- 235000012239 silicon dioxide Nutrition 0.000 claims description 21
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical group [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 claims description 20
- 229910010271 silicon carbide Inorganic materials 0.000 claims description 20
- 238000007789 sealing Methods 0.000 claims description 18
- 238000004519 manufacturing process Methods 0.000 claims description 14
- 239000000463 material Substances 0.000 claims description 12
- 229910052715 tantalum Inorganic materials 0.000 claims description 12
- 229910052721 tungsten Inorganic materials 0.000 claims description 12
- 239000010409 thin film Substances 0.000 claims description 11
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 claims description 10
- 229910052799 carbon Inorganic materials 0.000 claims description 10
- 229910052581 Si3N4 Inorganic materials 0.000 claims description 8
- 229910052719 titanium Inorganic materials 0.000 claims description 8
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 claims description 7
- PZPGRFITIJYNEJ-UHFFFAOYSA-N disilane Chemical compound [SiH3][SiH3] PZPGRFITIJYNEJ-UHFFFAOYSA-N 0.000 claims description 7
- 229910052736 halogen Inorganic materials 0.000 claims description 7
- 150000002367 halogens Chemical class 0.000 claims description 7
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 claims description 7
- 239000000126 substance Substances 0.000 claims description 6
- 238000005498 polishing Methods 0.000 claims description 5
- 150000001343 alkyl silanes Chemical class 0.000 claims description 4
- 229910052814 silicon oxide Inorganic materials 0.000 claims description 4
- 229910003481 amorphous carbon Inorganic materials 0.000 claims description 3
- 229910021486 amorphous silicon dioxide Inorganic materials 0.000 claims description 3
- 239000011810 insulating material Substances 0.000 claims description 2
- 229910052710 silicon Inorganic materials 0.000 claims description 2
- 239000010703 silicon Substances 0.000 claims description 2
- 239000003795 chemical substances by application Substances 0.000 claims 1
- 150000001875 compounds Chemical class 0.000 abstract description 3
- 239000010410 layer Substances 0.000 description 201
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 description 89
- 229910000069 nitrogen hydride Inorganic materials 0.000 description 43
- 239000004065 semiconductor Substances 0.000 description 37
- 230000009977 dual effect Effects 0.000 description 33
- 238000007781 pre-processing Methods 0.000 description 29
- 125000003277 amino group Chemical group 0.000 description 28
- 230000015572 biosynthetic process Effects 0.000 description 28
- 150000003254 radicals Chemical class 0.000 description 24
- LALRXNPLTWZJIJ-UHFFFAOYSA-N triethylborane Chemical compound CCB(CC)CC LALRXNPLTWZJIJ-UHFFFAOYSA-N 0.000 description 23
- 239000011229 interlayer Substances 0.000 description 22
- 229910052681 coesite Inorganic materials 0.000 description 18
- 229910052906 cristobalite Inorganic materials 0.000 description 18
- 229910052682 stishovite Inorganic materials 0.000 description 18
- 229910052905 tridymite Inorganic materials 0.000 description 18
- 238000011282 treatment Methods 0.000 description 17
- NXHILIPIEUBEPD-UHFFFAOYSA-H tungsten hexafluoride Chemical compound F[W](F)(F)(F)(F)F NXHILIPIEUBEPD-UHFFFAOYSA-H 0.000 description 17
- 238000005229 chemical vapour deposition Methods 0.000 description 15
- 238000005268 plasma chemical vapour deposition Methods 0.000 description 13
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 12
- 238000005530 etching Methods 0.000 description 12
- QPLDLSVMHZLSFG-UHFFFAOYSA-N Copper oxide Chemical compound [Cu]=O QPLDLSVMHZLSFG-UHFFFAOYSA-N 0.000 description 11
- 239000005751 Copper oxide Substances 0.000 description 11
- 239000004020 conductor Substances 0.000 description 11
- 229910000431 copper oxide Inorganic materials 0.000 description 11
- 238000005121 nitriding Methods 0.000 description 11
- OAKJQQAXSVQMHS-UHFFFAOYSA-N Hydrazine Chemical compound NN OAKJQQAXSVQMHS-UHFFFAOYSA-N 0.000 description 10
- 238000005240 physical vapour deposition Methods 0.000 description 10
- 229910052786 argon Inorganic materials 0.000 description 9
- 125000004429 atom Chemical group 0.000 description 9
- 238000009792 diffusion process Methods 0.000 description 9
- 230000003746 surface roughness Effects 0.000 description 9
- 230000009467 reduction Effects 0.000 description 8
- 239000010936 titanium Substances 0.000 description 8
- 230000000694 effects Effects 0.000 description 7
- 238000009713 electroplating Methods 0.000 description 7
- 125000004435 hydrogen atom Chemical group [H]* 0.000 description 7
- 230000007246 mechanism Effects 0.000 description 7
- 125000004433 nitrogen atom Chemical group N* 0.000 description 7
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 6
- 239000005441 aurora Substances 0.000 description 6
- 150000004767 nitrides Chemical class 0.000 description 6
- 238000002203 pretreatment Methods 0.000 description 6
- 230000000903 blocking effect Effects 0.000 description 5
- 229910001873 dinitrogen Inorganic materials 0.000 description 5
- 239000000203 mixture Substances 0.000 description 5
- 238000009832 plasma treatment Methods 0.000 description 5
- 238000007747 plating Methods 0.000 description 5
- 238000000926 separation method Methods 0.000 description 5
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 description 4
- 230000003213 activating effect Effects 0.000 description 4
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 4
- 238000000151 deposition Methods 0.000 description 4
- 230000008021 deposition Effects 0.000 description 4
- 229910052734 helium Inorganic materials 0.000 description 4
- 239000011261 inert gas Substances 0.000 description 4
- 230000003647 oxidation Effects 0.000 description 4
- 238000007254 oxidation reaction Methods 0.000 description 4
- 229910052760 oxygen Inorganic materials 0.000 description 4
- 239000001301 oxygen Substances 0.000 description 4
- 229910052707 ruthenium Inorganic materials 0.000 description 4
- 238000001179 sorption measurement Methods 0.000 description 4
- CZDYPVPMEAXLPK-UHFFFAOYSA-N tetramethylsilane Chemical compound C[Si](C)(C)C CZDYPVPMEAXLPK-UHFFFAOYSA-N 0.000 description 4
- OXJUCLBTTSNHOF-UHFFFAOYSA-N 5-ethylcyclopenta-1,3-diene;ruthenium(2+) Chemical compound [Ru+2].CC[C-]1C=CC=C1.CC[C-]1C=CC=C1 OXJUCLBTTSNHOF-UHFFFAOYSA-N 0.000 description 3
- 229910007264 Si2H6 Inorganic materials 0.000 description 3
- 125000000217 alkyl group Chemical group 0.000 description 3
- 230000015556 catabolic process Effects 0.000 description 3
- CJNBYAVZURUTKZ-UHFFFAOYSA-N hafnium(IV) oxide Inorganic materials O=[Hf]=O CJNBYAVZURUTKZ-UHFFFAOYSA-N 0.000 description 3
- 238000010438 heat treatment Methods 0.000 description 3
- 125000002496 methyl group Chemical group [H]C([H])([H])* 0.000 description 3
- 229910003465 moissanite Inorganic materials 0.000 description 3
- JMANVNJQNLATNU-UHFFFAOYSA-N oxalonitrile Chemical compound N#CC#N JMANVNJQNLATNU-UHFFFAOYSA-N 0.000 description 3
- 230000001590 oxidative effect Effects 0.000 description 3
- 239000002243 precursor Substances 0.000 description 3
- 239000012495 reaction gas Substances 0.000 description 3
- 238000000992 sputter etching Methods 0.000 description 3
- 239000002344 surface layer Substances 0.000 description 3
- 229910003074 TiCl4 Inorganic materials 0.000 description 2
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 description 2
- MEOSMFUUJVIIKB-UHFFFAOYSA-N [W].[C] Chemical compound [W].[C] MEOSMFUUJVIIKB-UHFFFAOYSA-N 0.000 description 2
- 239000012790 adhesive layer Substances 0.000 description 2
- 230000005540 biological transmission Effects 0.000 description 2
- 230000007423 decrease Effects 0.000 description 2
- 230000007547 defect Effects 0.000 description 2
- 238000010586 diagram Methods 0.000 description 2
- VBCSQFQVDXIOJL-UHFFFAOYSA-N diethylazanide;hafnium(4+) Chemical compound [Hf+4].CC[N-]CC.CC[N-]CC.CC[N-]CC.CC[N-]CC VBCSQFQVDXIOJL-UHFFFAOYSA-N 0.000 description 2
- 238000001312 dry etching Methods 0.000 description 2
- 238000011156 evaluation Methods 0.000 description 2
- 239000001257 hydrogen Substances 0.000 description 2
- 150000002500 ions Chemical class 0.000 description 2
- 230000007774 longterm Effects 0.000 description 2
- VNWKTOKETHGBQD-UHFFFAOYSA-N methane Chemical compound C VNWKTOKETHGBQD-UHFFFAOYSA-N 0.000 description 2
- 238000011946 reduction process Methods 0.000 description 2
- WOCIAKWEIIZHES-UHFFFAOYSA-N ruthenium(iv) oxide Chemical compound O=[Ru]=O WOCIAKWEIIZHES-UHFFFAOYSA-N 0.000 description 2
- 238000006467 substitution reaction Methods 0.000 description 2
- 238000012360 testing method Methods 0.000 description 2
- XJDNKRIXUMDJCW-UHFFFAOYSA-J titanium tetrachloride Chemical compound Cl[Ti](Cl)(Cl)Cl XJDNKRIXUMDJCW-UHFFFAOYSA-J 0.000 description 2
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 2
- 239000010937 tungsten Substances 0.000 description 2
- ITWBWJFEJCHKSN-UHFFFAOYSA-N 1,4,7-triazonane Chemical compound C1CNCCNCCN1 ITWBWJFEJCHKSN-UHFFFAOYSA-N 0.000 description 1
- VZSRBBMJRBPUNF-UHFFFAOYSA-N 2-(2,3-dihydro-1H-inden-2-ylamino)-N-[3-oxo-3-(2,4,6,7-tetrahydrotriazolo[4,5-c]pyridin-5-yl)propyl]pyrimidine-5-carboxamide Chemical compound C1C(CC2=CC=CC=C12)NC1=NC=C(C=N1)C(=O)NCCC(N1CC2=C(CC1)NN=N2)=O VZSRBBMJRBPUNF-UHFFFAOYSA-N 0.000 description 1
- QGZKDVFQNNGYKY-UHFFFAOYSA-O Ammonium Chemical compound [NH4+] QGZKDVFQNNGYKY-UHFFFAOYSA-O 0.000 description 1
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 1
- RQGMMZVOLASNRA-UHFFFAOYSA-N C(CC)C1(C=CC=C1)[Ru]C1(C=CC=C1)CCC Chemical compound C(CC)C1(C=CC=C1)[Ru]C1(C=CC=C1)CCC RQGMMZVOLASNRA-UHFFFAOYSA-N 0.000 description 1
- YYKBKTFUORICGA-UHFFFAOYSA-N CCN(CC)[Ta](=NC(C)(C)C)(N(CC)CC)N(CC)CC Chemical compound CCN(CC)[Ta](=NC(C)(C)C)(N(CC)CC)N(CC)CC YYKBKTFUORICGA-UHFFFAOYSA-N 0.000 description 1
- ZLOKVAIRQVQRGC-UHFFFAOYSA-N CN(C)[Ti] Chemical compound CN(C)[Ti] ZLOKVAIRQVQRGC-UHFFFAOYSA-N 0.000 description 1
- MKYBYDHXWVHEJW-UHFFFAOYSA-N N-[1-oxo-1-(2,4,6,7-tetrahydrotriazolo[4,5-c]pyridin-5-yl)propan-2-yl]-2-[[3-(trifluoromethoxy)phenyl]methylamino]pyrimidine-5-carboxamide Chemical compound O=C(C(C)NC(=O)C=1C=NC(=NC=1)NCC1=CC(=CC=C1)OC(F)(F)F)N1CC2=C(CC1)NN=N2 MKYBYDHXWVHEJW-UHFFFAOYSA-N 0.000 description 1
- NIPNSKYNPDTRPC-UHFFFAOYSA-N N-[2-oxo-2-(2,4,6,7-tetrahydrotriazolo[4,5-c]pyridin-5-yl)ethyl]-2-[[3-(trifluoromethoxy)phenyl]methylamino]pyrimidine-5-carboxamide Chemical compound O=C(CNC(=O)C=1C=NC(=NC=1)NCC1=CC(=CC=C1)OC(F)(F)F)N1CC2=C(CC1)NN=N2 NIPNSKYNPDTRPC-UHFFFAOYSA-N 0.000 description 1
- AFCARXCZXQIEQB-UHFFFAOYSA-N N-[3-oxo-3-(2,4,6,7-tetrahydrotriazolo[4,5-c]pyridin-5-yl)propyl]-2-[[3-(trifluoromethoxy)phenyl]methylamino]pyrimidine-5-carboxamide Chemical compound O=C(CCNC(=O)C=1C=NC(=NC=1)NCC1=CC(=CC=C1)OC(F)(F)F)N1CC2=C(CC1)NN=N2 AFCARXCZXQIEQB-UHFFFAOYSA-N 0.000 description 1
- CBENFWSGALASAD-UHFFFAOYSA-N Ozone Chemical compound [O-][O+]=O CBENFWSGALASAD-UHFFFAOYSA-N 0.000 description 1
- KJTLSVCANCCWHF-UHFFFAOYSA-N Ruthenium Chemical compound [Ru] KJTLSVCANCCWHF-UHFFFAOYSA-N 0.000 description 1
- 229910004298 SiO 2 Inorganic materials 0.000 description 1
- 229910004546 TaF5 Inorganic materials 0.000 description 1
- 229910004516 TaF6 Inorganic materials 0.000 description 1
- 229910009035 WF6 Inorganic materials 0.000 description 1
- 230000002411 adverse Effects 0.000 description 1
- PNEYBMLMFCGWSK-UHFFFAOYSA-N aluminium oxide Inorganic materials [O-2].[O-2].[O-2].[Al+3].[Al+3] PNEYBMLMFCGWSK-UHFFFAOYSA-N 0.000 description 1
- 229910021529 ammonia Inorganic materials 0.000 description 1
- QRHCILLLMDEFSD-UHFFFAOYSA-N bis(ethenyl)-dimethylsilane Chemical compound C=C[Si](C)(C)C=C QRHCILLLMDEFSD-UHFFFAOYSA-N 0.000 description 1
- 229910052796 boron Inorganic materials 0.000 description 1
- 230000008859 change Effects 0.000 description 1
- 238000004140 cleaning Methods 0.000 description 1
- 238000010924 continuous production Methods 0.000 description 1
- 238000007796 conventional method Methods 0.000 description 1
- 229910052593 corundum Inorganic materials 0.000 description 1
- 230000008878 coupling Effects 0.000 description 1
- 238000010168 coupling process Methods 0.000 description 1
- 238000005859 coupling reaction Methods 0.000 description 1
- 239000013078 crystal Substances 0.000 description 1
- YHGGQZOFJGJAMR-UHFFFAOYSA-N cyclopenta-1,3-diene ruthenium Chemical compound C1=CC=CC1.C1=CC=CC1.[Ru] YHGGQZOFJGJAMR-UHFFFAOYSA-N 0.000 description 1
- 230000003247 decreasing effect Effects 0.000 description 1
- 230000005684 electric field Effects 0.000 description 1
- 239000007772 electrode material Substances 0.000 description 1
- 238000007772 electroless plating Methods 0.000 description 1
- 230000005281 excited state Effects 0.000 description 1
- 238000007429 general method Methods 0.000 description 1
- 150000002366 halogen compounds Chemical class 0.000 description 1
- 239000001307 helium Substances 0.000 description 1
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 1
- 238000005259 measurement Methods 0.000 description 1
- 239000012528 membrane Substances 0.000 description 1
- 229910044991 metal oxide Inorganic materials 0.000 description 1
- 150000004706 metal oxides Chemical class 0.000 description 1
- 229910021404 metallic carbon Inorganic materials 0.000 description 1
- 150000002739 metals Chemical class 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 230000007935 neutral effect Effects 0.000 description 1
- 230000000149 penetrating effect Effects 0.000 description 1
- 230000035515 penetration Effects 0.000 description 1
- 230000008054 signal transmission Effects 0.000 description 1
- 150000003377 silicon compounds Chemical class 0.000 description 1
- LIVNPJMFVYWSIS-UHFFFAOYSA-N silicon monoxide Chemical compound [Si-]#[O+] LIVNPJMFVYWSIS-UHFFFAOYSA-N 0.000 description 1
- 238000009751 slip forming Methods 0.000 description 1
- 239000002002 slurry Substances 0.000 description 1
- 238000004544 sputter deposition Methods 0.000 description 1
- 238000004381 surface treatment Methods 0.000 description 1
- YRGLXIVYESZPLQ-UHFFFAOYSA-I tantalum pentafluoride Chemical compound F[Ta](F)(F)(F)F YRGLXIVYESZPLQ-UHFFFAOYSA-I 0.000 description 1
- PBCFLUZVCVVTBY-UHFFFAOYSA-N tantalum pentoxide Inorganic materials O=[Ta](=O)O[Ta](=O)=O PBCFLUZVCVVTBY-UHFFFAOYSA-N 0.000 description 1
- 229910002058 ternary alloy Inorganic materials 0.000 description 1
- 238000012546 transfer Methods 0.000 description 1
- PQDJYEQOELDLCP-UHFFFAOYSA-N trimethylsilane Chemical compound C[SiH](C)C PQDJYEQOELDLCP-UHFFFAOYSA-N 0.000 description 1
- 229910001845 yogo sapphire Inorganic materials 0.000 description 1
Classifications
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/02—Pretreatment of the material to be coated
- C23C16/0227—Pretreatment of the material to be coated by cleaning or etching
- C23C16/0245—Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/06—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
- C23C16/18—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
- C23C16/36—Carbonitrides
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45527—Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
- C23C16/45529—Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making a layer stack of alternating different compositions or gradient compositions
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45527—Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
- C23C16/45536—Use of plasma, radiation or electromagnetic fields
- C23C16/45542—Plasma being used non-continuously during the ALD reactions
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02041—Cleaning
- H01L21/02057—Cleaning during device manufacture
- H01L21/0206—Cleaning during device manufacture during, before or after processing of insulating layers
- H01L21/02063—Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/283—Deposition of conductive or insulating materials for electrodes conducting electric current
- H01L21/285—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
- H01L21/28506—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
- H01L21/28512—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
- H01L21/28556—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
- H01L21/28562—Selective deposition
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76814—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76822—Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
- H01L21/76826—Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76829—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
- H01L21/76831—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76843—Barrier, adhesion or liner layers formed in openings in a dielectric
- H01L21/76846—Layer combinations
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/532—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
- H01L23/53204—Conductive materials
- H01L23/53209—Conductive materials based on metals, e.g. alloys, metal silicides
- H01L23/53228—Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
- H01L23/53238—Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/532—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
- H01L23/5329—Insulating materials
- H01L23/53295—Stacked insulating layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L28/00—Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
- H01L28/40—Capacitors
- H01L28/60—Electrodes
- H01L28/82—Electrodes with an enlarged surface, e.g. formed by texturisation
- H01L28/90—Electrodes with an enlarged surface, e.g. formed by texturisation having vertical extensions
Landscapes
- Engineering & Computer Science (AREA)
- Chemical & Material Sciences (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Manufacturing & Machinery (AREA)
- General Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Plasma & Fusion (AREA)
- Inorganic Chemistry (AREA)
- Electromagnetism (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
- Semiconductor Memories (AREA)
- Chemical Vapour Deposition (AREA)
- Electrodes Of Semiconductors (AREA)
Abstract
【課題】平坦かつ薄いバリア膜またはRu膜をダマシン構造で形成する。
【解決手段】金属配線構造を形成する方法は、(i)露出した配線層及び露出した絶縁層を含む多層構造を反応空間内に与える工程と、(ii)還元雰囲気中で、絶縁層の少なくとも露出面上に-NH2または>NHターミナルを導入する工程と、(iii)反応空間へ還元剤を導入し、その後反応空間をパージする工程と、(iv)反応空間へハロゲン化金属化合物を導入し、その後反応空間をパージする工程と、(v) N及びHを含むガスを導入し、その後反応空間をパージする工程と、(vi)金属含有バリア層を製造するべく工程(iii)から(v)を連続して繰り返す工程と、(vii)金属含有バリア層上に金属膜を形成する工程と、を含む。
【選択図】図1
【解決手段】金属配線構造を形成する方法は、(i)露出した配線層及び露出した絶縁層を含む多層構造を反応空間内に与える工程と、(ii)還元雰囲気中で、絶縁層の少なくとも露出面上に-NH2または>NHターミナルを導入する工程と、(iii)反応空間へ還元剤を導入し、その後反応空間をパージする工程と、(iv)反応空間へハロゲン化金属化合物を導入し、その後反応空間をパージする工程と、(v) N及びHを含むガスを導入し、その後反応空間をパージする工程と、(vi)金属含有バリア層を製造するべく工程(iii)から(v)を連続して繰り返す工程と、(vii)金属含有バリア層上に金属膜を形成する工程と、を含む。
【選択図】図1
Description
本願は、2005年10月7日に出願した米国特許出願第11/245,908号の部分継続出願であり、当該出願はここに参考文献として組み込む。
本発明は微細半導体デバイスを製造する際に好適に使用される金属配線構造を形成する方法に関する。
半導体デバイスの配線層の形成において、信号の伝送遅延を防止するために、配線間の絶縁層として低誘電率の絶縁膜を使用するとともに、配線として抵抗の小さいCu及び他の金属が使用されている。Cu配線構造を形成する際、一般的方法は、ボトム層のCu配線上に層間絶縁膜を形成し、その後、この絶縁層内に下部層及び、上部層において配線パターンとなるトレンチ、並びに上部層と接続するコンタクトビアを形成し、ダマシン構造を製造する。この場合、トップ層で配線パターンとなるCu膜を絶縁膜上に直接形成することは、Cuに絶縁層内への拡散を生じさせ、デバイス特性に影響を及ぼす。したがって、Cu拡散を防止するために、Cu膜と絶縁層との間に金属バリア層が形成される。
この金属バリア膜は、スパッタ法、CVD法及びALDなどさまざまな方法により形成される。バリア膜を形成するのに使用される材料として、TiN、TaN及びWNが含まれる。半導体デバイスがより微細化するに従い、良好なステップカバレッジをもたらすCVD膜がバリア膜として採用され、ALD膜はごく最近採用されてきた。これらの膜を形成するのに使用される材料として、TiCl4、TaF5、WF6及び他のハロゲン化合物が含まれ、一方窒化膜を形成するのにNH3及び他のガスが使用される。PVDに比べCVD及びALDによれば、材料ガスとの化学反応のため層間膜がダメージをより受けやすくなる。多くの場合、CVD及びALDは十分な密着性を与えない。また、CVDまたはALDにより形成されたバリア膜はCu膜と良好な密着性を必ずしも与えない。これらの理由から、密着性を改善するための手段として、CVDまたはALD形成バリア膜とCuとの間にTaまたはRuを挿入することが提案された。
国際公開公報WO03/056612は、Ru膜が層間膜上に直接形成される構造とともに、プラズマALDによりRu膜がバリア層膜上に形成される構造を開示する。ここで、Cu膜はCVDまたは電気めっきによりRu膜上に形成される。
米国特許第6,759,325号は、SiO2膜より低い特定の誘電率を有する層間絶縁膜として与えられる多孔質SiOC膜上に、ALDを使って金属バリアが形成される際、ALD形成バリア膜が気孔を通じてSiOC膜へ拡散しないようにするための方法を開示する。
米国特許第6,759,325号
この方法は、表面層付近の気孔をシールし、その後ALDを使って金属バリアを形成するために、層間絶縁膜の表面全体に導体膜を形成するようPVDまたはCVDを使用する方法を与える。
JAP Vol. 95, No.1, pp.381-388(2004)は、ALD-WNC膜を形成するための方法を開示する。特定的に、ICPプラズマ装置またはTCPプラズマ装置が、酸素及び窒素を含む混合ガスにより、低誘電率面をプラズマ処理するのに使用され、その後WF6、TEB及びNH3ガスがひとつずつ導入される。この処理が繰り返されると、タングステンが低誘電率膜中に拡散することなく平坦なWNC膜が形成される。ここで、表面の酸素及び窒素の存在が均一なWNC膜を形成する際に有効であると主張されている。酸素の含量は99から20%の範囲である。SiOCにより構成される低誘電率の絶縁膜は多孔質膜であり、その気孔サイズは形成方法に応じて変化する。上記プラズマ処理は形成されたWNC膜が絶縁膜中に浸透するのを防止すると報告されている。
電極としてRu膜を使用するキャパシタの形成において、Ru膜の平坦性は非常に重要である。キャパシタの場合、極端に薄い絶縁膜が電極上に形成されなければならない。Ru膜が非常に粗い面であれば、絶縁膜の電気的特性は簡単に劣化する。特開2003-168738は、キャパシタを形成する際、ボトム電極としてRu膜の直下に金属バリアを形成する方法を開示する。
上記した国際公開WO03/056612において、Ru膜がプラズマALDによりバリア層膜上に形成されるところの構造、及びRu膜が層間膜上に直接形成されるところの構造が開示されている。しかし、原子層蒸着により層間絶縁膜上に直接薄いRu膜を形成するのは困難である。一般に誘電率を低く維持するために多孔質絶縁膜が使用されるため、絶縁層上に平坦な薄膜を形成することは特に困難である。一方、Ru膜が層間絶縁膜上に与えられるバリア層の頂上に形成されれば、均一なRu膜を形成するのは比較的簡単である。しかし、この場合、バリア膜自身の平坦性がRu膜に影響を与え、バリア膜は低誘電率の多孔質膜上に均一に形成されない。これらの理由から、均一なRu膜の形成は困難であった。薄いバリア膜を形成する試みは不連続な膜を生じさせ、膜厚の増加によっても表面粗さは改善されないであろう。
本発明は上記問題に鑑みて為されたものである。ひとつの実施例において、本発明の目的は、平坦なバリア層を形成することである。他の実施例において、本発明の目的は薄く、連続で、平坦なバリア層またはRu膜を形成することである。さらに他の実施例において、本発明の目的は、平坦で、薄いバリア膜またはRu膜をダマシン構造において形成することである。さらに他の実施例において、本発明の目的は、平坦なバリア膜の頂上に形成されるRu電極により構成されたキャパシタを製造することである。さらに他の実施例において、本発明の目的はRu膜以外の金属膜に適用することである。
本発明はさまざまな実施例における上記目的のひとつまたはそれ以上を達成するものである。しかし、本発明は上記目的に制限されず、実施例において、本発明はそれらの目的以外の効果を示すものである。
ひとつの態様において、本発明は、金属含有バリア層を含む金属配線構造を形成するべく、該金属含有バリア層を製造するための方法を与え、当該方法は、(i)反応空間内に露出した配線層及び露出した絶縁層を含む多層構造を与える工程と、(ii)還元雰囲気で絶縁層の少なくとも露出面上に、Nを含むターミナル、典型的に-NH2または>NHターミナルのようなN及びHを含むターミナルを導入する工程と、(iii) 導入したターミナルを還元するべく反応空間に還元剤を導入し、その後反応空間をパージする工程と、(iv)導入した還元剤を置換するべく反応空間に金属ハロゲン化合物を導入し、その後反応空間をパージする工程と、(v) N含有ターミナル、典型的にN及びH含有ターミナルを与えるべく、導入された金属ハロゲン化合物により形成された面に、N含有ガス、典型的にN及びH含有ガスを導入し、その後反応空間をパージする工程と、から成る。当該方法は、さらに、(vi)金属含有バリア層を形成するべく工程(iii)から(v)を連続して繰り返す工程と、(vii)金属含有バリア層上に金属膜を形成する工程であって、該金属膜は配線層を構成する金属以外の金属から成るところの工程とを含む。
上記態様は、これに限定されないが、以下の実施例を含む。
当該方法はさらに、工程(ii)の前に、配線層の露出面上に形成された酸化膜を還元するべく反応空間へH2含有還元ガスのプラズマまたはラジカルを導入する工程を含んでもよい。工程(ii)は励起したNH3、励起したNH2、若しくは励起したN2/H2またはN2/H2を含むプラズマまたはラジカルにより、配線層の露出面及び絶縁層の露出面を処理する工程から成る。
工程(ii)は、励起したNH3、励起したNH2または励起したN2/H2を含むプラズマまたはラジカルにより、配線層の露出面及び絶縁層の露出面を処理する工程から成ることもできる。
工程(ii)は、N2の分圧が5%から50%であるようなN2及びH2を含むガスから誘導された励起したN2/H2を含むプラズマまたはラジカルを使用してもよい。上記において、絶縁層はSiOCにより構成されてもよい。
絶縁層は、炭化シリコン、Nドープ炭化シリコン、窒化シリコン、酸化シリコン、Cドープ酸化シリコン、及び有機シリコンから作られた絶縁材料から構成される集合から選択された材料により構成される。
工程(iii)から(v)は原子層蒸着を実行する。
工程(iii)の還元剤は、ジボラン、アルキルボラン、ジシラン、モノシラン、及びアルキルシランから成る集合から選択される。
工程(iv)のハロゲン化金属は、W、Ta及びTiから成る集合から選択された金属を含む。
工程(V)のN及びHを含むガスはNH3である。
金属含有バリア層は、少なくとも金属、炭素及び窒素により構成される。
金属膜はRu膜またはTa膜である。
工程(vii)は、(a)Ruソースガスを導入する工程と、(b)反応空間をパージする工程と、(c)H及びNを含むガスを導入する工程と、(d)反応空間をパージする工程と、(e)工程(a)から(d)を繰り返す工程と、から成る。H及びNを含むガスはNH3またはN2及びH2の混合ガスである。該ガスはプラズマにより励起されてもよい。
工程(iii)から(vi)及び工程(vii)は、それぞれの反応空間内で実行され、真空を壊すことなく連続して実行される。
当該方法はさらに、金属膜上に銅層を形成する工程を含む。上記において、工程(ii)、工程(iii)から(vi)、工程(vii)及び銅層を形成する工程は、それぞれの反応空間内で実行され、真空を壊すことなく連続して実行される。
工程(iii)は還元剤により-NH2または>NHを還元するために実行され、それにより、-NH-Aまたは>N-Aが与えられ、ここでAは還元剤から誘導される。工程(iv)はAをハロゲン化金属化合物と置換するために実行され、それにより、-NH-Mまたは>N-Mが与えられ、ここでMはハロゲン化金属化合物から誘導される。工程(v)はM内のハロゲンを-NH2または>NHと置換するために実行され、それにより、-NH-M’-Trまたは>N-M’-Trが与えられ、ここでM’はMから誘導され、Trは-NH2または>NHである。
配線層は銅から成る。
他の態様において、本発明は、キャパシタを製造するための方法を与え、当該方法は、(I)第1の態様にしたがって、金属配線構造を形成する工程であって、金属膜は下部電極として機能するところの工程と、(II)金属配線構造上に薄い絶縁膜を形成する工程と、(III)薄い絶縁膜上に上部電極を形成する工程と、から成る。
さらに他の態様において、本発明は、金属含有バリア層を含む金属配線構造を形成するための方法を与え、該金属含有バリア層を製造する方法は、(i)露出配線層及び露出絶縁層を含む多層構造を与える工程と、(ii)還元雰囲気中で少なくとも絶縁層の露出面上に-NH2または>NHを導入する工程と、(iii)-NH-Aまたは>N-Aを与えるべく還元剤により-NH2または>NHを還元する工程であって、ここでAは還元剤から誘導されるところの工程と、(iv)-NH-Mまたは>N-Mを与えるべくAをハロゲン化金属化合物と置換する工程であって、ここでMはハロゲン化金属化合物から誘導されるところの工程と、(v) -NH-M’-Trまたは>N-M’-Trを与えるべくM中のハロゲンを-NH2または>NHと置換する工程であって、ここでM’はMから誘導され、Trは-NH2または>NHであるところの工程と、から成る。当該方法は、さらに、(vi)金属含有バリア層を製造するために工程(iii)から(v)を繰り返す工程と、(vii)金属含有バリア層上に金属膜を形成する工程であって、該金属膜は配線層を構成する金属以外の金属から成るところの工程とを含む。
さらに他の態様において、本発明は金属含有バリア層を含む多層構造を形成するための方法を与え、金属含有バリア層を製造するための方法は、(i)反応空間内に絶縁層を与える工程と、(ii)還元雰囲気で絶縁層の少なくとも露出面上に-NH2または>NHターミナルを導入する工程と、(iii)反応空間へ還元剤を導入し、その後反応空間をパージする工程と、(iv)反応空間にハロゲン化金属化合物を導入し、その後反応空間をパージする工程と、(v)N及びHを含むガスを導入し、その後反応空間をパージする工程とから成る。当該方法は、さらに、(vi)金属含有バリア層を製造するべく工程(iii)から(v)を繰り返す工程と、(vii)金属含有バリア層上に金属膜を形成する工程とを含む。
他の態様において、上記方法のいずれかにおいて、絶縁層は多孔質であり気孔を有し、その方法はさらに、工程(ii)の前に、(a)絶縁層の少なくとも露出面上に気孔をブロックするための気孔シール層を形成する工程と、(b)配線層の露出面上に形成された気孔シール層の一部を除去する工程であって、N及びHを含むターミナルが導入されるところの工程(ii)の絶縁層の露出面が気孔シール層の面及び配線層の露出面から成るところの工程とを含む。さらに気孔シール層を使用することにより、厚さが薄くても連続かつ優れたバリア性質を有するバリア層が形成され、平坦面を有する微細構造のRu膜のような金属膜が効果的に形成され、それにより、Cuメッキまたは化学的機械的研磨(CMP)の間でも配線層の分離が生じず平坦なCu配線を形成することが可能になる。
上記すべての態様及び実施例において。ひとつの実施例で使用された構成要件は、置換が困難であるかまたは逆効果でなければ、他の実施例において相互に交換してあるいは付加して使用可能である。
発明及び従来技術に対する利点を要約するために、本発明のある目的及び利点が説明された。もちろん、これらの目的または利点のすべてが本発明の特定の実施例に従って必ずしも達成されないことが理解されよう。よって、本発明はここに教示または示唆されるような他の目的または利点を必ずしも達成することなく、ここに教示されるような利点または利点の集合を達成または最適化する方法で実施または実行され得ることは当業者の知るところである。
本発明の他の態様、特徴及び利点は以下の好適実施例の詳細な説明から明らかと成る。
本発明は好適実施例及び図面を参照して説明される。しかし、好適実施例及び図面は本発明を限定するものではない。また、実施例に適応されるセオリー及びメカニズムが説明される。しかし、そのセオリー及びメカニズムもまた本発明を限定するものではない。
上で説明したように、国際公開WO03/056612は、プラズマALDによりバリア層膜上にRu膜が形成されるところの構造、及び層間膜上に直接Ru膜が形成されるところの構造を開示している。しかし、層間絶縁膜上にRu膜を直接形成すると、平坦な膜を形成するのが困難であるという問題が生じる。Ru膜を層間膜上に直接形成するために、ボトム層配線に結合されたトレンチに露出したボトム層配線の表面の酸化を防止するために、Ruは還元雰囲気中で形成されなければならない。しかし、NH3またはH2が使用される際、膜厚が5nmまたはそれ以下なら絶縁膜上に平坦で連続な膜を形成するのは困難である。ビス(エチルシクロペンタジエニル)ルテニウム(Ru(EtCp)2)及びNH3プラズマが交互に供給されるところのプラズマALD法によりRuが形成される。本発明の発明者による研究の結果、還元雰囲気中でSiO2膜上に形成される8nmのRu膜の表面粗さはRMSで1.8nmまたはそれ以上であった。参考までに、所望のRMS厚さは0.3nmまたはそれ以下の約0.2nmである。高速な微細エレメントを製造するために、層間膜の特定の誘電率は好ましくは3またはそれ以下、より好ましくは2.5またはそれ以下であり、そのためには低密度の多孔質膜を使用する必要がある。これは、絶縁膜上に平坦かつ均一なRu膜を形成するのをより困難にする。
一方、バリア膜上にRuが形成される場合、バリア膜自身の平坦性がRu膜に影響を及ぼす。このため、多くの場合、従来の方法を使って層間絶縁膜上に均一で平坦なバリア層を形成するのが困難であった。SiOC等により構成された多孔質膜は低誘電率及び低密度を有するため、多孔質膜上に均一なバリア膜を形成するのは特に困難である。これらにより、膜厚が5nmまたはそれ以下、または3nmまたはそれ以下の薄いバリア層は連続的に形成されず、バリア膜の頂上にRu膜を形成することにより粗さは改善されないことがわかった。
銅の電気めっき層を直接形成するためのシード層としてRu膜が使用される場合、Ru膜の厚さ及び粗さは銅の形成の容易性及び形態に影響を及ぼす。Ru膜が平坦であれば、銅は電気めっき処理で高速に形成され、低抵抗の銅層が形成される。平坦なRu膜を得るために、低誘電率の多孔質絶縁膜上にバリア層が平坦に真下に形成されるような方法を識別することが重要である。バリア膜及びRu膜が減少した厚さで連続かつ平坦に形成される限り、トップ及びボトム配線を接続するビア全体にわたってバリア層が薄く維持され、銅が占める体積は増加し、それがビア抵抗を減少させる。銅めっき層がRu膜上に直接形成されれば、均一な導体層が達成でき、これにより均一な銅めっきの形成が可能になる。
同じように、平坦なRu電極を層間膜上に形成するのは、Ru電極を有するキャパシタの形成の点で困難である。平坦なバリア膜が形成され、その後Ru膜が頂上に形成されれば、キャパシタの薄い絶縁膜が平坦なRu膜上に形成可能であり、それはキャパシタの長期間の信頼性を劇的に改善する。
還元雰囲気中で層間絶縁膜上に平坦なRu膜を形成することの困難性に鑑みて、本発明は、ひとつの実施例において、平坦なバリア層の形成後に平坦なRu膜を形成する方法を与える。小さいアモルファス結晶グレインにより構成される薄膜は、タングステン、炭素及び窒素により構成される薄い三元合金膜(以下、WNC膜という)を使って形成され、その膜はWF6、NH3及びTEB、B2H6、SiH4、Si2H6または他のボロン及び/またはシリコン化合物ガスを交互に供給することにより形成される。本発明は、配線構造内の層間絶縁膜上にWNC膜を形成し、その後還元ガスを使って原子層蒸着法によってWNC膜の頂上にRu膜を形成するための方法を与える。本願発明者らは、SiO2、TEOS及びSiOC膜上にWNC膜を形成する試験を行い、SiO2膜または低誘電率のSiOC膜上に均一、平坦かつ連続の膜を形成することは前処理なしでは困難であることを発見した。特に、ダマシン構造内で層間絶縁膜の露出面上にRu膜が形成される際、ボトム層の銅配線がビアの底に露出し、それがJAP Vol.95, Number 1 pp.381-388 (2004)に開示されるような酸素を使ったプラズマ処理を実行不能にしている。これにより還元雰囲気中での前処理が必要となる。
ひとつの実施例において、本発明は、ライニング層として平坦、連続かつ極端に薄い金属バリア膜を形成するための方法を与え、ここで、金属バリア膜は、少なくとも金属、窒素及び炭素を含む金属炭素窒化膜であり、デュアルダマシン銅配線構造の銅配線パターンを構成するトレンチ及びコンタクトビアの表面全体にわたって、銅拡散バリア層を形成する原子層蒸着法によって形成される。本発明はまた、上記した金属バリア膜の頂上に平坦なRu膜を形成し、その後デュアルダマシン金属配線構造を作成するべく銅配線パターンを形成するための方法を与える。B2H6及び他のアルキルボロン化合物、SiH4、Si2H6及び他のアルキルシリコン化合物、ハロゲン化金属分子、及びNH結合を含むNH3ガスのような還元ガスを使用する金属窒化膜の形成において、前もって表面にNH結合またはNH2結合を形成することにより、還元剤吸着工程において上記還元剤の吸着が容易になる。吸着した還元剤はハロゲン化金属化合物と容易に反応することができる。したがって、NH3ガス等が新たに導入される際、還元剤は再び吸着する。
上記金属窒化膜の形成前に、NH3ガスを単独で供給すると、層間絶縁膜であるSiO2またはSiOC膜の表面に還元剤の好ましい吸着を達成することができない点に注意すべきである。このため、本発明のひとつの実施例において、処理は、高密度で-NHまたは-NH2結合を生成するために、高周波プラズマにより活性化されたNH3プラズマ、N2/H2プラズマ、またはN2H2プラズマガスを使用する。デュアルダマシン構造において、トップ層配線及びボトム層配線を接続するビアはボトム層の配線が露出するようにして形成される。したがって、酸化雰囲気中で上記処理を実行することは好ましくなく、その代わり還元雰囲気中で実行されるのが好ましい。
図1(a)から(d)は半導体エレメントの配線構造を示す断面略示図であり、本発明のひとつの実施例に従うデュアルダマシン銅配線構造を形成する処理を説明するものである。特定的に、これらの図面はALD法を使って金属バリア膜でデュアルダマシン構造内のトレンチ及びコンタクトビアの表面全体をライニング処理し、その後Ru膜及び銅層を形成する処理を説明している。
図1(a)は、金属バリア層の形成前のデュアルダマシン構造を表す。絶縁拡散バリア15は導体配線層14上に形成され、ボトム絶縁層12が絶縁拡散バリア15の頂上に形成され、エッチングストップ層19がボトム絶縁層12上に形成される。頂上絶縁層10はエッチングストップ層19の頂上に形成される。エッチングストップ層19は所望の配線パターンのトレンチ16を形成するのに使用される。トレンチ16はエッチングマスク層9のレベルでエッチングされ、2つの絶縁層(10,12)の間に形成される。エッチングストップ層19はパターニングされ、頂上絶縁層10の形成前にエッチングされ、トレンチ16の底から伸張するコンタクトビアの所望の水平方向寸法を明確に画定するハードマスクを構成する。ハードマスクを構成するエッチングストップ層19がエッチングされる領域において、トレンチ16の底から下部導体配線層14へ繋がるコンタクトビア20が開いている。符号21は平坦化工程において化学的機械的研磨が終了した層を示す。
図1(b)は本発明のひとつの実施例における前処理を示す。この処理は、コンタクトビア20の底において銅配線表面上に形成された酸化物を除去する工程と、例えば、800WのRF出力で30秒間H2/Heガスを導入し、その後300WのRF出力で60秒間H2/H2/N2混合ガスを導入することにより、ダマシン構造内に露出した層間絶縁膜(12,10)の表面を前処理する工程とから成る。この処理は、ダマシン構造内の層間絶縁膜の表面を-NH及び-NH2結合により終端させる。この終端はNH3ガスを使った単純な加熱処理のみで達成するのは困難である。しかし、NH3が高周波を使って活性化されれば、プラズマ活性化H2/H2/N2混合ガスが使用される場合のようにNH及びNH2結合を使って表面を終端可能である。
SiO2、SiOCまたはSiO等の表面に導入すべきアミノ基に関して、表面の原子に関するNの配位数が1ならば、3配位原子であるNが表面の原子と結合し、-NH2ターミナルが表面に形成される。配位数が2ならば、a>NHターミナルが表面に形成される。言い換えれば、本発明のひとつの実施例において所望される表面ターミナル構造は、-NH2または>NHである。以下で説明するように、TEBガス及び他の還元ガスが、図4に示されるように-NH2結合または>NH結合内のHと置換した形式で吸着されると考えられ、よって-NH2または>NHの表面上での存在が必要である。例えば、Si-NH-SiまたはSiONHOSiの場合において、>NHが生じる。図1(b)において、-NHxの “x”は1または2である。
本発明のひとつの実施例において、アミノ基が、低誘電率膜の表面だけでなく、ビアの底の金属配線層の表面にも導入される。
本発明のひとつの実施例において使用される低誘電率膜(絶縁膜ともいう)は、適用される配線構造がダマシンであるか否かと無関係に、SiO2、SiOC、SiC、SiNまたはFSG膜等である。所望の誘電率は約3またはそれ以下、より好ましくは約2.5またはそれ以下である。特定的に、Aurora ULKTM膜及びAurora ELKTM膜(ASMにより製造された標準膜)のようなSiOC膜が好適に使用される。
次世代デバイスで広く使用される、SiOC低誘電率膜が図1(a)に示される絶縁膜(10,12)として適応されれば、アルキル基であるメチル基の鎖のようなSiOC膜中の側鎖を含む炭素はNH3ガスの高周波プラズマによりエッチングされ、結果的にSiOC膜中のCH3、C2H5及び他のアルキル基が失われる。これはときどきコンタクトビア20の形状を樽状に変形させる。高周波プラズマによる絶縁膜(10,12)へのダメージが疑われる場合、H2/He/N2ガスの高周波プラズマを使用することによりSiOC膜に対する負の効果を減少させることが可能である。ひとつの実施例において、H2/He/N2中の窒素の分圧は5から50%、より好ましくは10から30%である。RF出力周波数に関して、13.56MHz(通常2MHzまたはそれ以上、しかし60MHzを超えない)に調節可能である。Heに加え、Ar及び他の不活性ガスも使用可能である。ひとつの実施例において、処理条件は以下のように設定される。
表面1へのアミノ基導入条件
H2流量(sccm):20から100(好ましくは30から50)
He流量(sccm):500から1000(好ましくは700から900)
N2流量(sccm):50から500(好ましくは100から300)
圧力(Pa):100から1000(好ましくは500から1000)
RF電力(W):30から300(好ましくは50から200)
温度(℃):150から350(好ましくは250から300)
時間(秒):10から60(好ましくは20から40)
表面2へのアミノ基導入条件
NH3流量(sccm):100から1000(好ましくは200から400)
Ar流量(sccm):500から2000(好ましくは800から1000)
圧力(Pa):100から1000(好ましくは300から500)
RF電力(W):30から300(好ましくは50から200)
温度(℃):150から350(好ましくは250から300)
時間(秒):10から60(好ましくは20から40)
H2流量(sccm):20から100(好ましくは30から50)
He流量(sccm):500から1000(好ましくは700から900)
N2流量(sccm):50から500(好ましくは100から300)
圧力(Pa):100から1000(好ましくは500から1000)
RF電力(W):30から300(好ましくは50から200)
温度(℃):150から350(好ましくは250から300)
時間(秒):10から60(好ましくは20から40)
表面2へのアミノ基導入条件
NH3流量(sccm):100から1000(好ましくは200から400)
Ar流量(sccm):500から2000(好ましくは800から1000)
圧力(Pa):100から1000(好ましくは300から500)
RF電力(W):30から300(好ましくは50から200)
温度(℃):150から350(好ましくは250から300)
時間(秒):10から60(好ましくは20から40)
上記説明において、プラズマは、例えば、シャワーヘッドと基板が載置される加熱ステージとの間に印加される13.56kHzの高周波RF波により生成される平行平板型プラズマをいう。言い換えれば、基板はプラズマ生成雰囲気中に存在する。したがって、この処理はイオン活性種のような、プラズマ中で生成される短命の活性種により影響される。一方、基板から離れたところで遠隔プラズマ装置を使ってプラズマが生成される方法が存在し、活性分子中で寿命の長い中性分子が基板まで移送され、表面処理に使用される。これはラジカル処理と呼ばれる。言い換えれば、ラジカルとは電子が安定な通常(接地)状態に比べ電子励起状態における分子をいう。ラジカルはイオンではないが、それは活性化され反応性がある。本発明のひとつの実施例において、プラズマ及びラジカルは相互に交替して使用可能である。当業者は対応するプラズマ生成条件から適当なラジカル生成条件を決定することが可能である。
上記処理において、アミノ基はプラズマを通じて表面に導入される。プラズマを使用せずに加熱によりアミノ基を導入するのは困難である。例えば、アミノ基の導入はNH3の供給によってのみ達成するのは困難である。しかし、表面へのアミノ基の導入は、N2H2ガス(ヒドラジン)等が使用されれば、プラズマ無しでも可能である。ひとつの実施例において、ヒドラジンを使った処理条件が以下のように設定される。全流量に対するヒドラジンの分圧は好適には10と50%の間である。
表面3へのアミノ基導入条件
N2H2流量(sccm):10から300(好ましくは30から100)
Ar流量(sccm):500から2000(好ましくは500から1000)
圧力(Pa):130から1300(好ましくは300から800)
温度(℃):200から400(好ましくは250から300)
時間(秒):20から80(好ましくは30から60)
N2H2流量(sccm):10から300(好ましくは30から100)
Ar流量(sccm):500から2000(好ましくは500から1000)
圧力(Pa):130から1300(好ましくは300から800)
温度(℃):200から400(好ましくは250から300)
時間(秒):20から80(好ましくは30から60)
上記したように、アミノ基の導入はNH3プラズマ、NH2プラズマ、N2/H2プラズマ、N2/Ar/H2プラズマ、またはN2H2、その他(プラズマの代わりにラジカルが使用されてもよい)によって実行される。
アミノ基が十分に導入された否かは、WNC膜のような生成バリア膜のステップカバレッジから決定される(表面が平坦でなければステップカバレッジが悪いことを示しており、それは前処理が不十分であることを示している)。導入されたアミノ基の量は表面におけるバリア膜の1cm2あたりの原子量を分析することにより決定される。原子量が小さければ、十分なアミノ基が形成されておらず、一方、原子量の飽和は十分な前処理を示す。上記処理条件は例に過ぎず、特定の値は、前処理で使用される装置の性能及び他の特性に応じて変化する。使用する装置にしたがって最適条件が選択される。
図1(c)により示される工程において、TEB(トリエチルボロン)ガスまたは他の還元ガスが導入され、その後不活性ガスによりパージされ、その後WF6ガスまたは他のハロゲン化金属が導入され、その後不活性ガスによりパージされ、その後NH3ガスまたは他のハロゲン置換窒化ガスが導入され、その後不活性ガスによりパージされる。この導入及びパージを繰り返すことにより、平坦なWNC膜または金属原子を含む他のバリア膜(金属バリア膜とも呼ぶ)22がダマシン構造の表面上に形成される。バリア膜はときどき導体膜と呼ばれるが、この用語は絶縁膜との違いを強調する場合に限定して使用される。バリア膜は常に電気的に導電性であるとは限らない。
還元ガスに関して、B2H6、アルキルボロン化合物、SiH4、Si2H6またはアルキルシリコン化合物がTEBの代わりに使用される。ハロゲン化金属に関して、TaF6またはTiCl4がWF6の代わりに使用される。結果として、WNC膜の代わりに、TaN、TaCN、WN、TiNまたはTiCN膜のような金属原子を含むバリア膜が形成される。
ひとつの実施例において、バリア膜を形成するための条件は以下のように設定される。
TEB還元ガス流量(sccm):100から1000(好ましくは200から500)
時間(秒):1から5(好ましくは1から2)
パージガス流量(sccm):1000から3000(好ましくは2000から3000)
WF6金属前駆体流量(sccm):100から500(好ましくは300から500)
時間(秒):0.1から0.5(好ましくは0.1から0.2)
パージガス流量(sccm):1000から3000(好ましくは2000から3000)
NH3窒化ガス流量(sccm):300から1000(好ましくは300から500)
時間(秒):0.5から5(好ましくは1から2)
パージガス流量(sccm):1000から3000(好ましくは2000から3000)
温度(℃):300から500
圧力(Pa):100から500(好ましくは100から200)
繰返し回数:5から300(好ましくは20から100)
時間(秒):1から5(好ましくは1から2)
パージガス流量(sccm):1000から3000(好ましくは2000から3000)
WF6金属前駆体流量(sccm):100から500(好ましくは300から500)
時間(秒):0.1から0.5(好ましくは0.1から0.2)
パージガス流量(sccm):1000から3000(好ましくは2000から3000)
NH3窒化ガス流量(sccm):300から1000(好ましくは300から500)
時間(秒):0.5から5(好ましくは1から2)
パージガス流量(sccm):1000から3000(好ましくは2000から3000)
温度(℃):300から500
圧力(Pa):100から500(好ましくは100から200)
繰返し回数:5から300(好ましくは20から100)
パージガスとして、Ar、Heまたは他のガスが使用される。圧力は一定である必要はなく、ガスの種類及びパージ条件に従い適当な設定が選択可能である。
上記したように、バリア膜が形成される面がアミノ基により終端される。還元ガス、ハロゲン化金属、ハロゲン置換窒化ガスを導入する処理を繰り返すことにより、平坦で均一なバリア膜が形成される。米国特許第6,759,325号は、ダマシン配線構造を形成するトレンチ及びビアの内側面にWF6を吸着させ、その後TEBまたは他の還元ガスを使って表面を還元する方法を開示する。しかし、ハロゲン化金属前駆体の導入は層間絶縁膜にダメージを与え、または膜内への浸透を生じさせる。
ひとつの実施例において、バリア膜の厚さは1から5nm、好ましくは2から4nmの範囲に調節される。
図1(d)に示された工程において、Ru膜のような第2金属膜23が、プラズマALDまたは他の方法を使って、WNC膜のようなバリア膜22の頂上に形成される。ここで、第2金属膜は前処理後に形成された金属バリア膜の頂上に形成される。この膜はRu、Taまたは配線に使用される銅膜と良好な接着性を与える他の材料から成り、いわゆる粘着層または接着層として作用する。言い換えれば、この膜は銅配線と銅拡散バリアとして機能する導体膜との間でサンドイッチされ、両者の間の接着性を改善する。
Ru-ALDは、ビス(エチルシクロペンタジエチル)ルテニウム(Ru(EtCp)2)及びNH3プラズマが交互に供給されるところのプラズマALD法により形成される。Ru膜は還元雰囲気中で形成されるため、WNC膜22を酸化することなくラミネート構造が作成される。
Ru(EtCp)2は第2金属膜を形成するための金属ガスのオプションだけではない。例えば、Ru(EtCp)2の誘導体、Ru(Cp)2(ビス(シクロペンタジエニル)ルテニウム)またはその誘導体、あるいはRu(iPrCp)2(ビス(i-プロピル-シクロペンタジエニル)ルテニウム)が使用されてもよい。第2金属膜がRuの代わりにTaにより構成されてもよい。Taが使用されれば、TIMATA(ターシャリー−アミルイミド−トリ(ジメチルアミノ)チタニウム)またはTBTDET(トリス−ジエチルアミノ−t−ブチルイミノタンタル)が使用される。NH3プラズマに加え、ハロゲン置換により目標の金属を窒化することができるNH2プラズマ、N2/H2プラズマ、N2/Ar/H2プラズマ、N2H2等を使用することも可能である(プラズマの代わりにラジカルを使ってもよい)。
ひとつの実施例において、第2金属膜を形成するための条件が以下のように設定される。
金属前駆体流量(sccm):100から500(好ましくは300から500)
時間(秒):0.5から3(好ましくは0.5から1)
圧力(Pa):200から500(好ましくは300から500)
パージガス流量(sccm):1000から3000(好ましくは2000から3000)
NH3ハロゲン置換窒化ガス流量(sccm):200から1000(好ましくは300から500)
RF電力(W):100から1000(好ましくは500から800)
時間(秒):0.5から5(好ましくは1から2)
圧力(Pa):100から400(好ましくは100から200)
パージガス流量(sccm):1000から3000(好ましくは2000から3000)
温度(℃):200から400(好ましくは300から400)
繰返し回数:5から300(好ましくは20から100)
時間(秒):0.5から3(好ましくは0.5から1)
圧力(Pa):200から500(好ましくは300から500)
パージガス流量(sccm):1000から3000(好ましくは2000から3000)
NH3ハロゲン置換窒化ガス流量(sccm):200から1000(好ましくは300から500)
RF電力(W):100から1000(好ましくは500から800)
時間(秒):0.5から5(好ましくは1から2)
圧力(Pa):100から400(好ましくは100から200)
パージガス流量(sccm):1000から3000(好ましくは2000から3000)
温度(℃):200から400(好ましくは300から400)
繰返し回数:5から300(好ましくは20から100)
パージガスとして、Ar、Heまたは他のガスが使用可能である。圧力は一定である必要はなく、ガスの種類及びパージ条件に従い適当な設定が選択される。
ひとつの実施例において、第2金属膜の厚さは1から10nmの範囲、好ましくは1から3nmの範囲に調節される。
上記処理は真空で連続して実行されるのが好ましい。図2(a)及び(b)は図1(a)から(d)に示された本発明のひとつの実施例に従う処理フローチャートである。これらのフローチャートは図1(a)及び(b)に示されていない前処理を説明している。特定的に、図1(b)は表面へのアミノ基の導入を説明していたが、ひとつの実施例において、表面にアミノ基が導入される前に、金属配線層の表面に形成された酸化膜が還元される。酸化膜のこの還元は、アミノ基が表面に導入されるのと同時に実行されるか(図2(a))、または別々の作業として実行されてもよい(図2(b))。図1(b)で説明されたように、表面にアミノ基を導入するための条件は図2(b)に示される第2前処理に適用される。言い換えれば、図2(a)に示されるフローチャートにより、銅酸化膜は、前処理(アミノ基の表面への導入)と同じ処理条件のもとで還元される(すなわち、還元及び前処理が同時に達成される)。一方、図2(b)に示されるフローチャートにおいて、還元は第1前処理で実行され、アミノ基は第2前処理で導入され、この分離は、より広範囲でのそれぞれの条件の選択をもたらす。他に2つのフローチャートの差は、図2(b)に示されるフローチャートの第2前処理条件のもとで銅酸化膜は常に還元されるわけではないが、図2(a)に示されるフローチャートの条件のもとで銅酸化膜は常に還元されるという点にある。言い換えれば、図2(a)の前処理は図2(b)の第2前処理と同一であるが、両者は同一である必要はない。
銅酸化膜の必要な還元レベルは、デバイスのビア抵抗を物理的に測定することにより決定される。測定したビア抵抗が特定の値を満足するか否かは銅表面の状態に依存する。銅表面がそれほど酸化されていなければ、わずかな還元で十分である。表面がひどく酸化されていれば、高出力の還元処理が必要である。言い換えれば、表面へのアミノ基の導入前に銅表面の状態に従って適当な条件が選択可能である。強力な還元処理が必要なら、図2(b)に示されるフローチャートが好ましい。
図2(a)に示される実施例において、絶縁膜は半導体エレメント基板の金属配線領域に形成され、その後デュアルダマシン構造を構成するトレンチ及びコンタクトビアが上記絶縁膜内に形成される。次に、デュアルダマシン構造を構成するトレンチ及びコンタクトビアの表面全体に、還元雰囲気中で、アミド結合または-NH2若しくは-NH結合を形成するために、前処理が実行される。この時、コンタクトビアと接続されたボトム層内の銅配線の表面を酸化することなく、デュアルダマシン構造を構成するトレンチ及びコンタクトビアの表面全体にアミド結合または-NH2若しくは-NH結合が形成される(膜の酸化の程度に応じて、酸化が抑制されるだけでなく酸化膜も還元される)。NH3プラズマに加えて、上記処理は、例えば、NH2プラズマ、N2/H2プラズマまたはN2/Ar/H2プラズマにより実行されてもよい(プラズマの代わりにラジカルが使用されてもよい)。銅酸化膜は還元されなければならないため、図2(b)で示された第2前処理の条件よりRF電力は高い。
ひとつの実施例において、ワンステップ前処理条件は以下のように設定される。
N2流量(sccm):100から500(好ましくは200から300)
H2流量(sccm):10から50(好ましくは20から40)
He流量(sccm):500から1000(好ましくは700から900)
圧力(Pa):300から1000(好ましくは400から500)
RF電力(W):500から1000(好ましくは700から900)
温度(℃):100から350(好ましくは150から300)
時間(秒):10から60(好ましくは20から40)
H2流量(sccm):10から50(好ましくは20から40)
He流量(sccm):500から1000(好ましくは700から900)
圧力(Pa):300から1000(好ましくは400から500)
RF電力(W):500から1000(好ましくは700から900)
温度(℃):100から350(好ましくは150から300)
時間(秒):10から60(好ましくは20から40)
他の実施例において、ワンステップ前処理条件は以下のように設定される。
NH3流量(sccm):100から1000(好ましくは200から500)
Ar流量(sccm):500から1000(好ましくは700から900)
He流量(sccm):500から1000(好ましくは500から700)
圧力(Pa):100から500(好ましくは300から500)
RF電力(W):300から1000(好ましくは500から800)
温度(℃):100から300(好ましくは150から300)
時間(秒):10から60(好ましくは20から40)
Ar流量(sccm):500から1000(好ましくは700から900)
He流量(sccm):500から1000(好ましくは500から700)
圧力(Pa):100から500(好ましくは300から500)
RF電力(W):300から1000(好ましくは500から800)
温度(℃):100から300(好ましくは150から300)
時間(秒):10から60(好ましくは20から40)
次に、金属窒化膜または金属炭素窒化膜で構成されたバリア膜が形成される。この工程において、還元ガスが供給されその後パージされ、その後ハロゲン化金属分子が供給されその後パージされ、その後成分元素として窒素原子及び水素原子を含むアミノ基導入ガスが導入されその後パージされる。その後、上記還元ガス、ハロゲン化金属分子及びハロゲン置換窒化ガスの導入及びパージを繰り返すことにより、少なくとも適応可能な金属及び窒素を含む薄膜(バリア膜)が形成される。次の工程において、銅膜との良好な接着性を与える金属膜が、還元雰囲気中で原子層蒸着法によりバリア膜の頂上に形成される。その後、このRu膜上に銅膜が形成される。銅膜は物理的蒸着法、化学的蒸着法、または電気めっきにより形成可能である。図3は、本発明のひとつの実施例に従って形成された配線パターンの状態を示す断面略示図であり、ここで、Ruが形成され、銅配線が形成され、その後不必要な銅膜及び/またはバリア膜を除去するための平坦化処理が為され銅配線パターン24が形成される。
図2(b)に示される実施例において、2つの前処理は連続して実行され、ここで、第1の前処理はデュアルダマシン構造のボトム配線層の表面の銅酸化膜を還元する工程から成り、第2の前処理はデュアルダマシン構造の層間絶縁膜の表面にアミド結合または-NH2若しくは-NH結合を形成する工程から成る。これらの工程は同時に実行されるが、上記したように、それぞれの工程の目的に適した異なる処理条件の下で別々の2つの工程で実行することも可能である。この場合、第2の前処理において銅酸化膜を還元する必要はなく、よってNH3プラズマ、NH2プラズマ、N2/H2プラズマまたはN2/Ar/H2プラズマに加えてN2H2(ヒドラジン)も使用可能である(プラズマの代わりにラジカルを使ってもよい)。第2の前処理用の条件は、図1(b)で説明したように表面にアミノ基を導入するための条件と同一である。
ひとつの実施例において、第1の前処理条件は以下のように設定される。
N2流量(sccm):0
H2流量(sccm):10から100(好ましくは30から50)
He流量(sccm):500から1000(好ましくは700から900)
圧力(Pa):100から1000(好ましくは400から600)
RF電力(W):500から1000(好ましくは700から900)
温度(℃):150から350(好ましくは250から350)
時間(秒):10から60(好ましくは20から40)
H2流量(sccm):10から100(好ましくは30から50)
He流量(sccm):500から1000(好ましくは700から900)
圧力(Pa):100から1000(好ましくは400から600)
RF電力(W):500から1000(好ましくは700から900)
温度(℃):150から350(好ましくは250から350)
時間(秒):10から60(好ましくは20から40)
上記したように、第2の前処理条件は図1(b)で説明したように表面にアミノ基を導入するための条件と同一である。
例えば、銅酸化膜を簡単に還元するよう第1の前処理工程で出力800Wの高周波プラズマを生成するべくH2/Heガスを使用すること、及び第2の前処理工程で出力300Wの高周波プラズマを生成するべくH2/He/N2ガスを使用することが可能である。次に、金属炭素窒化膜により構成されるバリア膜を形成するために、デュアルダマシン構造の前処理されたトレンチ及びコンタクトビアの表面全体に還元ガスが供給される。その後、還元ガスがパージされ、その後ハロゲン化金属分子が供給されその後パージされ、その後成分元素として窒素原子及び水素原子を含むハロゲン置換窒化ガスが導入されその後パージされる。上記した還元ガス、ハロゲン化金属分子及びハロゲン置換窒化ガスの導入及びパージを繰り返すことにより、少なくとも適用可能な金属及び窒素を含む薄膜が形成される。この工程及び続く工程は図2(a)に示したフローチャートと同様である。
図2(a)のフローチャートにおいて、前処理工程は一定の処理条件で実行される。しかし、処理条件は連続的に変更されてもよい。例えば、前処理を実行するのに、最初に高周波プラズマによりH2/Heガスを活性化し、窒素量が増加するに従い、RF出力を800Wから300Wまでまたは800Wから100Wまで連続的に変化させることも可能である。この目的は、ビアの底のボトム層配線の表面上の銅配線の還元と同時に、デュアルダマシン構造のトレンチ及びコンタクトビアの表面全体をより効率的かつ迅速に前処理することである。
図4(a)から(e)は、本発明のひとつの実施例に従う、ALDによりWNC膜形成前の前処理のモデルメカニズムを示す。このモデルはひとつのメカニズムを表しているに過ぎず、本発明はこのモデルに限定されない。本発明のいくつかの実施例はこのメカニズムの説明と無関係に実行可能である。このメカニズムの説明は過度に簡略化されている点に注意すべきである。
図4(a)はSiO2膜の表面状態を示す。通常、SiO2膜の表面は-OHまたは-O-により終端される。図4(b)は、NH3プラズマ、またはN2/H2ガスを含む還元プラズマによる処理後の表面を示す。プラズマ活性化NH3またはN2/H2ガスは、それがSiO2膜の表面層上に-NHまたは-NH2結合を形成するところのポイントで極端に活性状態になる。同じことが、絶縁膜がSiOC膜等により構成される場合に発生する。表面は約400℃のNH3ガスへ曝すことにより単純に終端されないが、プラズマ処理、ラジカル処理、ヒドラジン処理または最適なRF出力で実行される他の処理を通じてのみ終端が達成される。
図4(c)は前処理により生成されたNH/NH2結合へTEB(トリエチルボロン)を導入した後の状態を示す。TEBはSiO2面またはSiOC面をカバーするようNH/NH2結合により容易に吸着される。
図4(d)はTEBを十分にパージした後にWF6を導入した後の状態を示す。SiOC等により構成される多孔質膜の主に表面付近に吸着したTEBとWF6が選択的に反応するに従い、SiOC膜内のWF6の拡散が抑制される。反応はほぼ表面全体で生じ、W-C結合が形成される。
図4(e)は不活性ガスを使ってWF6ガスを十分にパージした後にNH3ガスを導入した後の状態を示す。NH3ガスは残ったW-F結合をW-N結合に簡単に置換する。結果として、W-N/W-C結合により構成されたWNC膜が形成される。この工程を繰り返すことにより、SiO2またはSiOC膜上に平坦なWNC膜を簡単に形成できる。
図5(c)は本発明のひとつの実施例に従う処理装置の例を示す構造図である。この装置は異なる処理を扱うためにクラスター構造を有する。図5(a)及び(b)は本発明のひとつの実施例に従う処理のフローチャートを示す。点線で囲まれる工程は図5(c)に示された装置で処理される。
図5(a)は図2(a)に示されるワンステップの前処理フローチャートに対応する。例えば、基板は、大気圧ロボット101によりカセットボックス100からロードロックチャンバ102へ移送され、その後基板は中心プラットフォーム103上に与えられる中心ハンドラー103により前処理モジュール104へ移送され、N2/H2/Heガスを使ったプラズマ処理に基づく前処理を施される。その後、前処理された基板は真空でWNC-ALDモジュール105に移送され、WNC-ALD処理を施され、その後さらに真空でRu-ALDモジュール106に移送されRu-ALD膜が形成される。
図5(b)は図2(b)に示されるツーステップ前処理に対応し、ツーステップ前処理工程がどのように組み合わされるかの例を与える。両前処理工程は図5(c)に示される前処理モジュール104内で実行され、その後WNC膜及びRu膜が図5(a)に示されるのと同様の処理で形成される。Ru膜は大気中で容易に酸化されないので、PVD、CVDまたは電気めっきを使って銅層を形成する前に、大気中へRu被覆基板を戻すことは可能である。
Ru膜が極端に薄く作成されるか、または1nmまたはそれ以下の厚さの連続または不連続なRu膜上に銅膜が形成されれば、真空中で連続的に銅を形成することが必要になる。図6(a)及び(b)は真空でのこの連続処理のフローチャートを示し、図6(c)はこれらの処理フローチャートを実行するクラスター装置を示す。この装置は、銅処理モジュール107が真空移送チャンパ内にインストールされている点を除いて図5(c)に示されたものと同じである。銅膜は物理的蒸着(PVD)または化学的蒸着(CVD)により、あるいは電気めっき装置と結合することにより形成される。
原子層蒸着法を実行するモジュールに関して、本発明のいくつかの実施例は、ここに参考文献として組み込む、本願出願人に譲渡された米国特許出願第10/824,798、10/901,825及び10/960,600号に開示された装置を使用する。
本発明は、半導体キャパシタエレメントに使用する電極の形成にも応用できる。キャパシタエレメントで使用する絶縁膜は、Ta2O5、HfO2、Al2O3及び高誘電率の金属酸化物から成る。Ruにより構成される金属電極が使用される場合、Ruが簡単に酸化されずかつRuO2は導電性であるため、容量は減少しない。これによりRuはキャパシタ電極の材料として有効である。しかし、Ru電極の表面粗さが大きければ、厚さが5nmまたはそれ以下の極端に薄い絶縁膜のために電極は絶縁破壊を受けやすくなり、それは実用的な信頼性に係る問題となる。本発明のひとつの実施例において、平坦な金属バリア膜を形成し、その後還元雰囲気中でプラズマALDを実行することにより頂上にRu膜を形成することで、絶縁破壊を受けにくいキャパシタが形成される。
図7(a)から(d)は、本発明に係る方法に従う、キャパシタ形成処理を示す。図1(a)から(d)に示される方法に基づいてキャパシタのボトム電極としてRu/WNC膜が形成された後、図7(a)から(c)に示されるようなALD(原子層蒸着)法によりハフニウムジエチルアミド及びNH3ガスを使ってRu膜23の頂上にHfO2(24)が形成される。次に、トップ電極としてCu膜25が形成される。その後、表面の不要な材料がCMPにより平坦化され、キャパシタ26が形成される。
本発明がキャパシタ電極に応用される場合、Taは容易に酸化されるため、ボトム電極にはTa膜の代わりにRu膜を使用するのが好ましい。
上記したように、本発明のひとつの実施例は低誘電率膜上に平坦なバリア膜の形成をもたらす。結果として、バリア効果は薄膜で達成可能であり、均一なRu膜も形成される。こうして形成されたラミネート膜構造を使用することにより、ビア構造中の銅の体積は増加し、膜と銅との間の接着性の改善により低抵抗の高信頼性配線構造を形成することが可能となる。また、本発明のひとつの実施例において、還元雰囲気中で前処理が実行される。これにより、ビアの底の銅表面が酸化されることなく還元され、ビア抵抗の増加の問題を排除する。SiOCまたは低誘電率の他の絶縁膜が使用されれば、ビアの底の銅表面上の酸化物を還元する工程は、低誘電率の絶縁膜の表面を前処理する工程から分離され、その結果、SiOC膜表面をエッチングしなくとも、またはWNCのSiOC膜への拡散を許すことなく、平坦なWNC膜が続くバリア膜形成工程で形成される。
ラミネート膜構造上に直接銅をめっきする場合、金属炭素窒化バリア膜が均一に形成されることにより頂上に形成されるRu膜も非常に均一であることと、膜抵抗がWNC膜の抵抗の約20分の1であることから、低抵抗の銅めっきが均一に適用される。結果として、表面粗さにより生じるボイド及び他の欠陥の生成は抑制される。Ru膜が極端に平坦かつ連続であるため、膜構造が外気に曝されたときにもその下のWNC膜の酸化が防止される。Ru膜自身は酸化されにくいので、膜構造が大気に曝された後でも通常のPVD銅シード層、CVD銅シード層、銅めっき層等が形成される。
キャパシタ電極の形成に本発明が使用されれば、本発明は従来の金属電極の粗さレベルに比べ驚くほど平坦なRu膜を形成する。このRu膜上に数nmの極端に薄い絶縁膜が形成されたとしても、生成された膜構造は、表面粗さの結果として電場強度の集中により生じるリーク電流の増加をさらに防止し、その結果信頼性の非常に高いキャパシタを作成することができる。このキャパシタは動的ランダムアクセスメモリ、論理-メモリ混合デバイス、または論理デバイスに使用される。
上記いずれかの方法において、絶縁層は多孔質であり気孔を有してもよく、当該方法は、さらに、(以下に説明する気孔シール層の表面及び配線層の露出面から成る少なくとも絶縁層の露出面に-NH2または>NHターミナルを導入する工程の前に)(a)絶縁層の露出面上に気孔をブロックするための気孔シール層を形成する工程と、(b)配線層の露出面上に形成された気孔シール層の一部を除去する工程とを含む。気孔シール層を使用することにより、たとえ薄くとも連続かつ優れたバリア効果を有するWNC層のようなバリア層が形成され、平坦な表面を有する微細構造のRu膜のような金属膜がその上に効果的に形成され、それにより、例えば、無電解銅蒸着(ECD)または化学機械的研磨(CMP)あるいはPVD銅処理のような他の後続処理の最中でも、Ru/WNC層の分離が発生することなく、平坦な銅配線を形成することが可能になる。気孔シール層を使用することにより、その上に形成されるWNC層はより大きな化学的及び/または機械的耐性を有し、Ru/WNC層は平坦化処理中に分離に対しより大きい耐性を示し、及び/またはCMPで使用されるスラリーによるエッチングまたはCMPでの洗浄処理によるエッチングに対してより大きい耐性を示す。
ひとつの実施例において、上記処理は以下の方法により実行され、当該方法は、
(I)露出した配線層(例えば、ビア/トレンチのボトム)及び露出した絶縁層(例えば、ビア/トレンチの側壁)を含む少なくともひとつのビア/トレンチを有する多層構造を反応空間内に与える工程であって、前記絶縁層は多孔質であり気孔を有するところの工程と、
(I’)絶縁層の少なくとも露出面上に気孔をブロックするための気孔シール層を形成する工程と、
(I’’)配線層の露出面上に形成された気孔シール層の一部を除去する工程と、
(II)還元雰囲気中で絶縁層の少なくとも露出面上に-NH2または>NHターミナルのようなN含有ターミナルを導入する工程と、
(III)導入したターミナルを還元するために反応空間へ還元剤を導入し、その後反応空間をパージする工程と、
(IV)導入した還元剤を置換するために反応空間へハロゲン化金属化合物を導入する工程と、
(V)導入したハロゲン化金属により形成された表面上にN含有ターミナルを与えるべくN含有ガスを導入し、その後反応空間をパージする工程と、
(VI)金属含有バリア層を形成するべく工程(III)から(V)を連続して繰り返す工程と、
(VII)金属含有バリア層上に金属膜を形成する工程であって、該金属膜は配線層を構成する金属以外の金属から成るところの工程と、
(VIII)金属膜の上の銅でビア/トレンチを充填する工程と、
(IX)相互接続銅配線を形成するべく、トレンチの上方の余分な銅層を化学的機械的研磨(CMP)により平坦化する工程と、
から成る。
(I)露出した配線層(例えば、ビア/トレンチのボトム)及び露出した絶縁層(例えば、ビア/トレンチの側壁)を含む少なくともひとつのビア/トレンチを有する多層構造を反応空間内に与える工程であって、前記絶縁層は多孔質であり気孔を有するところの工程と、
(I’)絶縁層の少なくとも露出面上に気孔をブロックするための気孔シール層を形成する工程と、
(I’’)配線層の露出面上に形成された気孔シール層の一部を除去する工程と、
(II)還元雰囲気中で絶縁層の少なくとも露出面上に-NH2または>NHターミナルのようなN含有ターミナルを導入する工程と、
(III)導入したターミナルを還元するために反応空間へ還元剤を導入し、その後反応空間をパージする工程と、
(IV)導入した還元剤を置換するために反応空間へハロゲン化金属化合物を導入する工程と、
(V)導入したハロゲン化金属により形成された表面上にN含有ターミナルを与えるべくN含有ガスを導入し、その後反応空間をパージする工程と、
(VI)金属含有バリア層を形成するべく工程(III)から(V)を連続して繰り返す工程と、
(VII)金属含有バリア層上に金属膜を形成する工程であって、該金属膜は配線層を構成する金属以外の金属から成るところの工程と、
(VIII)金属膜の上の銅でビア/トレンチを充填する工程と、
(IX)相互接続銅配線を形成するべく、トレンチの上方の余分な銅層を化学的機械的研磨(CMP)により平坦化する工程と、
から成る。
上記処理は図14に示される。すなわち、気孔シール層を形成する工程は、基本的に図2(a)及び(b)にそれぞれ対応する2つの処理(a)及び(b)に共通する。上記した処理(a)及び(b)は、気孔シール層が形成されたか否かにより実行される。処理(a)及び(b)の前に、配線層を露出するために、気孔シール層がビア/トレンチのボトムから除去される。その場合、気孔シール層は導電性ではない。
ひとつの実施例において、気孔シール層が、SiC、SiOC、窒化シリコン、アモルファスカーボン、及びSiO2から成る集合から選択される材料により構成される。気孔シール層は厚さ5〜100Å(例えば、10〜50Å)で形成され、ビア/トレンチのボトムを覆う部分及び平坦面部分が、例えばドライエッチングにより除去される。気孔シール層は、ここに参考文献として組み込む米国特許第6,759,325号または第6,482,733号に開示される気孔シール層である。気孔シール層は、気孔を充填または塞ぐ(例えば、気孔の深さの3倍にすぎない)ことにより絶縁層の露出面上の気孔をブロックするためのものであり、ここでブロックは絶縁層の上面で優先的に実行される。
図15(a)から(d)は、処理中の半導体エレメントの配線構造を示す断面略示図であり、本発明のひとつの実施例に従うデュアルダマシン銅配線構造を形成する処理へ気孔シール層を適用した説明を与える。図15(a)は図1(a)に対応する。図15(b)は、エッチングストップ層(19,21)で覆われた平坦面、絶縁層(16,20)の露出面である側壁、及び配線層14の露出面であるボトムを含むビア/トレンチ上に気孔シール層301を形成する工程を示す。気孔シール層は、自己制限型及び自己帰還型処理であるCVDまたはALD(原子層蒸着)により形成される。その後、イオンエッチング(例えば、アルゴンイオンエッチング)のようなドライエッチングにより平坦面及びボトムから気孔シール層が除去され、その結果、もっぱら側壁(302,303)の気孔シール層が図15(c)に示されるように残る。NHxターミナルを導入する工程は図1(c)と同じである。図15(d)は図1(d)に対応し、ここでWNC膜22及びRu膜23は連続して形成される。
図16(a)から(d)は処理中の半導体エレメントの配線構造を示す断面略示図であり、本発明のひとつの実施例に従う図15(a)から(d)に示される処理に続く処理を説明するものである。図16(a)は図15(d)と同じである。図16(b)は銅304でビア/トレンチを充填する工程を示す。図16(c)において、ビア/トレンチの上方の余分な銅層はCMPにより除去される。図16(d)において、エレメントの表面はさらにCMPにより平坦化され、その結果WNC膜22及びRu膜23は頂上面から除去され、それにより相互接続銅配線305が形成される。
例えば、気孔シール層がSiCから構成される場合、実施例に従う気孔シール層を形成するためのプラズマCVD条件は以下のように設定される。
テトラメチルシラン(TMS)流量(sccm):100から300(好ましくは200から300)
He流量(sccm):100から10000(好ましくは100から5000)
H2流量(sccm):10から5000(好ましくは10から3000)
圧力(Pa):300から1000(好ましくは300から600)
第1RF電力(W):100から500(好ましくは300から500)
第2RF電力(W):10から100(好ましくは50から100)
基板温度(℃):200から400(好ましくは250から350)
蒸着速度(nm/min):2から10
He流量(sccm):100から10000(好ましくは100から5000)
H2流量(sccm):10から5000(好ましくは10から3000)
圧力(Pa):300から1000(好ましくは300から600)
第1RF電力(W):100から500(好ましくは300から500)
第2RF電力(W):10から100(好ましくは50から100)
基板温度(℃):200から400(好ましくは250から350)
蒸着速度(nm/min):2から10
上記において、第1RF電力は13MHzから30MHzの周波数を有し、第2RF電力は300kHzから450kHzの周波数を有する。第2RF電力は第1RF電力より低い。上記条件に従い、SiC膜の厚さは、約2nmから約10nm、好ましくは約2nmから5nmで形成される。
気孔シール層がSiCにより構成される場合、他の実施例に従う気孔シール層を形成するための条件は以下のように設定される。
テトラメチルシラン流量(sccm):0から300
He流量(sccm):100から10000(好ましくは100から5000)
H2流量(sccm):0から1000(好ましくは200から1000)
圧力(Pa):300から1000(好ましくは300から600)
第1RF電力(W):100から500(好ましくは300から500)
第2RF電力(W):10から100(好ましくは50から100)
基板温度(℃):200から400(好ましくは250から350)
He流量(sccm):100から10000(好ましくは100から5000)
H2流量(sccm):0から1000(好ましくは200から1000)
圧力(Pa):300から1000(好ましくは300から600)
第1RF電力(W):100から500(好ましくは300から500)
第2RF電力(W):10から100(好ましくは50から100)
基板温度(℃):200から400(好ましくは250から350)
上記において、膜が蒸着されるに従いテトラメチルシランの流量が300sccmから0sccmに減少されれば、蒸着されたSiC膜は微細構造を有する表面層を有することができる。
気孔シール層としてSiCのプラズマCVDの上記2つの方法は例であり、修正が可能である。例えば、ジビニルジメチルシラン、トリメチルシラン等がテトラメチルシランの代わりに使用されても良い。ハロゲンの替わりにメタンが使用されてもよく、ヘリウムの替わりにアルゴンが使用されても良い。
条件が特定されない本説明において、当業者は、ルーチン試験の問題として、本説明を考慮して、この条件を容易に最適化することが可能である。
実施例1
この例は、半導体エレメントを作成するべく、デュアルダマシン構造のトレンチ及びコンタクトビアの表面全体を、銅バリア膜となる金属膜でライニング処理するものである。特定的に、処理は、デュアルダマシン構造のトレンチ16及びコンタクトビア20の表面全体を前処理する工程と、金属バリア膜としてタングステン炭素窒化WNC膜を形成する工程と、Ru膜を形成する工程に関連し、それぞれ図1(b)、(c)及び(d)に対応する。これらの工程は図5(a)または(b)に示されるフローチャートに対応し、図1(b)、(c)及び(d)に対応する工程は図5(c)に示される構造を有する装置を使って実行される。図1(a)の断面略示図に示される構造の半導体基板は図5(c)に示されるような、大気圧ロボット101によりカセットボックス100からロードロックチャンバ102へ移送された。チャンバが真空状態に排気された後、中心プラットフォーム103に与えられた中心ハンドラーにより、基板はロードロックチャンバ102から前処理モジュール104へ移送された。表1及び2は、上記処理における前処理モジュール内で実行されるワンステップ前処理工程をリストしたものである。
この例は、半導体エレメントを作成するべく、デュアルダマシン構造のトレンチ及びコンタクトビアの表面全体を、銅バリア膜となる金属膜でライニング処理するものである。特定的に、処理は、デュアルダマシン構造のトレンチ16及びコンタクトビア20の表面全体を前処理する工程と、金属バリア膜としてタングステン炭素窒化WNC膜を形成する工程と、Ru膜を形成する工程に関連し、それぞれ図1(b)、(c)及び(d)に対応する。これらの工程は図5(a)または(b)に示されるフローチャートに対応し、図1(b)、(c)及び(d)に対応する工程は図5(c)に示される構造を有する装置を使って実行される。図1(a)の断面略示図に示される構造の半導体基板は図5(c)に示されるような、大気圧ロボット101によりカセットボックス100からロードロックチャンバ102へ移送された。チャンバが真空状態に排気された後、中心プラットフォーム103に与えられた中心ハンドラーにより、基板はロードロックチャンバ102から前処理モジュール104へ移送された。表1及び2は、上記処理における前処理モジュール内で実行されるワンステップ前処理工程をリストしたものである。
表3及び表4は上記処理において実行されたツーステップ前処理のレシピをリストしたものである。
表1及び表2に示される前処理において、コンタクトビア20のボトムの銅配線14の表面上に形成された酸化物を還元する工程、及びデュアルダマシン構造のトレンチ16及びコンタクトビア20の表面全体にNH-NH2結合を形成する工程が同時に実行された。各工程は500Paの真空レベル及び800WのRF出力で60秒間実行された。
表3は前処理1及び前処理2に対する最適条件を示す。前処理1はH2及びHeを含む混合ガスを使って、500Paの真空レベル及び500WのRF出力で30秒間実行された。前処理2は20sccmのN2を添加した後、同じ混合ガスを使って、800Paの真空レベル及び300WのRF出力で30秒間実行された。表4はRF出力が100Wに変更されたことを除いて、前処理2と同じ条件を示す。これらの前処理はデュアルダマシン構造のトレンチ16及びコンタクトビア20の表面全体に図4(b)に示す構造を形成する。前処理1及び2は常に設定されたように実行される必要はない。例えば、銅酸化膜を還元する必要がなければ、前処理1を実行することなく前処理2のみが実行されてもよい(以下で説明する図8は前処理2にのみ基づく処理を示す)。
その後、基板WNC-ALDモジュール105に移送され、そこでWNC膜が基板上に形成された。表5はこの工程でのWNC-ALD形成条件をリストしたものである。
実施例1−1
図8(a)及び(b)は、プラズマCVDにより形成されたSiOC膜201(日本エー・エス・エム株式会社によるAurora ULKTM膜)上に、前処理後、WNC-ALD膜が形成されるところの、トレンチ構造の断面画像を示す。表3及び4に示される前処理2が実行され、その後上記したWNC-ALD形成法を使ってWNC膜が形成された。図8(a)は100WのRF電力で形成された、極めて均一かつ平坦なWNC膜202を示す。図8(b)は300WのRF電力で形成された同様に均一かつ平坦なWNC膜203を示す。
図8(a)及び(b)は、プラズマCVDにより形成されたSiOC膜201(日本エー・エス・エム株式会社によるAurora ULKTM膜)上に、前処理後、WNC-ALD膜が形成されるところの、トレンチ構造の断面画像を示す。表3及び4に示される前処理2が実行され、その後上記したWNC-ALD形成法を使ってWNC膜が形成された。図8(a)は100WのRF電力で形成された、極めて均一かつ平坦なWNC膜202を示す。図8(b)は300WのRF電力で形成された同様に均一かつ平坦なWNC膜203を示す。
実施例1−2
図9(a)及び(b)は、表3に示された前処理1及び2の条件が別々に実行された場合に、プラズマCVD膜201(日本エー・エス・エム株式会社によるAurora ULKTM膜)の膜状態を示す。図9(a)はN2/He混合ガスを使ってプラズマ処理が実行された前処理後の状態を示す。トレンチのTEM断面画像によって示されるように、WNC-ALD膜204は側面が連続ではなく平坦でもない。前処理1の目的は、銅酸化膜を還元することであり、この画像は低誘電率膜の表面にアミノ基を導入する際に、単独の前処置が常に有効ではないことを示している。一方、図9(b)はH2/H2/N2混合ガスを使った前処理2を実行した後の状態を示す。ここに示されるように、WNC-ALD膜205はトレンチの側面でも連続かつ平坦である。ここで銅酸化膜を還元する必要がないので、前処理1は省略できる。表1に示す前処理条件のもとでも同じ形状が得られた。また、この実施例で説明したようなプラズマCVDにより形成されるSiOC膜(日本エー・エス・エム株式会社によるAurora ULKTM膜)ばかりでなく、SiO2、Si3N4、SiC及びTEOSを使ったプラズマCVDにより形成される金属膜にも同じ効果が確認された。
図9(a)及び(b)は、表3に示された前処理1及び2の条件が別々に実行された場合に、プラズマCVD膜201(日本エー・エス・エム株式会社によるAurora ULKTM膜)の膜状態を示す。図9(a)はN2/He混合ガスを使ってプラズマ処理が実行された前処理後の状態を示す。トレンチのTEM断面画像によって示されるように、WNC-ALD膜204は側面が連続ではなく平坦でもない。前処理1の目的は、銅酸化膜を還元することであり、この画像は低誘電率膜の表面にアミノ基を導入する際に、単独の前処置が常に有効ではないことを示している。一方、図9(b)はH2/H2/N2混合ガスを使った前処理2を実行した後の状態を示す。ここに示されるように、WNC-ALD膜205はトレンチの側面でも連続かつ平坦である。ここで銅酸化膜を還元する必要がないので、前処理1は省略できる。表1に示す前処理条件のもとでも同じ形状が得られた。また、この実施例で説明したようなプラズマCVDにより形成されるSiOC膜(日本エー・エス・エム株式会社によるAurora ULKTM膜)ばかりでなく、SiO2、Si3N4、SiC及びTEOSを使ったプラズマCVDにより形成される金属膜にも同じ効果が確認された。
実施例1−3
上記実施例で示された前処理の効果は、表2に示されるようなNH3ガスが高周波プラズマ状態で印加された場合にも達成可能であり、その場合には極めて平坦なALD-WNC膜が形成される。しかし、図1(a)に示されるデュアルダマシン構造の層間絶縁膜(10,12)としてSiOCまたは他の低誘電率膜が使用されれば、アルキル基であるメチル基の連鎖のようなSiOC膜中の炭素含有側鎖がダメージを受け、結果として膜が収縮する。この問題を防止するために、NH3を含むプラズマガスが本発明に従うデュアルダマシン構造のトレンチ及びコンタクトビアの表面全体を前処理するのに使用される。SiOC膜の場合、SiOC膜に対するダメージは、H2/He/N2混合ガスが使用された場合より小さいことがわかった。
上記実施例で示された前処理の効果は、表2に示されるようなNH3ガスが高周波プラズマ状態で印加された場合にも達成可能であり、その場合には極めて平坦なALD-WNC膜が形成される。しかし、図1(a)に示されるデュアルダマシン構造の層間絶縁膜(10,12)としてSiOCまたは他の低誘電率膜が使用されれば、アルキル基であるメチル基の連鎖のようなSiOC膜中の炭素含有側鎖がダメージを受け、結果として膜が収縮する。この問題を防止するために、NH3を含むプラズマガスが本発明に従うデュアルダマシン構造のトレンチ及びコンタクトビアの表面全体を前処理するのに使用される。SiOC膜の場合、SiOC膜に対するダメージは、H2/He/N2混合ガスが使用された場合より小さいことがわかった。
表6は、2つの異なる混合ガス、N2/He及びH2/He/N2がプラズマCVDにより形成されたSiOC膜(日本エー・エス・エム株式会社によるAurora ULKTM膜)と組み合わせて使用された場合のプラズマ処理の効果を比較したものである。
実施例1−4
図10(a)及び(b)は、ALD-WNC膜により構成されるSiOC膜(日本エー・エス・エム株式会社によるULK膜)に対する前処理の潜伏時間の依存性を示す。共に表3に示された処理条件に従い、前処理2のみが実行された状態(図10(a))及び前処理1のみが実行された状態(図10(b))のもとで、依存性がチェックされた。
図10(a)及び(b)は、ALD-WNC膜により構成されるSiOC膜(日本エー・エス・エム株式会社によるULK膜)に対する前処理の潜伏時間の依存性を示す。共に表3に示された処理条件に従い、前処理2のみが実行された状態(図10(a))及び前処理1のみが実行された状態(図10(b))のもとで、依存性がチェックされた。
潜伏サイクルの意味を以下で説明する。原子層蒸着法のもとで、1回のサイクルは1回のガス流サイクルから成る。例えば、WNC膜の場合、1回のサイクルはTEB、WF6及びNH3ガスをひとつずつ供給しかつパージする工程からなる。通常、1回のサイクルで約0.08nmの膜が形成される。しかし、表面状態に応じて、膜形成はサイクルが20回繰り返されるまで開始されない。これは初期表面を表す。この遅延のひとつの理由は、TEBのSiO2への吸着が遅いことである。潜伏サイクルは処理時間、または膜形成開始前に必要な、原子層蒸着の場合のサイクル回数をいう。本発明の場合のように表面がNH2またはNHにより終端される場合、TEBが素早く吸着され、膜形成がすぐに開始される。結果として、潜伏サイクルが減少する。これは図10に示されている。以下で説明するように、前処理により、膜形成は、3から4サイクルの後に開始されるが、通常状態ではガスは膜形成開始前に平均27.6サイクルで導入されなければならない。ULK膜は気孔を有するため、本発明により膜形成が実際にすぐに開始されていると考えられる。
図10(a)は、300WのN2/H2/HeガスプラズマによりASM ULK膜が前処理された場合、潜伏サイクルは3.4回であることを示し、これは膜がULK膜表面に簡単に形成されることを意味している。一方、図10(b)は800WのH2/Heガスを使って銅表面の酸化膜が還元される条件で、潜伏サイクルは減少することを示唆している。この場合、WNC膜がULK膜の気孔内に浸透するため、ULK膜表面への膜形成はすぐには開始されない。したがって、銅表面を還元するように設計された前処理はプラズマCVDにより形成されたエー・エス・エム社製SiOC膜により構成されるULK膜への拡散を防止できないばかりか、ULK膜上への平坦なWNC膜の形成も不可能である。ULK膜へのWNC拡散を防止すると同時にULK膜上に平坦はWNC膜を形成するために、前処理用の最適なプラズマ処理条件が選択されなければならない。言い換えれば、銅表面を効果的に還元する処理(前処理1)は、WNC形成の潜伏サイクルを短くせず、前処理2の条件は、銅表面還元には効果的ではないが、WNC形成の潜伏サイクルを短くする(すなわち、前処理2の条件は平坦なWNC膜を形成するのに有効である)。
実施例1−5
さらに、ひとつの実施例における本発明の目的は、図1(b)に示されるように、平坦に形成されたWNC膜22上にRu膜を形成することにより、平坦なRu膜23を形成することである。WNC膜22は容易に酸化されるため、Ru膜は還元雰囲気中で形成されなければならない。表7はRu-ALD膜を形成するための処理条件をリストしたものである。この処理はビス(エチルシクロペンタジエニル)ルテニウム(Ru(EtCp)2)及びNH3プラズマが交互に供給されるところのプラズマALD法に基づいている。これらの処理条件は還元雰囲気中でRu膜を形成するのに使用される。
さらに、ひとつの実施例における本発明の目的は、図1(b)に示されるように、平坦に形成されたWNC膜22上にRu膜を形成することにより、平坦なRu膜23を形成することである。WNC膜22は容易に酸化されるため、Ru膜は還元雰囲気中で形成されなければならない。表7はRu-ALD膜を形成するための処理条件をリストしたものである。この処理はビス(エチルシクロペンタジエニル)ルテニウム(Ru(EtCp)2)及びNH3プラズマが交互に供給されるところのプラズマALD法に基づいている。これらの処理条件は還元雰囲気中でRu膜を形成するのに使用される。
図12(a)及び(b)は膜構造の表面粗さの観測結果を示し、ここで、Ru膜は、TEOSを使ったプラズマCVD法により形成されたSiO2膜上に、表7に示された処理条件を使って直接(WNC膜なしで)形成されたものである。RMSは1.827nmであり、それはこの実施例の方法により形成された膜の粗さレベルの約6倍であった。
この実施例は、低誘電率膜へのダメージを最小化する条件とともに、デュアルダマシン構造のトレンチ及びコンタクトビアの表面全体に銅バリア膜のような平坦なWNC膜を形成するための処理条件を説明する。この例で与えられる方法は、形成されたRu膜の粗さを所望の平坦レベルに維持するように示されたものである。
実施例2
この実施例は、デュアルダマシン構造のトレンチ及びコンタクトビアの表面全体にわたって、銅バリア膜となる金属でライニング処理し、半導体エレメントを形成する方法を説明する。
この実施例は、デュアルダマシン構造のトレンチ及びコンタクトビアの表面全体にわたって、銅バリア膜となる金属でライニング処理し、半導体エレメントを形成する方法を説明する。
特定的に、処理は、デュアルダマシン構造のトレンチ16及びコンタクトビア20の表面全体を前処理する工程、金属バリア膜としてタングステン炭素窒化WNC膜を形成する工程、Ru膜を形成する工程に関し、それぞれ図1(b)、(c)及び(d)に対応する。これらの工程は図5(a)または(b)に示されるフローチャートに対応し、図1(b)、(c)及び(d)に対応する工程は図5(c)に示される構造を有する装置を使って実行される。図1(a)の断面図に示される構造を有する半導体基板は、図5(c)に示される大気圧ロボット101によりカセットボックス100からロードロックチャンバ102へ移送される。チャンバが真空状態に排気された後、基板は、中心プラットフォーム103に与えられた中心ハンドラーによりロードロックチャンバ102から前処理モジュール104へ移送される。表1は前処理モジュールでの処理条件のリストである。前処理モジュール104は13.56MHzの高周波RFを導入することにより平行平板プラズマを印加することができる。図5(a)に対応する工程で使用されたガスは表1のH2/He/N2混合ガスであり、それは特定の圧力でRF電力を60秒間印加された。60秒の前処理期間中に高周波電力またはガス流量を連続的に変化させることにより、前処理をより効率的に実行することが可能である。図13はこの実施例で使用される処理条件を示すタイミングチャートである。最初の30秒間、H2/He混合ガスのみが、800WのRF電力で導入された。30秒後、RF電力は300Wに減少され、同時にN2ガスが0から200sccmまで連続的に流量を変化させて導入された。
その後、基板はWNCモジュール105へ移送され、そこでWNC膜が基板上に形成される。表5はこの工程で使用されるWNC-ALD形成条件をリストしたものである。表5に示されるように、TEB(トリエチルボロン)は表5に示される一定の処理条件のもとで2秒間供給された。この工程において、図4(c)に示される構造が表面に形成された。続くパージ処理において、TEBは大量のアルゴンガスを使ってWNC-ALDモジュール105からパージされ、その後WF6ガスが0.2秒間供給された。これにより図4(d)に示されるようなW-C結合が形成された。次に、WF6ガスがアルゴンガスを使ってWNC-ALDモジュール105からパージされ、その後NH3ガスが0.5秒間供給された。これにより、図4(e)に示されるようなNH/NH2結合が形成された。次に、NH3ガスがアルゴンガスを使ってWNC-ALDモジュール105からパージされた。これらの導入及びパージを繰り返すことにより、平坦なWNC膜が形成された。
また、この実施例の目的は、図1(d)に示すように、平坦に形成されたWNC膜22上にRu膜を形成することにより平坦なRu膜23を形成することである。WNC膜22は容易に酸化されるため、Ru膜は還元雰囲気中で形成されなければならない。表6はRu-ALD膜を形成するための処理条件をリストしたものである。この処理は、ビス(エチルシクロペンタジエニル)ルテニウム(Ru(EtCp)2)及びNH3プラズマが交互に供給されるところのプラズマALD法に基づくものである。これらの処理条件は還元雰囲気中でRu膜を形成するのに使用される。
この実施例は、デュアルダマシン構造のトレンチ及びコンタクトビアの表面全体に、銅バリア膜として、平坦なWNC膜を形成するための処理条件を説明する。特定的に、高周波プラズマ出力及びガス条件は、前処理工程において連続的に変更された。この例で与えられる方法は、形成されたRu膜の粗さを所望の平坦レベルに維持するために示されたものである。
実施例3
この実施例は、本発明が半導体キャパシタエレメントの製造で使用される電極形成にどのように応用できるかを示す。図7(a)は、デュアルダマシン構造による配線間にキャパシタが形成されるところの半導体エレメントの例を示す。表3に示される前処理の後、表5に示される条件のもとでALD-WNC膜が形成された。厚さ3nmの膜が形成されると、表7に示される処理を使って厚さ2nmのRu-ALD膜23が形成された。Ru-ALD膜の頂上に、厚さ3から5nmのHfO2膜24が、ハフニウムジエチルアミド(Hf(NEt2)4)及びオゾンを使って、原子層蒸着法により形成された。その後、トップ電極26として厚さ50nmのCVD-TiN膜が形成された。上記膜により構成されたラミネート構造を処理することにより、所望のキャパシタが形成される。Ru表面の粗さが極端に小さいため、形成されたキャパシタは欠陥密度が小さくかつ絶縁破壊に対して極めて長期間の信頼性を有する。
この実施例は、本発明が半導体キャパシタエレメントの製造で使用される電極形成にどのように応用できるかを示す。図7(a)は、デュアルダマシン構造による配線間にキャパシタが形成されるところの半導体エレメントの例を示す。表3に示される前処理の後、表5に示される条件のもとでALD-WNC膜が形成された。厚さ3nmの膜が形成されると、表7に示される処理を使って厚さ2nmのRu-ALD膜23が形成された。Ru-ALD膜の頂上に、厚さ3から5nmのHfO2膜24が、ハフニウムジエチルアミド(Hf(NEt2)4)及びオゾンを使って、原子層蒸着法により形成された。その後、トップ電極26として厚さ50nmのCVD-TiN膜が形成された。上記膜により構成されたラミネート構造を処理することにより、所望のキャパシタが形成される。Ru表面の粗さが極端に小さいため、形成されたキャパシタは欠陥密度が小さくかつ絶縁破壊に対して極めて長期間の信頼性を有する。
この方法は、この実施例で説明したデュアルダマシン構造を使用しないラミネート型キャパシタまたはトレンチ型キャパシタにも応用できる。いずれの場合にも、前処理を行い、ALD-WNC膜を形成し、その後本発明に従い頂上にRu膜を形成することにより、高信頼の非常に薄いキャパシタ膜構造が形成され、それがボトム電極を与える。
実施例4
この実施例において、実施例1でNHxターミナルが導入される前に、気孔シール層が形成された。気孔シール層の形成後に、実施例1で説明した処理が繰り返された。
この実施例において、実施例1でNHxターミナルが導入される前に、気孔シール層が形成された。気孔シール層の形成後に、実施例1で説明した処理が繰り返された。
すなわち、デュアルダマシン処理により、図15(a)に示されるようなビア/トレンチ構造が形成された。Eagle(商標)シリーズのプラズマCVD装置を使って気孔シール膜としてSiC膜が形成された。条件は以下の通りである。
図15(b)に示されるように気孔シール層301を形成した後、気孔シール層は、配線層14の露出面、エッチングストップ層19のステップ部分、及び低-k層10の頂上面を含む平坦面からシール層部分を除去するべくイオンエッチングに曝された。上記中で、特に、配線層14の露出面上の部分は図15(c)に示されるように完全に除去された。NHxターミナルは図15(c)に示されるように露出したすべての面に導入され、そこでNHxターミナルは残りの面と同様に気孔シール面の表面にも効果的に導入された。残りの処理は実施例1と同じであった。図16(a)から(d)に示されるように、銅相互接続線305はCMPにより製造される。
CMPの間、Cu線の分離は観測されず、これは通常の銅線抵抗を与えることにより明らかとなった。気孔シール層の蒸着、前処理、及びWNC蒸着の組み合わせにより、極めて平坦かつ微細なRu膜が形成され、それにより平坦かつ信頼できる銅線が形成される。
本発明は、上記実施例に限定されず、以下に説明する実施例も含む。
1)半導体エレメント上に金属配線構造を形成するための方法であって、半導体エレメント基板上の金属配線領域に絶縁膜を形成する工程と、デュアルダマシン処理を使って絶縁膜に所望の配線パターンでトレンチを形成し、その後トレンチのボトムのボトム層で金属配線を露出させ、頂上の金属配線と電気的に接続するように配線を構成するダマシン構造を形成する工程と、還元雰囲気中で絶縁層の少なくとも表面にアミノ基-NH2または-NH結合を形成する工程と、還元ガスを供給し、該還元ガスをパージし、ハロゲン化金属分子を導入し、該ハロゲン化金属分子をパージし、成分原子として窒素原子及び水素原子を含むハロゲン置換窒化ガスを導入し、該ハロゲン置換窒化ガスをパージし、その後還元ガス、ハロゲン化金属分子及びハロゲン置換窒化ガスの導入及びパージを繰返し、少なくとも適用可能な金属及び窒素を含む導体薄膜を形成する工程と、該導体薄膜の頂上に第2の金属膜を形成する工程とから成る。
2)項目1)に記載の金属配線構造を形成するための方法であって、還元ガスは、ジボラン、アルキルボラン、ジシラン、モノシラン及びアルキルシランから選択される化合物である、ところの方法。
3)項目1)に記載の金属配線構造を形成するための方法であって、ハロゲン化金属分子を構成する金属は、W、TaまたはTiのいずれかである、ところの方法。
4)項目1)に記載の金属配線構造を形成するための方法であって、成分原子として窒素原子及び水素原子を含むガスはNH3である、ところの方法。
5)項目1)から4)のいずれかに記載の金属配線構造を形成するための方法であって、コンタクトビアの内側面に-NH2または-NH結合を形成する工程は、高周波により活性化された少なくとも窒素ガス及び水素ガスを含むプラズマまたはラジカルを使用する、ところの方法。
6)項目5)に記載の金属配線構造を形成するための方法であって、窒素ガスの分圧は10から30%の範囲である、ところの方法。
7)項目1)から4)のいずれかに記載の金属配線構造を形成するための方法であって、コンタクトビアの内側面に-NH2または-NH結合を形成する工程は、高周波により活性化された少なくともNH3を含むプラズマまたはラジカルを使用する、ところの方法。
8)項目1)から4)のいずれかに記載の金属配線構造を形成するための方法であって、トレンチのボトムで露出したボトム層金属面上に形成された酸化物を還元することができるH2含有還元ガスを高周波により活性化する工程の後に、コンタクトビアの内側面に-NH2または-NH結合を形成する工程は高周波により活性化された少なくとも窒素ガス及び水素ガスを含むプラズマまたはラジカルを使用する、ところの方法。
9)項目8)に記載の金属配線構造を形成するための方法であって、窒素の分圧は10から30%の範囲である、ところの方法。
10)項目1)から4)のいずれかに記載の金属配線構造を形成するための方法であって、トレンチのボトムで露出したボトム層金属面上に形成された酸化物を還元することができるH2含有還元ガスを高周波により活性化する工程の後に、コンタクトビアの内側面に-NH2または-NH結合を形成する工程は高周波により活性化された少なくともNH3を含むプラズマまたはラジカルを使用する、ところの方法。
11)項目1)から4)のいずれかに記載の金属配線構造を形成するための方法であって、絶縁膜は、SiO2、SiOC、SiC、窒化シリコン及び炭素膜のひとつまたはそれ以上により構成される、ところの方法。
12)項目1)から11)のいずれかに記載の金属配線構造を形成するための方法であって、導体膜は、W、TaまたはTiのいずれか、及び少なくとも窒素から成る、ところの方法。
13)項目1)から11)のいずれかに記載の金属配線構造を形成するための方法であって、導体膜は、少なくともW、TaまたはTiのいずれか、窒素及び炭素を含む、ところの方法。
14)項目1)から13)のいずれかに記載の金属配線構造を形成するための方法であって、第2金属膜はRuまたはTa膜である、ところの方法。
15)項目14)に記載の金属配線構造を形成するための方法であって、Ru膜はRu材料ガスの供給及びパージ、及び、第1反応ガスの供給及びパージを繰り返すことにより形成される、ところの方法。
16)項目15)に記載の金属配線構造を形成するための方法であって、第1反応ガスは水素及び窒素の少なくとも混合ガスを含む、ところの方法。
17)項目15)に記載の金属配線構造を形成するための方法であって、第1反応ガスは少なくともアンモニアを含む、ところの方法。
18)項目15)から17)のいずれかに記載の金属配線構造を形成するための方法であって、第1反応ガスを含むガスは高周波プラズマにより活性化される、ところの方法。
19)項目13)に記載の金属配線構造を形成するための方法であって、TaまたはRu膜はPVD法により形成される、ところの方法。
20)項目1)から19)のいずれかに記載の金属配線構造を形成するための方法であって、銅は第2金属膜上に形成される、ところの方法。
21)項目20)に記載の金属配線構造を形成するための方法であって、銅はPVD法、CVD法、電気めっき、または無電解めっきにより形成される、ところの方法。
22)項目1)から21)のいずれかに記載の金属配線構造を形成するための方法であって、さらに、還元雰囲気中で絶縁層の表面にアミド結合または-NH2若しくは-NH結合を形成する工程と、還元ガスを供給し、該還元ガスをパージし、ハロゲン化金属分子を供給し、該ハロゲン化金属分子をパージし、成分原子として窒素原子及び水素原子を含むアミノ基導入ガスを導入し、該アミノ基導入ガスをパージし、還元ガス、ハロゲン化金属分子及びハロゲン置換窒化ガスの導入及びパージを繰返し、少なくとも適応可能な金属及び窒素を含む導体薄膜を形成する工程と、第2金属膜を形成する工程と、を含み、これらすべての工程は真空中で連続して実行されるところの方法。
23)項目22)に記載の金属配線構造を形成するための方法であって、第2金属膜上に銅を形成する工程も真空中で連続して実行される、ところの方法。
24)半導体エレメント上に金属配線構造を形成するための方法であって、半導体エレメント基板上に形成された絶縁層の表面上にアミド結合または-NH2若しくは-NH結合を形成する工程の後に、第1還元ガスを導入し、該第1還元ガスをパージし、ハロゲン化金属分子を供給し、該ハロゲン化金属分子をパージし、成分原子としてN原子及びH原子を含む第2還元ガスを導入し、該第2還元ガスをパージし、第1還元ガス、ハロゲン化金属分子及び第2還元ガスの導入及びパージを繰返し、少なくとも適用可能な金属及び窒素を含む導体薄膜を形成する工程と、導体膜の頂上にRu膜を形成しボトム電極を形成する工程と、ボトム電極上に極めて薄い絶縁膜を形成する工程と、トップ電極を形成する工程と、から成る。
25)項目24)に記載の半導体エレメント上に金属配線構造を形成するための方法であって、第1還元ガスは、ジボラン、アルキルボラン、ジシラン、モノシラン及びアルキルシランから選択される化合物である、ところの方法。
26)項目24)に記載の金属配線構造を形成するための方法であって、金属はW、TaまたはTiのいずれかであるハロゲン化金属分子から成る、ところの方法。
27)項目24)に記載の金属配線構造を形成するための方法であって、成分原子として窒素原子及び水素原子を含む第2還元ガスはNH3である、ところの方法。
28)項目24)から27)のいずれかに記載の半導体エレメント上に金属配線構造を形成するための方法であって、コンタクトビアの内側面に-NH2または-NH結合を形成する工程は、高周波により活性化された少なくとも窒素ガス及び水素ガスを含むプラズマまたはラジカルを使用する、ところの方法。
29)項目24)から27)のいずれかに記載の半導体エレメント上に金属配線構造を形成するための方法であって、コンタクトビアの内側面に-NH2または-NH結合を形成する工程は、高周波により活性化された少なくともNH3ガスを含むプラズマまたはラジカルを使用する、ところの方法。
30)項目24)から27)のいずれかに記載の半導体エレメント上に金属配線構造を形成するための方法であって、高周波によりH2含有還元ガスを活性化する工程または同等のラジカル工程の後に、コンタクトビアの内側面に-NH2または-NH結合を形成する工程は、高周波により活性化された少なくとも窒素ガス及び水素ガスを含むプラズマまたはラジカルを使用する、ところの方法。
31)項目24)から27)のいずれかに記載の半導体エレメント上に金属配線構造を形成するための方法であって、高周波によりH2含有還元ガスを活性化する工程または同等のラジカル工程の後に、コンタクトビアの内側面に-NH2または-NH結合を形成する工程は、高周波により活性化された少なくともNH3ガスを含むプラズマまたはラジカルを使用する、ところの方法。
32)項目21)から31)のいずれかに記載の半導体エレメント上に金属配線構造を形成するための方法であって、絶縁膜は、SiO2、SiOC、SiC、窒化シリコン及び炭素膜のひとつまたはそれ以上により構成される、ところの方法。
33)項目24)から32)のいずれかに記載の半導体エレメント上に金属配線構造を形成するための方法であって、導体膜は、W、TaまたはTiのいずれかから成る、ところの方法。
34)項目24)から32)のいずれかに記載の半導体エレメント上に金属配線構造を形成するための方法であって、導体膜は、W、Ta、またはTiのいずれか及び少なくとも窒素から成る、ところの方法。
35)項目24)から32)のいずれかに記載の半導体エレメント上に金属配線構造を形成するための方法であって、導体膜は、少なくともW、Ta、またはTiのいずれか、並びに窒素及び炭素から成る、ところの方法。
36)項目24)に記載の半導体エレメント上に金属配線構造を形成するための方法であって、Ru膜は、Ru材料ガスの供給及びパージ、及び還元ガスの供給及びパージを繰り返すことにより形成される、ところの方法。
37)項目36)に記載の半導体エレメント上に金属配線構造を形成するための方法であって、還元ガスは、水素及び窒素の少なくとも混合ガスを含む、ところの方法。
38)項目36)に記載の半導体エレメント上に金属配線構造を形成するための方法であって、還元ガスは少なくともアンモニウムを含む、ところの方法。
39)項目36)から38)のいずれかに記載の半導体エレメント上に金属配線構造を形成するための方法であって、還元ガスを含むガスは高周波プラズマにより活性化される、ところの方法。
40)項目1)から項目39)のいずれかに記載の半導体エレメント上に金属配線構造を形成するための方法であり、絶縁層が多孔質であり気孔を有するところの方法であって、さらに、工程(ii)の前に、(a)絶縁層の露出面上の気孔をブロックするための気孔シール層を形成する工程と、(b)配線層の露出面上に形成された気孔シール層の一部を除去する工程であって、工程(ii)においてその上にN及びHを含むターミナルが導入される絶縁層の露出面は、気孔シール層の表面及び配線層の露出面から成る、ところの工程とを含む。
41)項目40)に記載の半導体エレメント上に金属配線構造を形成するための方法であって、気孔シール層は、SiC、SiOC、窒化シリコン、アモルファスカーボン、及びSiO2から成るグループから選択される材料により構成される、ところの方法。
42)項目41)に記載の半導体エレメント上に金属配線構造を形成するための方法であって、絶縁層の露出面は少なくともひとつのトレンチを形成し、配線層の露出面はトレンチのボトムに形成される、ところの方法。
本発明の思想から離れることなく、さまざまな修正が可能であることは当業者の知るところである。したがって、本発明の形式は例示に過ぎず、本発明の態様を限定するものではない。
Claims (37)
- 金属含有バリア層を含む金属配線構造を形成するべく、金属含有バリア層を製造するための方法であって、
(i)露出した配線層及び露出した絶縁層を含む多層構造を反応空間内に与える工程と、
(ii)還元雰囲気中で、絶縁層の少なくとも露出面上にNを含むターミナルを導入する工程と、
(iii)導入したターミナルを還元するよう反応空間へ還元剤を導入し、その後反応空間をパージする工程と、
(iv)導入した還元剤を置換するべく反応空間へハロゲン化金属化合物を導入し、その後反応空間をパージする工程と、
(v)導入したハロゲン化金属により形成されたN含有ターミナルを表面に与えるべくN含有ガスを導入し、その後反応空間をパージする工程と、
から成る方法。 - 請求項1に記載の方法であって、工程(ii)において、N含有ターミナルは-NH2または>NHターミナルである、ところの方法。
- 請求項1に記載の方法であって、さらに、
(vi)金属含有バリア層を製造するべく工程(iii)から工程(v)を連続して繰り返す工程と、
(vii)金属含有バリア層上に金属膜を形成する工程であって、前記金属膜は配線層を構成する金属以外の金属から成るところの工程と、
を含む方法。 - 請求項1に記載の方法であって、さらに、工程(ii)の前に、配線層の露出面上に形成された酸化膜を還元するべく、H2を含む還元ガスのプラズマまたはラジカルを反応空間へ導入する工程を含む方法。
- 請求項4に記載の方法であって、工程(ii)は、配線層の露出面及び絶縁層の露出面を、励起したNH3、励起したNH2、または励起したN2/H2若しくはN2H2を含むプラズマまたはラジカルにより処理する工程から成る、ところの方法。
- 請求項1に記載の方法であって、工程(ii)は、配線層の露出面及び絶縁層の露出面を、励起したNH3、励起したNH2、または励起したN2/H2を含むプラズマまたはラジカルにより処理する工程から成る、ところの方法。
- 請求項6に記載の方法であって、工程(ii)は、N2の分圧が5%〜50%であるところのN2及びH2を含むガスから誘導された励起N2/H2を含むプラズマまたはラジカルを使用する、ところの方法。
- 請求項7に記載の方法であって、絶縁層はSiOCにより構成される、ところの方法。
- 請求項1に記載の方法であって、絶縁層は、シリコンカーバイド、Nドープシリコンカーバイド、窒化シリコン、酸化シリコン、Cドープ酸化シリコン、及び有機シリコンで作られた絶縁材料から成るグループから選択される材料により構成される、ところの方法。
- 請求項1に記載の方法であって、工程(iii)から(v)は原子層蒸着を実行する、ところの方法。
- 請求項1に記載の方法であって、工程(iii)の還元剤は、ジボラン、アルキルボラン、ジシラン、モノシラン、及びアルキルシランから成るグループから選択される、ところの方法。
- 請求項1に記載の方法であって、工程(iv)のハロゲン化金属は、W、Ta及びTiから成るグループから選択された金属を含む、ところの方法。
- 請求項1に記載の方法であって、工程(v)のN及びHを含むガスはNH3である、ところの方法。
- 請求項1に記載の方法であって、金属含有バリア層は少なくとも金属、炭素及び窒素により構成される、ところの方法。
- 請求項3に記載の方法であって、金属膜はRu膜またはTa膜である、ところの方法。
- 請求項3に記載の方法であって、工程(vii)は、
(a)Ruソースガスを導入する工程と、
(b)反応空間をパージする工程と、
(c)H及びNを含むガスを導入する工程と、
(d)反応空間をパージする工程と、
(e)工程(a)から(d)を繰り返す工程と、
から成る、ところの方法。 - 請求項16に記載の方法であって、H及びNを含むガスはNH3またはN2及びH2の混合ガスである、ところの方法。
- 請求項16に記載の方法であって、ガスはプラズマにより励起される、ところの方法。
- 請求項3に記載の方法であって、さらに、(viii)金属膜上に銅層を形成する工程を含む方法。
- 請求項3に記載の方法であって、工程(ii)、工程(iii)から(vi)及び工程(vii)は、それぞれの反応空間内で実行され、真空を損なうことなく連続して実行される、ところの方法。
- 請求項19に記載の方法であって、工程(ii)、工程(iii)から(vi)、工程(vii)及び工程(viii)は、それぞれの反応空間内で実行され、真空を損なうことなく連続して実行される、ところの方法。
- 請求項1に記載の方法であって、工程(iii)は、還元剤により-NH2または>NHを還元するよう実行され、それにより-NH-Aまたは>N-Aが与えられ、ここでAは還元剤から誘導される、ところの方法。
- 請求項22に記載の方法であって、工程(iv)はAをハロゲン化金属化合物と置換するよう実行され、それにより-NH-Mまたは>N-Mが与えられ、ここでMはハロゲン化金属化合物から誘導される、ところの方法。
- 請求項23に記載の方法であって、工程(v)は-NH2または>NHをM中のハロゲンと置換するよう実行され、それにより-NH-M’-Trまたは>N-M’-Trが与えられ、ここでM’はMから誘導され、Trは-NH2または>NHである、ところの方法。
- 請求項1に記載の方法であって、配線層は銅から作られている、ところの方法。
- 請求項1に記載の方法であって、絶縁層は多孔質で気孔を有し、さらに、工程(ii)の前に、
絶縁層の少なくとも露出面上の気孔をブロックするよう気孔シール層を形成する工程と、
配線層の露出面上に形成された気孔シール層の一部を除去する工程であって、工程(ii)においてその上にNを含むターミナルが導入される絶縁層の露出面が気孔シール層の表面及び配線層の露出面から成る、ところの工程と、
を含む方法。 - 請求項26に記載の方法であって、気孔シール層は、SiC、SiOC、窒化シリコン、アモルファスカーボン及びSiO2から成るグループから選択される材料により構成される、ところの方法。
- 請求項26に記載の方法であって、絶縁層の露出面は少なくともひとつのトレンチを形成し、配線層の露出面はトレンチのボトムに形成される、ところの方法。
- 請求項19に記載の方法であり、絶縁層が多孔質であり気孔を有するところの方法であって、さらに、工程(ii)の前に、
絶縁層の少なくとも露出面上に気孔をブロックする気孔シール層を形成する工程と、
配線層の露出面上に形成された気孔シール層の一部を除去する工程であって、工程(ii)においてその上にNを含むターミナルが導入される絶縁層の露出面が気孔シール層の表面及び配線層の露出面から成り、ここで、絶縁層の露出面は少なくともひとつのトレンチを形成し、配線層の露出面はトレンチのボトムで形成される、ところの工程を含み、
当該方法はさらに、工程(viii)に続いて、金属膜で被覆されたトレンチ内に充填された相互接続銅線を形成するべく化学的機械的研磨(CMP)により銅層を平坦化する工程を含む、方法。 - キャパシタを製造するための方法であって、
請求項1に記載の金属配線構造を形成する工程であって、金属膜は下部電極として機能するところの工程と、
金属配線構造上に絶縁薄膜を形成する工程と、
絶縁薄膜上に上部電極を形成する工程と、
から成る方法。 - 請求項30に記載の方法であって、金属膜はRu膜である、ところの方法。
- 金属含有バリア層を含む金属配線構造を形成するべく、金属含有バリア層を製造するための方法であって、
(i)露出した配線層及び露出した絶縁層を含む多層構造を与える工程と、
(ii)還元雰囲気中で、絶縁層の少なくとも露出面上に-NH2または>NHを導入する工程と、
(iii)-NH-Aまたは>N-Aを与えるべく還元剤により-NH2または>NHを還元する工程であって、ここでAは還元剤から誘導されるところの工程と、
(iv) -NH-Mまたは>N-Mを与えるべく、ハロゲン化金属化合物をAと置換する工程であって、Mはハロゲン化金属化合物から誘導されるところの工程と、
(v) -NH-M’-Trまたは>N-M’-Trを与えるべく、-NH2または>NHをM内のハロゲンと置換する工程であって、M’はMから誘導され、Trは-NH2または>NHであるところの工程と、
から成る方法。 - 請求項32に記載の方法であって、さらに、
(vi)金属含有バリア層を製造するべく工程(iii)から工程(v)を繰り返す工程と、
(vii)金属含有バリア層上に金属膜を形成する工程であって、前記金属膜は配線層を構成する金属以外の金属から成るところの工程と、
を含む方法。 - 請求項32に記載の方法であり、絶縁層は多孔質で気孔を有するところの方法であって、さらに、工程(ii)の前に、
絶縁層の少なくとも露出面上の気孔をブロックするべく気孔シール層を形成する工程と、
配線層の露出面上に形成された気孔シール層の一部を除去する工程であって、工程(ii)においてその上に-NH2または>NHが与えられる絶縁層の露出面は、気孔シール層の表面及び配線層の露出面から成るところの工程と、
を含む方法。 - 金属含有バリア層を含む多層構造を形成するべく、金属含有バリア層を製造するための方法であって、
(i)絶縁層を反応空間内に与える工程と、
(ii)還元雰囲気中で、絶縁層の少なくとも露出面上に、-NH2または>NHターミナルを導入する工程と、
(iii)還元剤を反応空間へ導入し、その後反応空間をパージする工程と、
(iv)ハロゲン化金属化合物を反応空間へ導入し、その後反応空間をパージする工程と、
(v)N及びHを含むガスを導入し、その後反応空間をパージする工程と、
から成る方法。 - 請求項35に記載の方法であって、さらに、
(vi)金属含有バリア層を製造するべく、工程(iii)から(v)を連続して繰り返す工程と、
(vii)金属含有バリア層上に金属膜を形成する工程と、
を含む方法。 - 請求項35に記載の方法であり、絶縁層は多孔質で気孔を有するところの方法であって、さらに、工程(ii)の前に、
絶縁層の少なくとも露出面上の気孔をブロックするよう気孔シール層を形成する工程と、
配線層の露出面上に形成された気孔シール層の一部を除去する工程であって、工程(ii)においてその上に-NH2または>NHターミナルが導入される絶縁層の露出面は、気孔シール層の表面及び配線層の露出面から成る、ところの工程と、
を含む方法。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US11/245,908 US20070082130A1 (en) | 2005-10-07 | 2005-10-07 | Method for foming metal wiring structure |
US11/367,177 US7785658B2 (en) | 2005-10-07 | 2006-03-03 | Method for forming metal wiring structure |
Publications (1)
Publication Number | Publication Date |
---|---|
JP2007103950A true JP2007103950A (ja) | 2007-04-19 |
Family
ID=38030509
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2006273586A Pending JP2007103950A (ja) | 2005-10-07 | 2006-10-05 | 金属配線構造の形成方法 |
Country Status (3)
Country | Link |
---|---|
US (1) | US7785658B2 (ja) |
JP (1) | JP2007103950A (ja) |
KR (1) | KR20070038906A (ja) |
Cited By (6)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2010526441A (ja) * | 2007-05-04 | 2010-07-29 | マイクロン テクノロジー, インク. | タングステンディジット線、その形成方法及び動作方法 |
JP2011510517A (ja) * | 2008-01-22 | 2011-03-31 | 東京エレクトロン株式会社 | 半導体デバイスのCuメタライゼーションへ選択的低温Ru堆積を統合する方法 |
WO2013111592A1 (ja) * | 2012-01-25 | 2013-08-01 | 東京エレクトロン株式会社 | 半導体装置の製造方法 |
KR20160048665A (ko) * | 2014-10-25 | 2016-05-04 | 램 리써치 코포레이션 | 선택적인 배리어 증착을 활용하는 인터레벨 도전체 사전-충진 |
KR20210013633A (ko) * | 2012-10-23 | 2021-02-04 | 램 리써치 코포레이션 | 서브-포화된 원자층 증착 및 등각막 증착 |
US12040181B2 (en) | 2019-05-01 | 2024-07-16 | Lam Research Corporation | Modulated atomic layer deposition |
Families Citing this family (393)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7494927B2 (en) | 2000-05-15 | 2009-02-24 | Asm International N.V. | Method of growing electrical conductors |
TW200524018A (en) * | 2003-11-20 | 2005-07-16 | Ulvac Inc | Method of cleaning surface of semiconductor substrate, method of manufacturing film, method of manufacturing semiconductor device and semiconductor device |
US7666773B2 (en) | 2005-03-15 | 2010-02-23 | Asm International N.V. | Selective deposition of noble metal thin films |
US8025922B2 (en) * | 2005-03-15 | 2011-09-27 | Asm International N.V. | Enhanced deposition of noble metals |
JP4455381B2 (ja) * | 2005-03-28 | 2010-04-21 | 住友電工デバイス・イノベーション株式会社 | 半導体装置およびその製造方法、容量素子およびその製造方法、並びにmis型半導体装置およびその製造方法。 |
US20070014919A1 (en) * | 2005-07-15 | 2007-01-18 | Jani Hamalainen | Atomic layer deposition of noble metal oxides |
US7727912B2 (en) * | 2006-03-20 | 2010-06-01 | Tokyo Electron Limited | Method of light enhanced atomic layer deposition |
JP2007311610A (ja) * | 2006-05-19 | 2007-11-29 | Elpida Memory Inc | 半導体装置、及び、その製造方法 |
US20070278682A1 (en) * | 2006-05-31 | 2007-12-06 | Chung-Chi Ko | Self-assembled mono-layer liner for cu/porous low-k interconnections |
US7435484B2 (en) * | 2006-09-01 | 2008-10-14 | Asm Japan K.K. | Ruthenium thin film-formed structure |
US7466027B2 (en) * | 2006-09-13 | 2008-12-16 | Taiwan Semiconductor Manufacturing Co., Ltd. | Interconnect structures with surfaces roughness improving liner and methods for fabricating the same |
US20080081464A1 (en) * | 2006-09-29 | 2008-04-03 | Tokyo Electron Limited | Method of integrated substrated processing using a hot filament hydrogen radical souce |
US20080078325A1 (en) * | 2006-09-29 | 2008-04-03 | Tokyo Electron Limited | Processing system containing a hot filament hydrogen radical source for integrated substrate processing |
US20080124484A1 (en) * | 2006-11-08 | 2008-05-29 | Asm Japan K.K. | Method of forming ru film and metal wiring structure |
US7829454B2 (en) * | 2007-09-11 | 2010-11-09 | Tokyo Electron Limited | Method for integrating selective ruthenium deposition into manufacturing of a semiconductior device |
KR101544198B1 (ko) | 2007-10-17 | 2015-08-12 | 한국에이에스엠지니텍 주식회사 | 루테늄 막 형성 방법 |
US7655564B2 (en) | 2007-12-12 | 2010-02-02 | Asm Japan, K.K. | Method for forming Ta-Ru liner layer for Cu wiring |
KR20090067505A (ko) * | 2007-12-21 | 2009-06-25 | 에이에스엠지니텍코리아 주식회사 | 루테늄막 증착 방법 |
US7799674B2 (en) * | 2008-02-19 | 2010-09-21 | Asm Japan K.K. | Ruthenium alloy film for copper interconnects |
US8124528B2 (en) | 2008-04-10 | 2012-02-28 | Micron Technology, Inc. | Method for forming a ruthenium film |
US7799681B2 (en) | 2008-07-15 | 2010-09-21 | Tokyo Electron Limited | Method for forming a ruthenium metal cap layer |
US8084104B2 (en) | 2008-08-29 | 2011-12-27 | Asm Japan K.K. | Atomic composition controlled ruthenium alloy film formed by plasma-enhanced atomic layer deposition |
US20100081274A1 (en) * | 2008-09-29 | 2010-04-01 | Tokyo Electron Limited | Method for forming ruthenium metal cap layers |
US8133555B2 (en) | 2008-10-14 | 2012-03-13 | Asm Japan K.K. | Method for forming metal film by ALD using beta-diketone metal complex |
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
US9379011B2 (en) | 2008-12-19 | 2016-06-28 | Asm International N.V. | Methods for depositing nickel films and for making nickel silicide and nickel germanide |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
US20110020546A1 (en) * | 2009-05-15 | 2011-01-27 | Asm International N.V. | Low Temperature ALD of Noble Metals |
US8329569B2 (en) | 2009-07-31 | 2012-12-11 | Asm America, Inc. | Deposition of ruthenium or ruthenium dioxide |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
US8871617B2 (en) | 2011-04-22 | 2014-10-28 | Asm Ip Holding B.V. | Deposition and reduction of mixed metal oxide thin films |
US9312155B2 (en) | 2011-06-06 | 2016-04-12 | Asm Japan K.K. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US9793148B2 (en) | 2011-06-22 | 2017-10-17 | Asm Japan K.K. | Method for positioning wafers in multiple wafer transport |
US10364496B2 (en) | 2011-06-27 | 2019-07-30 | Asm Ip Holding B.V. | Dual section module having shared and unshared mass flow controllers |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
US8946830B2 (en) | 2012-04-04 | 2015-02-03 | Asm Ip Holdings B.V. | Metal oxide protective layer for a semiconductor device |
US9349392B1 (en) | 2012-05-24 | 2016-05-24 | Western Digital (Fremont), Llc | Methods for improving adhesion on dielectric substrates |
US9558931B2 (en) | 2012-07-27 | 2017-01-31 | Asm Ip Holding B.V. | System and method for gas-phase sulfur passivation of a semiconductor surface |
US9659799B2 (en) | 2012-08-28 | 2017-05-23 | Asm Ip Holding B.V. | Systems and methods for dynamic semiconductor process scheduling |
US9021985B2 (en) | 2012-09-12 | 2015-05-05 | Asm Ip Holdings B.V. | Process gas management for an inductively-coupled plasma deposition reactor |
US8711518B1 (en) | 2012-09-27 | 2014-04-29 | Western Digital (Fremont), Llc | System and method for deposition in high aspect ratio magnetic writer heads |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
US9640416B2 (en) | 2012-12-26 | 2017-05-02 | Asm Ip Holding B.V. | Single-and dual-chamber module-attachable wafer-handling chamber |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
US9589770B2 (en) | 2013-03-08 | 2017-03-07 | Asm Ip Holding B.V. | Method and systems for in-situ formation of intermediate reactive species |
US9484191B2 (en) | 2013-03-08 | 2016-11-01 | Asm Ip Holding B.V. | Pulsed remote plasma method and system |
US9824881B2 (en) | 2013-03-14 | 2017-11-21 | Asm Ip Holding B.V. | Si precursors for deposition of SiN at low temperatures |
US9564309B2 (en) | 2013-03-14 | 2017-02-07 | Asm Ip Holding B.V. | Si precursors for deposition of SiN at low temperatures |
US8993054B2 (en) | 2013-07-12 | 2015-03-31 | Asm Ip Holding B.V. | Method and system to reduce outgassing in a reaction chamber |
US9018111B2 (en) | 2013-07-22 | 2015-04-28 | Asm Ip Holding B.V. | Semiconductor reaction chamber with plasma capabilities |
US9793115B2 (en) | 2013-08-14 | 2017-10-17 | Asm Ip Holding B.V. | Structures and devices including germanium-tin films and methods of forming same |
US9240412B2 (en) | 2013-09-27 | 2016-01-19 | Asm Ip Holding B.V. | Semiconductor structure and device and methods of forming same using selective epitaxial process |
US9556516B2 (en) | 2013-10-09 | 2017-01-31 | ASM IP Holding B.V | Method for forming Ti-containing film by PEALD using TDMAT or TDEAT |
US9605343B2 (en) | 2013-11-13 | 2017-03-28 | Asm Ip Holding B.V. | Method for forming conformal carbon films, structures conformal carbon film, and system of forming same |
US10179947B2 (en) | 2013-11-26 | 2019-01-15 | Asm Ip Holding B.V. | Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US9447498B2 (en) | 2014-03-18 | 2016-09-20 | Asm Ip Holding B.V. | Method for performing uniform processing in gas system-sharing multiple reaction chambers |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9543180B2 (en) | 2014-08-01 | 2017-01-10 | Asm Ip Holding B.V. | Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US9576792B2 (en) | 2014-09-17 | 2017-02-21 | Asm Ip Holding B.V. | Deposition of SiN |
US9478414B2 (en) * | 2014-09-26 | 2016-10-25 | Asm Ip Holding B.V. | Method for hydrophobization of surface of silicon-containing film by ALD |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
KR102300403B1 (ko) | 2014-11-19 | 2021-09-09 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
JP2016100419A (ja) * | 2014-11-19 | 2016-05-30 | ルネサスエレクトロニクス株式会社 | 半導体装置およびその製造方法 |
KR102263121B1 (ko) | 2014-12-22 | 2021-06-09 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 및 그 제조 방법 |
US9478415B2 (en) | 2015-02-13 | 2016-10-25 | Asm Ip Holding B.V. | Method for forming film having low resistance and shallow junction depth |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US10043661B2 (en) | 2015-07-13 | 2018-08-07 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US9899291B2 (en) | 2015-07-13 | 2018-02-20 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US10083836B2 (en) | 2015-07-24 | 2018-09-25 | Asm Ip Holding B.V. | Formation of boron-doped titanium metal films with high work function |
US9385318B1 (en) * | 2015-07-28 | 2016-07-05 | Lam Research Corporation | Method to integrate a halide-containing ALD film on sensitive materials |
US10087525B2 (en) | 2015-08-04 | 2018-10-02 | Asm Ip Holding B.V. | Variable gap hard stop design |
US9647114B2 (en) | 2015-08-14 | 2017-05-09 | Asm Ip Holding B.V. | Methods of forming highly p-type doped germanium tin films and structures and devices including the films |
US10410857B2 (en) | 2015-08-24 | 2019-09-10 | Asm Ip Holding B.V. | Formation of SiN thin films |
US9711345B2 (en) | 2015-08-25 | 2017-07-18 | Asm Ip Holding B.V. | Method for forming aluminum nitride-based film by PEALD |
US9887160B2 (en) * | 2015-09-24 | 2018-02-06 | International Business Machines Corporation | Multiple pre-clean processes for interconnect fabrication |
US9960072B2 (en) | 2015-09-29 | 2018-05-01 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
US9607842B1 (en) | 2015-10-02 | 2017-03-28 | Asm Ip Holding B.V. | Methods of forming metal silicides |
US9909214B2 (en) | 2015-10-15 | 2018-03-06 | Asm Ip Holding B.V. | Method for depositing dielectric film in trenches by PEALD |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US10322384B2 (en) | 2015-11-09 | 2019-06-18 | Asm Ip Holding B.V. | Counter flow mixer for process chamber |
US9455138B1 (en) | 2015-11-10 | 2016-09-27 | Asm Ip Holding B.V. | Method for forming dielectric film in trenches by PEALD using H-containing gas |
US10121655B2 (en) | 2015-11-20 | 2018-11-06 | Applied Materials, Inc. | Lateral plasma/radical source |
US9905420B2 (en) | 2015-12-01 | 2018-02-27 | Asm Ip Holding B.V. | Methods of forming silicon germanium tin films and structures and devices including the films |
US9607837B1 (en) | 2015-12-21 | 2017-03-28 | Asm Ip Holding B.V. | Method for forming silicon oxide cap layer for solid state diffusion process |
US9735024B2 (en) | 2015-12-28 | 2017-08-15 | Asm Ip Holding B.V. | Method of atomic layer etching using functional group-containing fluorocarbon |
US9627221B1 (en) | 2015-12-28 | 2017-04-18 | Asm Ip Holding B.V. | Continuous process incorporating atomic layer etching |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US9754779B1 (en) | 2016-02-19 | 2017-09-05 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US10501866B2 (en) | 2016-03-09 | 2019-12-10 | Asm Ip Holding B.V. | Gas distribution apparatus for improved film uniformity in an epitaxial system |
US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
US9892913B2 (en) | 2016-03-24 | 2018-02-13 | Asm Ip Holding B.V. | Radial and thickness control via biased multi-port injection settings |
US10087522B2 (en) | 2016-04-21 | 2018-10-02 | Asm Ip Holding B.V. | Deposition of metal borides |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
KR102592471B1 (ko) | 2016-05-17 | 2023-10-20 | 에이에스엠 아이피 홀딩 비.브이. | 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법 |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US9793135B1 (en) | 2016-07-14 | 2017-10-17 | ASM IP Holding B.V | Method of cyclic dry etching using etchant film |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
KR102354490B1 (ko) | 2016-07-27 | 2022-01-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10177025B2 (en) | 2016-07-28 | 2019-01-08 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
KR102532607B1 (ko) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 가공 장치 및 그 동작 방법 |
US10090316B2 (en) | 2016-09-01 | 2018-10-02 | Asm Ip Holding B.V. | 3D stacked multilayer semiconductor memory using doped select transistor channel |
US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
KR20180068582A (ko) | 2016-12-14 | 2018-06-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US9916980B1 (en) | 2016-12-15 | 2018-03-13 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
KR102700194B1 (ko) | 2016-12-19 | 2024-08-28 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10283353B2 (en) | 2017-03-29 | 2019-05-07 | Asm Ip Holding B.V. | Method of reforming insulating film deposited on substrate with recess pattern |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
US10103040B1 (en) | 2017-03-31 | 2018-10-16 | Asm Ip Holding B.V. | Apparatus and method for manufacturing a semiconductor device |
USD830981S1 (en) | 2017-04-07 | 2018-10-16 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate processing apparatus |
KR102457289B1 (ko) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US12040200B2 (en) | 2017-06-20 | 2024-07-16 | Asm Ip Holding B.V. | Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10312055B2 (en) | 2017-07-26 | 2019-06-04 | Asm Ip Holding B.V. | Method of depositing film by PEALD using negative bias |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10236177B1 (en) | 2017-08-22 | 2019-03-19 | ASM IP Holding B.V.. | Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
KR102491945B1 (ko) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
KR102401446B1 (ko) | 2017-08-31 | 2022-05-24 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
KR102630301B1 (ko) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치 |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
KR102443047B1 (ko) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 방법 및 그에 의해 제조된 장치 |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
CN111344522B (zh) | 2017-11-27 | 2022-04-12 | 阿斯莫Ip控股公司 | 包括洁净迷你环境的装置 |
KR102597978B1 (ko) | 2017-11-27 | 2023-11-06 | 에이에스엠 아이피 홀딩 비.브이. | 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치 |
US10290508B1 (en) | 2017-12-05 | 2019-05-14 | Asm Ip Holding B.V. | Method for forming vertical spacers for spacer-defined patterning |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
TWI799494B (zh) | 2018-01-19 | 2023-04-21 | 荷蘭商Asm 智慧財產控股公司 | 沈積方法 |
CN111630203A (zh) | 2018-01-19 | 2020-09-04 | Asm Ip私人控股有限公司 | 通过等离子体辅助沉积来沉积间隙填充层的方法 |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US11685991B2 (en) | 2018-02-14 | 2023-06-27 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
KR102501472B1 (ko) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US10580645B2 (en) * | 2018-04-30 | 2020-03-03 | Asm Ip Holding B.V. | Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors |
TWI811348B (zh) | 2018-05-08 | 2023-08-11 | 荷蘭商Asm 智慧財產控股公司 | 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構 |
US12025484B2 (en) | 2018-05-08 | 2024-07-02 | Asm Ip Holding B.V. | Thin film forming method |
KR20190129718A (ko) | 2018-05-11 | 2019-11-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조 |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
US10741442B2 (en) | 2018-05-31 | 2020-08-11 | Taiwan Semiconductor Manufacturing Company, Ltd. | Barrier layer formation for conductive feature |
TWI840362B (zh) | 2018-06-04 | 2024-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 水氣降低的晶圓處置腔室 |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
TWI815915B (zh) | 2018-06-27 | 2023-09-21 | 荷蘭商Asm Ip私人控股有限公司 | 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法 |
JP2021529254A (ja) | 2018-06-27 | 2021-10-28 | エーエスエム・アイピー・ホールディング・ベー・フェー | 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法 |
KR102686758B1 (ko) | 2018-06-29 | 2024-07-18 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
KR102707956B1 (ko) | 2018-09-11 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US10847410B2 (en) | 2018-09-13 | 2020-11-24 | Taiwan Semiconductor Manufacturing Co., Ltd. | Ruthenium-containing semiconductor structure and method of manufacturing the same |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
KR20200038184A (ko) | 2018-10-01 | 2020-04-10 | 에이에스엠 아이피 홀딩 비.브이. | 기판 유지 장치, 장치를 포함하는 시스템, 및 이를 이용하는 방법 |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
KR102605121B1 (ko) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (ko) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US12040199B2 (en) | 2018-11-28 | 2024-07-16 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
TW202037745A (zh) | 2018-12-14 | 2020-10-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成裝置結構之方法、其所形成之結構及施行其之系統 |
TW202405220A (zh) | 2019-01-17 | 2024-02-01 | 荷蘭商Asm Ip 私人控股有限公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
KR20200091543A (ko) | 2019-01-22 | 2020-07-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
CN111524788B (zh) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | 氧化硅的拓扑选择性膜形成的方法 |
TW202044325A (zh) | 2019-02-20 | 2020-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備 |
KR102626263B1 (ko) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
US11482533B2 (en) | 2019-02-20 | 2022-10-25 | Asm Ip Holding B.V. | Apparatus and methods for plug fill deposition in 3-D NAND applications |
TWI845607B (zh) | 2019-02-20 | 2024-06-21 | 荷蘭商Asm Ip私人控股有限公司 | 用來填充形成於基材表面內之凹部的循環沉積方法及設備 |
TWI842826B (zh) | 2019-02-22 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備及處理基材之方法 |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
KR20200108248A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOCN 층을 포함한 구조체 및 이의 형성 방법 |
KR20200108243A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 층을 포함한 구조체 및 이의 형성 방법 |
JP2020167398A (ja) | 2019-03-28 | 2020-10-08 | エーエスエム・アイピー・ホールディング・ベー・フェー | ドアオープナーおよびドアオープナーが提供される基材処理装置 |
KR20200116855A (ko) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
US11447864B2 (en) | 2019-04-19 | 2022-09-20 | Asm Ip Holding B.V. | Layer forming method and apparatus |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
KR20200130118A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
JP2020188254A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
JP2020188255A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141002A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
JP7499079B2 (ja) | 2019-07-09 | 2024-06-13 | エーエスエム・アイピー・ホールディング・ベー・フェー | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
TWI839544B (zh) | 2019-07-19 | 2024-04-21 | 荷蘭商Asm Ip私人控股有限公司 | 形成形貌受控的非晶碳聚合物膜之方法 |
KR20210010817A (ko) | 2019-07-19 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법 |
CN112309843A (zh) | 2019-07-29 | 2021-02-02 | Asm Ip私人控股有限公司 | 实现高掺杂剂掺入的选择性沉积方法 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
KR20210018759A (ko) | 2019-08-05 | 2021-02-18 | 에이에스엠 아이피 홀딩 비.브이. | 화학물질 공급원 용기를 위한 액체 레벨 센서 |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
KR20210029090A (ko) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR20210029663A (ko) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
KR20210042810A (ko) | 2019-10-08 | 2021-04-20 | 에이에스엠 아이피 홀딩 비.브이. | 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법 |
TWI846953B (zh) | 2019-10-08 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理裝置 |
TWI846966B (zh) | 2019-10-10 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成光阻底層之方法及包括光阻底層之結構 |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
TWI834919B (zh) | 2019-10-16 | 2024-03-11 | 荷蘭商Asm Ip私人控股有限公司 | 氧化矽之拓撲選擇性膜形成之方法 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
KR20210050453A (ko) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
US11450529B2 (en) | 2019-11-26 | 2022-09-20 | Asm Ip Holding B.V. | Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885693A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
JP7527928B2 (ja) | 2019-12-02 | 2024-08-05 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
TW202125596A (zh) | 2019-12-17 | 2021-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成氮化釩層之方法以及包括該氮化釩層之結構 |
US11227794B2 (en) * | 2019-12-19 | 2022-01-18 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for making self-aligned barrier for metal vias In-Situ during a metal halide pre-clean and associated interconnect structure |
KR20210080214A (ko) | 2019-12-19 | 2021-06-30 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
TW202142733A (zh) | 2020-01-06 | 2021-11-16 | 荷蘭商Asm Ip私人控股有限公司 | 反應器系統、抬升銷、及處理方法 |
JP2021109175A (ja) | 2020-01-06 | 2021-08-02 | エーエスエム・アイピー・ホールディング・ベー・フェー | ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
KR102675856B1 (ko) | 2020-01-20 | 2024-06-17 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 및 박막 표면 개질 방법 |
TW202130846A (zh) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括釩或銦層的結構之方法 |
TW202146882A (zh) | 2020-02-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
TW202203344A (zh) | 2020-02-28 | 2022-01-16 | 荷蘭商Asm Ip控股公司 | 專用於零件清潔的系統 |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
US11876356B2 (en) | 2020-03-11 | 2024-01-16 | Asm Ip Holding B.V. | Lockout tagout assembly and system and method of using same |
KR20210117157A (ko) | 2020-03-12 | 2021-09-28 | 에이에스엠 아이피 홀딩 비.브이. | 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법 |
KR20210124042A (ko) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
TW202146689A (zh) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | 阻障層形成方法及半導體裝置的製造方法 |
TW202145344A (zh) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
KR20210128343A (ko) | 2020-04-15 | 2021-10-26 | 에이에스엠 아이피 홀딩 비.브이. | 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조 |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
KR20210132576A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조 |
TW202146831A (zh) | 2020-04-24 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法 |
KR20210134226A (ko) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
TW202147543A (zh) | 2020-05-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 半導體處理系統 |
KR20210141379A (ko) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
TW202146699A (zh) | 2020-05-15 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統 |
KR20210143653A (ko) | 2020-05-19 | 2021-11-29 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210145078A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
KR102702526B1 (ko) | 2020-05-22 | 2024-09-03 | 에이에스엠 아이피 홀딩 비.브이. | 과산화수소를 사용하여 박막을 증착하기 위한 장치 |
TW202201602A (zh) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202212620A (zh) | 2020-06-02 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法 |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
TW202217953A (zh) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202202649A (zh) | 2020-07-08 | 2022-01-16 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
KR20220010438A (ko) | 2020-07-17 | 2022-01-25 | 에이에스엠 아이피 홀딩 비.브이. | 포토리소그래피에 사용하기 위한 구조체 및 방법 |
TW202204662A (zh) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
US12040177B2 (en) | 2020-08-18 | 2024-07-16 | Asm Ip Holding B.V. | Methods for forming a laminate film by cyclical plasma-enhanced deposition processes |
KR20220027026A (ko) | 2020-08-26 | 2022-03-07 | 에이에스엠 아이피 홀딩 비.브이. | 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템 |
TW202229601A (zh) | 2020-08-27 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統 |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
KR20220045900A (ko) | 2020-10-06 | 2022-04-13 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치 |
CN114293174A (zh) | 2020-10-07 | 2022-04-08 | Asm Ip私人控股有限公司 | 气体供应单元和包括气体供应单元的衬底处理设备 |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
TW202217037A (zh) | 2020-10-22 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 沉積釩金屬的方法、結構、裝置及沉積總成 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
TW202235649A (zh) | 2020-11-24 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 填充間隙之方法與相關之系統及裝置 |
TW202235675A (zh) | 2020-11-30 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 注入器、及基板處理設備 |
KR20220081905A (ko) | 2020-12-09 | 2022-06-16 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 증착용 실리콘 전구체 |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
Family Cites Families (20)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
EP0482194A4 (en) * | 1989-06-26 | 1992-05-06 | Oki Electric Industry Co., Ltd. | Wiring structure of semiconductor chip |
DE4400200C2 (de) * | 1993-01-05 | 1997-09-04 | Toshiba Kawasaki Kk | Halbleitervorrichtung mit verbesserter Verdrahtungsstruktur und Verfahren zu ihrer Herstellung |
JPH0982589A (ja) | 1995-09-18 | 1997-03-28 | Kokusai Electric Co Ltd | 半導体製造装置の処理システム |
EP0841690B1 (en) * | 1996-11-12 | 2006-03-01 | Samsung Electronics Co., Ltd. | Tungsten nitride (WNx) layer manufacturing method and metal wiring manufacturing method |
US6350704B1 (en) * | 1997-10-14 | 2002-02-26 | Micron Technology Inc. | Porous silicon oxycarbide integrated circuit insulator |
US6417013B1 (en) | 1999-01-29 | 2002-07-09 | Plasma-Therm, Inc. | Morphed processing of semiconductor devices |
JP2000286262A (ja) * | 1999-03-30 | 2000-10-13 | Mitsubishi Electric Corp | 半導体装置及びその製造方法 |
US6924226B2 (en) * | 1999-10-02 | 2005-08-02 | Uri Cohen | Methods for making multiple seed layers for metallic interconnects |
US6503330B1 (en) * | 1999-12-22 | 2003-01-07 | Genus, Inc. | Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition |
US6482733B2 (en) | 2000-05-15 | 2002-11-19 | Asm Microchemistry Oy | Protective layers prior to alternating layer deposition |
US6759325B2 (en) * | 2000-05-15 | 2004-07-06 | Asm Microchemistry Oy | Sealing porous structures |
JP3574383B2 (ja) * | 2000-07-31 | 2004-10-06 | 富士通株式会社 | 半導体装置及びその製造方法 |
JP2003142579A (ja) * | 2001-11-07 | 2003-05-16 | Hitachi Ltd | 半導体装置の製造方法および半導体装置 |
KR100422597B1 (ko) | 2001-11-27 | 2004-03-16 | 주식회사 하이닉스반도체 | 다마신 공정에 의해 형성된 캐패시터와 금속배선을 가지는반도체소자 |
US6809026B2 (en) * | 2001-12-21 | 2004-10-26 | Applied Materials, Inc. | Selective deposition of a barrier layer on a metal film |
KR100805843B1 (ko) | 2001-12-28 | 2008-02-21 | 에이에스엠지니텍코리아 주식회사 | 구리 배선 형성방법, 그에 따라 제조된 반도체 소자 및구리 배선 형성 시스템 |
US6812143B2 (en) * | 2002-04-26 | 2004-11-02 | International Business Machines Corporation | Process of forming copper structures |
US7115520B2 (en) | 2003-04-07 | 2006-10-03 | Unaxis Usa, Inc. | Method and apparatus for process control in time division multiplexed (TDM) etch process |
KR100541185B1 (ko) * | 2003-07-28 | 2006-01-11 | 삼성전자주식회사 | 캡핑막을 포함하는 층간절연막 및 이를 포함하는 금속배선형성 방법 |
US7598176B2 (en) * | 2004-09-23 | 2009-10-06 | Taiwan Semiconductor Manufacturing Co. Ltd. | Method for photoresist stripping and treatment of low-k dielectric material |
-
2006
- 2006-03-03 US US11/367,177 patent/US7785658B2/en active Active
- 2006-10-04 KR KR1020060097615A patent/KR20070038906A/ko not_active Application Discontinuation
- 2006-10-05 JP JP2006273586A patent/JP2007103950A/ja active Pending
Cited By (9)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2010526441A (ja) * | 2007-05-04 | 2010-07-29 | マイクロン テクノロジー, インク. | タングステンディジット線、その形成方法及び動作方法 |
JP2011510517A (ja) * | 2008-01-22 | 2011-03-31 | 東京エレクトロン株式会社 | 半導体デバイスのCuメタライゼーションへ選択的低温Ru堆積を統合する方法 |
WO2013111592A1 (ja) * | 2012-01-25 | 2013-08-01 | 東京エレクトロン株式会社 | 半導体装置の製造方法 |
JP2013175702A (ja) * | 2012-01-25 | 2013-09-05 | Tokyo Electron Ltd | 半導体装置の製造方法 |
KR20210013633A (ko) * | 2012-10-23 | 2021-02-04 | 램 리써치 코포레이션 | 서브-포화된 원자층 증착 및 등각막 증착 |
KR102328850B1 (ko) | 2012-10-23 | 2021-11-19 | 램 리써치 코포레이션 | 서브-포화된 원자층 증착 및 등각막 증착 |
KR20160048665A (ko) * | 2014-10-25 | 2016-05-04 | 램 리써치 코포레이션 | 선택적인 배리어 증착을 활용하는 인터레벨 도전체 사전-충진 |
KR102523689B1 (ko) | 2014-10-25 | 2023-04-19 | 램 리써치 코포레이션 | 선택적인 배리어 증착을 활용하는 인터레벨 도전체 사전-충진 |
US12040181B2 (en) | 2019-05-01 | 2024-07-16 | Lam Research Corporation | Modulated atomic layer deposition |
Also Published As
Publication number | Publication date |
---|---|
US7785658B2 (en) | 2010-08-31 |
US20070082132A1 (en) | 2007-04-12 |
KR20070038906A (ko) | 2007-04-11 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP2007103950A (ja) | 金属配線構造の形成方法 | |
JP6980020B2 (ja) | ルテニウムドーピングにより強化される耐コバルト凝集性及び間隙充填作用 | |
TWI450335B (zh) | 在反應室中在基板上沈積釕薄膜之方法及由釕薄膜形成之結構 | |
TWI459508B (zh) | 用於互連的界面覆蓋層 | |
CN110066984B (zh) | 实现无缝钴间隙填充的方法 | |
US6974768B1 (en) | Methods of providing an adhesion layer for adhesion of barrier and/or seed layers to dielectric films | |
TWI528456B (zh) | 於阻障表面上之鈷沉積 | |
TWI645511B (zh) | 用於銅阻障層應用之摻雜的氮化鉭 | |
JP2008124464A (ja) | Ru膜および金属配線構造の形成方法 | |
TWI530580B (zh) | 於銅表面上之選擇性鈷沉積 | |
US8771804B2 (en) | Processes and systems for engineering a copper surface for selective metal deposition | |
JP4974676B2 (ja) | バリア膜の形成方法 | |
TWI436428B (zh) | 釕金屬覆蓋層之形成方法 | |
US20050124154A1 (en) | Method of forming copper interconnections for semiconductor integrated circuits on a substrate | |
CN110649155A (zh) | 半导体结构及其形成方法 | |
US7799681B2 (en) | Method for forming a ruthenium metal cap layer | |
JP2007523994A (ja) | バリヤ物質の原子層堆積 | |
SG174752A1 (en) | Processes and integrated systems for engineering a substrate surface for metal deposition | |
JP2009509322A (ja) | 半導体装置用構造およびその製造方法 | |
US20070082130A1 (en) | Method for foming metal wiring structure | |
US7338903B2 (en) | Sequential reducing plasma and inert plasma pre-treatment method for oxidizable conductor layer | |
JP2006024668A (ja) | 半導体装置の製造方法 | |
US7041582B2 (en) | Method of manufacturing semiconductor device |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
RD04 | Notification of resignation of power of attorney |
Free format text: JAPANESE INTERMEDIATE CODE: A7424 Effective date: 20080331 |