TWI450335B - 在反應室中在基板上沈積釕薄膜之方法及由釕薄膜形成之結構 - Google Patents

在反應室中在基板上沈積釕薄膜之方法及由釕薄膜形成之結構 Download PDF

Info

Publication number
TWI450335B
TWI450335B TW096128199A TW96128199A TWI450335B TW I450335 B TWI450335 B TW I450335B TW 096128199 A TW096128199 A TW 096128199A TW 96128199 A TW96128199 A TW 96128199A TW I450335 B TWI450335 B TW I450335B
Authority
TW
Taiwan
Prior art keywords
film
ruthenium
substrate
gas
reaction chamber
Prior art date
Application number
TW096128199A
Other languages
English (en)
Other versions
TW200814200A (en
Inventor
Hiroshi Shinriki
Hiroaki Inoue
Original Assignee
Asm Japan
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asm Japan filed Critical Asm Japan
Publication of TW200814200A publication Critical patent/TW200814200A/zh
Application granted granted Critical
Publication of TWI450335B publication Critical patent/TWI450335B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1068Formation and after-treatment of conductors
    • H01L2221/1073Barrier, adhesion or liner layers
    • H01L2221/1084Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L2221/1089Stacks of seed layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/12All metal or with adjacent metals
    • Y10T428/12493Composite; i.e., plural, adjacent, spatially distinct metal components [e.g., layers, joint, etc.]
    • Y10T428/12771Transition metal-base component
    • Y10T428/12861Group VIII or IB metal-base component
    • Y10T428/12875Platinum group metal-base component
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/12All metal or with adjacent metals
    • Y10T428/12493Composite; i.e., plural, adjacent, spatially distinct metal components [e.g., layers, joint, etc.]
    • Y10T428/12771Transition metal-base component
    • Y10T428/12861Group VIII or IB metal-base component
    • Y10T428/12903Cu-base component
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/26Web or sheet containing structurally defined element or component, the element or component having a specified physical dimension
    • Y10T428/263Coating layer not in excess of 5 mils thick or equivalent
    • Y10T428/264Up to 3 mils
    • Y10T428/2651 mil or less

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Mechanical Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

在反應室中在基板上沈積釕薄膜之方法及由釕薄膜形成之結構
本發明是有關於一種形成金屬繞線結構之釕(Ru)膜的方法,其可便利地用於製造精細半導體元件。
Cu繞線結構為用於MPU等高速邏輯元件的主流繞線結構,而Ru膜因為能夠在Cu繞線結構中Cu與障壁金屬之界面處形成膜,且Ru膜與Cu之黏著性佳,故可顯著提升繞線之可靠性,因此Ru膜引起高度注意。在作為Cu擴散障壁金屬之TaN膜或WN膜上形成Ru膜以及再於Ru膜上形成Cu膜之方法正受到檢視(參考C-C Yong等人的“Physical,Electrical,and Reliability Characterization of Ru for Cu Interconnects”IITC 2006,第187-189頁中關於Ru/TaN組合之方法之實例)。明確地說,Ru/TaN組成Cu內襯的層狀結構之應用正受到檢視。
如作為Cu內襯膜的Ru/TaN(其正被檢視以用作為Cu繞線內襯)較厚,則Cu繞線電阻會較高,因為較厚之膜導致Cu繞線體積縮小。因此產生了製作盡可能薄的膜的需要。然而,在由銅擴散障壁膜及Ru膜組成之分層結構中,太薄的Ru膜實際上造成連續膜的不易形成,從而導致障壁膜部分暴露。結果有許多問題來自於Cu繞線與障壁膜之界面。相反的,若Ru膜製造地較厚而可形成連續膜,則造成Cu繞線電阻增加。換言之,希望能夠形成連續且薄之Ru膜。而且,當在諸如TaN膜、TaNC膜等之銅 擴散障壁膜上形成Ru膜時,需要在還原狀態中進行,以防止前述障壁膜氧化。
根據US2006/0177601A,可藉由供應含有含環戊二烯基之配位體之Ru材料及使用被高頻波活化之NH3氣體的處理步驟,在還原狀態中形成Ru膜。
雖然WNC膜是極佳的銅擴散障壁膜,但形成Ru/WNC分層結構使Ru膜較薄,從而使Ru膜實際上為不連續膜且因此導致WNC膜容易部分暴露。發明者利用US2006/0177601A中所揭露之製程在WNC膜上形成3nm之Ru膜,在此之後,與電鍍層一起形成銅種子層,繼之以對繞線之CMP製程。結果,因為Ru膜不連續,位於其下的WNC膜被蝕刻,且Ru膜剝落。除非Ru膜具有一定厚度(大致3至4nm),否則Ru膜不容易形成連續膜。
在一實施例中,本發明提供一種在反應室中在基板上沈積釕(Ru)薄膜之方法,其包含:(i)將釕前驅體之氣體供應至所述反應室中,使得所述釕前驅體之所述氣體被吸附至所述基板上,所述釕前驅體為含有非環狀二烯基之釕錯合物;(ii)將受激還原氣體供應至所述反應室中以活化吸附至所述基板上之所述釕前驅體;及(iii)重複步驟(i)及步驟(ii),藉此在所述基板上形成釕薄膜。
上述實施例包括(但不限於)以下實施例:在實施例中,所述釕錯合物可具有Xa-Ru-Xb之結構,其中Xa或Xb中之至少一者為非環狀二烯基。非環狀二烯 基可為非環狀戊二烯基。非環狀戊二烯基可具有至少一C1-2烷基側鏈。非環狀戊二烯基可具有兩個甲基側鏈。
在實施例中,Xa可為非環狀二烯基,且Xb可為環狀二烯基。Xa可為非環狀戊二烯基且Xb可為環戊二烯基。
在其他實施例中,Xa與Xb均可為非環狀戊二烯基。
在實施例中,可藉由施加射頻功率至還原氣體而產生受激還原氣體。所述還原氣體可為氨、氫或氮與氫之混合物。受激還原氣體可為氨或氫電漿。
在實施例中,所述方法可更包含在步驟(i)之後自所述反應室淨化所述釕前驅體氣體及在步驟(ii)之後自所述反應室淨化所述受激還原氣體。
在實施例中,可重複步驟(i)及步驟(ii)以形成具有不少於0.5nm但不大於2.0nm之厚度的釕薄膜。亦可重複步驟(i)及步驟(ii)以形成具有不少於0.5nm但不大於2.0nm之厚度的釕薄膜。仍可將步驟(i)及步驟(ii)重複50次至150次。
在實施例中,所述方法可更包含在步驟(i)至步驟(iii)開始之前提供金屬膜,於該等步驟中所述釕薄膜形成於所述金屬膜上。所述金屬膜可選自由WNC、WN、TaN、Ta、TaNC、TiN、Ti、Cu、Al、Co及Ni組成之群。
在實施例中,在步驟(i)中,所述釕前驅體之所述氣體可為第一氣體,且步驟(i)可更包含將另一釕前驅體之第二氣體與所述第一氣體同時供應至所述反應室中。
在其他實施例中,所述方法可更包含在將步驟(i)及 步驟(ii)重複給定次數之後:(iv)將另一釕前驅體之第二氣體供應至所述反應室中,使得另一釕前驅體之第二氣體被吸附至所述基板上;(v)將受激還原氣體供應至所述反應室中以活化吸附至所述基板上之所述另一釕前驅體;及(vi)重複步驟(iv)及步驟(v),藉此在所述基板上形成釕薄膜。
在實施例中,所述方法可更包含在步驟(iii)之後藉由CVD在所述釕薄膜上形成釕薄膜或氧化釕薄膜。
在實施例中,所述方法可更包含在步驟(iii)之後在所述釕薄膜上形成銅膜。可藉由將銅前驅體之氣體供應至所述基板上形成所述銅膜,其藉由CVD或ALD製造。
在另一實施例中,本發明提供一種由釕薄膜形成之結構,其包含:基板;形成於所述基板上之釕薄膜,所述釕薄膜是連續無針孔的且具有不少於0.5nm但不大於2.0nm之厚度。
可以任意組合來使用所有前述實施例。
上述實例更包括(但不限於)以下實施例。
釕薄膜可由含有非環狀二烯基之釕錯合物形成。基板可具有金屬膜,且釕薄膜可形成於所述金屬膜上。金屬膜可選自由WNC、WN、TaN、Ta、TaNC、TiN、Ti、Cu、Al、Co及Ni組成之群。由釕薄膜形成之結構可更包含在釕薄膜上之銅膜。
可以任意組合使用所有前述實施例。
出於概述本發明及與先前技術相比所達成之優點的目 的,本發明之特定目標及優點已於上文予以描述。當然,應瞭解,所有此等目標或優點未必根據本發明之任意特定實施例來達成。因此,例如,熟習此項技術者應認識到,本發明可以達成或最佳化本文中所教示之一優點或一群優點而不必達成本文中可能教示或建議的其他目標或優點的方式實施或進行。
本發明之其他態樣、特徵及優點將自下文較佳實施例之詳細描述而變得顯而易見。
將參考較佳實施例及圖式解釋本發明。然而,較佳實施例及圖式不欲限制本發明。
本發明之一實施例之特徵為一種形成Ru膜之方法,其包含:將選自具有Ru(XaXb)結構之分子之Ru材料氣體供應至基板上之步驟,其中Xa或Xb中之至少一者為非環狀二烯基;及使用藉由高頻波激發之還原氣體處理所述基板之步驟。此實施例之特徵亦為借助於前述形成方法形成之Ru膜。非環狀二烯基(亦被稱為“線性二烯基”)可具有5員、6員、7員或8員鏈,但在一實施例中,較佳使用5員非環狀戊二烯基。此非環狀戊二烯基可具有結合至其之碳數為1或以上(較佳為2或以下)的側鏈。
在一實施例中,具有Ru(XaXb)分子結構之Ru材料中的前述戊二烯基(其中Xa或Xb中之至少一者為非環狀戊二烯基(亦簡稱為“戊二烯基”))可具有結合至其之碳數為1或以上的側鏈。圖2(a)及圖2(b)所示之材料均具有與 戊二烯基相連之二甲基側鏈。除此側鏈外,亦可結合(例如)乙基或丁基。較佳地,結合至戊二烯基基團之任何烴側鏈具有2或以下之碳數。又,所結合側鏈之數目為1至4或較佳為2或以下。亦可接受不具側鏈之戊二烯基結構。
在一實施例中,戊二烯基為1,3-戊二烯基抑或1,4-戊二烯基。
在US2006/0177601A1中,所述結構限於僅具有環戊二烯基之結構,且禁止任何其他Ru化合物之使用。此是因為,環戊二烯基在化學性質上非常穩定且易於處理。發明者發現,在一些狀況下(諸如當膜形成於WNC膜上時),難以使用具有環狀環戊二烯基(諸如環戊二烯基(Cp)、甲基環戊二烯基(MeCp)、乙基環戊二烯基(EtCp)或異丙基環戊二烯基(i-PrCp))之Ru材料形成具有極小厚度之連續膜。然而,在本發明之一實施例中,可使用具有非環狀二烯基之Ru化合物形成極薄(1nm或以下)但連續之膜。驚人地發現,組合所述Ru材料與還原NH3電漿可形成相當薄的連續膜。舉例而言,雖然使用具有環戊二烯基之Ru材料僅需要3至4nm之厚度以形成連續膜,但在一實施例中,使用具有戊二烯基之Ru材料可形成僅具有約0.6nm之厚度的連續膜。此用以形成連續Ru薄膜之技術對繞線之電阻減小及可靠性改良具有顯著影響,以幫助製造用於未來半導體元件之較細銅繞線,且前述材料與NH3電漿之組合允許實現半導體元件之驚人品質改良。環戊二烯基錯合物易於合成且因此是經濟上可負擔 的,且其亦結構穩定。此等特徵使環戊二烯基錯合物適合於工業生產應用。雖然此等錯合物通常可形成良好的ALD(原子層沈積)膜,但若膜厚度進一步減小,則將出現問題。
圖1(a)展示一實施例中之基本過程。首先,將Ru材料氣體供應至基板上。此時,將Ru材料加熱至大致80℃至120℃之溫度範圍(包括90℃、100℃、110℃及前述各溫度之間的其他溫度),且借助於惰性氣體(諸如Ar)將產生之Ru材料蒸氣引入至反應裝置中。惰性氣體之流動速率可在大致100sccm至700sccm之範圍內(包括200sccm、300sccm、400sccm、500sccm、600sccm及前述各者之間的其他流動速率,或較佳在300sccm與500sccm之間)。為達成Ru材料之最佳蒸氣壓,可將蒸氣壓適當調整至大致0.1至2托之範圍(包括0.5托、1.0托、1.5托及前述各者之間的其他壓力)。在此實施例中,汽化Ru之流動速率是指含有Ru之載氣(惰性氣體)之流動速率,所述載氣用於在上述蒸氣壓下載運藉由汽化材料而產生之Ru材料蒸氣。又,可將供應管適當加熱至130℃或以上之溫度(諸如150℃左右),以防止汽化材料液化。請注意,ALD製程為自飽和製程,且ALD膜可在上述條件下形成於基板上。
接下來,在一實施例中,(使用惰性氣體以1000至3000sccm之流動速率)淨化上述氣體,在此之後,使用被高頻波激發的還原氣體處理Ru膜表面。關於進行此處理之條 件,可基於13.56kHz之高頻波在200至1000W(包括300W、500W、700W及前述各者之間的其他輸出)範圍內之高頻輸出下以在200至700sccm(或較佳300至500sccm)範圍內之流動速率供應NH3氣體。關於惰性氣體,可以在300至2000sccm(或較佳500至1200sccm)範圍內之流動速率下適當供應適合之Ar。壓力條件可調整至在1至3托之間的最佳位準。在一實施例中,前述還原氣體可為NH3、H2、N2與H2之混合物或含有前述氣體中之任一者的混合物氣體。
在一實施例中,重複供應前述Ru材料氣體至基板之步驟及使用藉由高頻波激發之還原氣體處理前述基板之步驟以形成具有不少於0.5nm但不大於2.0nm或較佳不少於0.7nm但不大於1.2nm之厚度的Ru膜。
在一實施例中,將供應前述Ru材料氣體至基板之步驟及使用至少含有藉由高頻波激發之NH3或H2的氣體處理前述基板之頂部表面的步驟重複不少於50次但不大於150次循環或較佳不少於75次循環但不大於100次循環,以形成前述Ru膜。換言之,可藉由重複上述步驟不少於50次循環但不大於150次循環而形成具有不少於0.5nm但不大於2.0nm之厚度的膜。
在一實施例中,如圖1(b)中所描述的,前述Ru膜形成於金屬膜上。前述金屬膜可由WNC、WN、TaN、Ta、TaNC、TiN、Ti、Cu、Al、Co或Ni製成。
接下來,在本發明之一實施例中,可同時將不同於前 述Ru材料氣體之Ru材料氣體供應至基板上。此不同材料可混合有圖2(c)所示之材料(亦即,(Ru(EtCp)2))。混合比率可是使得所述不同材料占大致50%至95%(以流動速率計)。換言之,在一實施例中,可以5%或以上的百分比(包括10%、30%、50%、80%、100%及前述各百分比之間的其他百分比)使用含有至少一非環狀二烯基之Ru錯合物,並且不同材料(詳言之,僅含有環狀二烯基之Ru錯合物)佔據剩餘百分比。舉例而言,可以50%或以上的百分比使用前述不同材料。同時供應不同材料的一個優點為,雖然一種含有戊二烯基之材料可容易地用NH3電漿斷裂以形成Ru核心,但一旦Ru核心已形成,就可促進Ru(EtCp)2之吸附,且因此可單獨用所述不同材料促進Ru形成。亦存在經濟優點:可廉價地生產之Ru(EtCp)2之使用允許以較低成本形成Ru膜。
在一實施例中,將供應前述Ru材料氣體之步驟(此步驟的一次循環由供應Ru材料、淨化、NH3電漿處理及淨化組成)重複指定的循環數(諸如10次循環、20次循環、30次循環、40次循環、50次循環及前述各者之間的其他循環),在此之後,將供應不同Ru材料氣體(諸如僅含有環狀二烯基之Ru錯合物,如Ru(EtCp)2)之步驟(此步驟的一次循環由供應不同Ru材料、淨化、NH3電漿處理及淨化組成)重複指定的循環數(諸如剩餘的90次循環、80次循環、70次循環、60次循環、50次循環及前述各者之間的其他循環,若同前述步驟總計重複100次循 環)。在此狀況下,亦可達成類似上述優點之優點。
在一實施例中,可使用任意Ru材料及氧氣經由化學氣相沈積(CVD)在已形成之前述Ru膜上方層疊Ru膜或氧化釕膜。藉由CVD是形成Ru膜還是氧化釕膜取決於氧的分壓,其中低氧分壓形成Ru膜,而高氧分壓形成RuOx膜。此處,可根據目的形成Ru膜或RuOx膜。然而,歸因於下方存在藉由電漿ALD形成之Ru膜,下伏障壁膜未被氧化。電漿原子層沈積或原子層沈積之一缺點為,當形成厚膜時,緩慢的生長過程降低生產率。另一方面,化學氣相沈積促進快速生長且因此允許在一短時間段內形成厚膜。換言之,可藉由經由ALD形成大致1至2nm之下伏Ru膜且接著在化學氣相沈積製程中形成較厚膜而有效地形成Ru膜。舉例而言,可有效率的藉由CVD形成大致10至20nm之Ru膜或RuOx膜。雖然利用CVD之膜生長速度為利用ALD獲得之膜生長速度的10倍至100倍,但CVD需要氧氣且亦需要使用還原氣體先在下方形成ALD Ru膜。
在前述CVD之一實施例中,以20至100sccm之流動速率供應氧氣,將Ru材料加熱至80℃至100℃之溫度範圍,且以大致300至500sccm之流動速率將惰性氣體(諸如氬氣)供應至反應裝置中。亦可以900至1200sccm之流動速率供應氬氣。基板可適當地保持在300℃至400℃之範圍內的溫度下,以在1至3托之壓力下形成膜。
在一實施例中,銅膜可形成於前述Ru膜上。可借助 於化學氣相沈積或原子層沈積方法藉由供應氣體形式之銅材料分子至真空中而將銅膜形成於前述Ru膜上。可使用Cu(hfac)(tmvs)((三甲基乙烯基矽烷基)(六氟乙醯基丙酮根))作為材料且藉由將基板溫度調整至90℃至200℃之範圍而形成此銅膜。惰性氣體可作為載氣以300至500sccm之流動速率引入。可以大致50至200mg/分鐘之速度適當供應Cu(hfac)(tmvs)。可使用通用汽化器在大致60℃至80℃之範圍內的溫度下導致汽化,且將汽化的銅材料供應給反應裝置。成膜壓力可被適當調整至1至2托之範圍。
在未指定條件及/或結構之本揭露內容中,作為常規實驗,熟習此項技術者可鑒於本揭露內容容易地提供此等條件及/或結構。為此等目的,美國公開案第2006/0177601A1號之揭露內容是以全文引用之方式併入本文中。又,本申請案之受讓人所擁有的美國專利申請案第11/367,177號之揭露內容是以全文引用之方式併入本文中。
現將參考圖式詳細解釋本發明。然而,所述圖式不欲限制本發明。
如上文所解釋的,在本發明之一實施例中,在還原狀態中實施形成Ru膜之過程,使Ru膜可形成於TaN或WNC之銅擴散障壁的障壁金屬上,而不氧化此障壁金屬。如圖1(a)所示,可在還原狀態中藉由重複以下步驟形成Ru膜:將Ru前驅體引入至基板表面;淨化非必要的Ru前驅體;使用藉由施加高頻波至至少含有NH3或H2等之還原氣體而產生的電漿氣體來處理吸附至基板表面之Ru前驅體; 及淨化還原氣體。以此方式,可形成Ru膜,而不氧化由TaN或WNC製成之Cu擴散障壁之頂部表面。
圖1(b)展示使用類似方法在由WNC或TaN製成之金屬膜上形成Ru膜之順序。把焦點放在金屬膜(諸如WNC膜等)上之Ru膜之連續性的評估發現:形成連續膜所需之膜厚度根據形成Ru膜之Ru材料分子之結構而有顯著變化。
圖2(a)展示可用於本發明之一實施例中之Ru前驅體之一實例。此前驅體具有與Ru相連的一個戊二烯基及一個環戊二烯基。在圖2(b)中,兩個戊二烯基與Ru相連。使用此等Ru前驅體,可藉由(例如)重複圖1(a)及圖1(b)所示之Ru材料供應步驟及NH3電漿處理步驟而容易地形成薄但連續之膜。另一方面,若使用如圖2(c)所示之具有與Ru相連的兩個環戊二烯基之Ru前驅體,則連續膜之形成需要比使用圖2(a)及圖2中之Ru前驅體時更厚的Ru膜。推論使用圖2(a)及圖2(b)所示之Ru前驅體,Ru材料之吸附的戊二烯基在基板表面上容易變得不穩定而促進Ru材料分子之吸附。又,在接下來的步驟中,NH3電漿之引入導致戊二烯基容易分離,且在圖2(b)中之Ru前驅體之狀況下,與Ru材料相連的其他基團亦變得不穩定且分離。另一方面,具有兩個環戊二烯基之Ru材料在基板上保持穩定,且因此,即使當在接下來的步驟中引入NH3電漿時,戊二烯基亦緩慢地自Ru材料分離。此產生戊二烯基被部分吸收、分離或尚未分離之區域,其最終使形成 光滑的Ru膜變得困難。因此,吾人發現,可藉由重複引入具有至少一戊二烯基之Ru材料分子之步驟及NH3或H2電漿處理步驟來形成具有僅大致1nm厚度的連續Ru膜。利用圖2(c)所示之前驅體,除非厚度為3nm至4nm,否則難以形成連續膜。
圖3展示可用於實施本發明之薄膜形成裝置之一實例。此薄膜形成裝置允許為加工目標之半導體基板自真空傳送腔室(未說明)傳送至反應室1,且薄膜形成過程可在此反應室1中實施。此反應室包含上蓋2、分散板3、排氣通道4、下部腔室5、基板傳送閘6、排氣口7、基板加熱器8及基板加熱器升/降膜盒9。在已被引入至反應室1中之後,半導體基板被置放於基板加熱器上,且基板加熱器可向上移動以獲得分散板3與基板之間的最佳距離。
又,上蓋被連接至氣體引入管10及氣體引入部分11。反應性氣體被連接至管10,且用於淨化反應性氣體之氮氣或惰性氣體亦連接至管10。管10亦經由閘閥16連接至自由基源12,且可藉由打開閘閥16而引入在自由基源12中所產生的各種類型之自由基氣體。氣體引入部分11連接至氣體分散導引管13,且自氣體引入部分引入之氣體在氣體分散導引管13中擴散並分散。氣體引入部分11亦可具有允許氣體以分散方式引入至氣體分散導引管13中之分散結構。在分散導引管13中擴散之氣體到達分散導引管13與分散板3之間的空間14。狹縫型排氣口17形成於氣體分散導引管13之尖端與分散板3之間,且此狹縫是以圓形 提供於分散導引管13之尖端。數字18為延伸至此排氣狹縫17之空間,且此空間18是由分散導引管13之外壁與上蓋2形成,且延伸至包圍氣體引入部分11之空間。
形成於上蓋2上的是排氣用之凸緣連接口19,其延伸至此空間18且亦延伸至排氣閥20。另一方面,已通過氣體分散導引管13、空間14以及提供於分散板3上之氣體排出口21而最後到達基板加熱台8與分散板3之間的空間22之氣體進一步前進以到達基板15之表面,且接著經由形成於排氣通道4中之環形狹縫23排出並從自狹縫延伸之排氣管24排出。高頻電極被經由25而引入至分散板3以在分散板3與基板加熱台8之間產生電漿。
圖4(a)及圖4(b)展示如何評估Ru膜之連續性。圖4(a)應用於Ru膜不連續之狀況,而圖4(b)應用於Ru膜連續之狀況。在氧化矽膜403上形成WNC膜402,接著形成Ru膜401或401’,在此之後,將獲得之樣本浸泡在可溶解WNC膜之濕式蝕刻溶液404(由氫氯酸及過氧化氫組成之混合酸)中,經由ICP質譜法來量測並量化自WNC膜溶離至濕式蝕刻溶液中之鎢原子(W)405的量。(a)中之示意圖展示當Ru膜401不連續時WNC膜如何經由針孔而被蝕刻之條件。另一方面,在(b)中,若核心密度為高,則膜401'是連續的,在此狀況下,濕式蝕刻溶液不能達到WNC膜且因此在蝕刻溶液中未偵測到自WNC膜溶離之鎢原子。雖然濕式蝕刻溶液為由HCL、H2O2及H2O以1:1:20的比率組成之蝕刻WNC膜以導致溶離的混合酸,但此溶 液不蝕刻Ru膜。因此,若Ru膜不連續,則下伏WNC膜被蝕刻且WNC膜之組份溶離。因此,可藉由經由ICP質譜法量測蝕刻溶液來偵測W之量。
圖5展示當使用圖2(a)、圖2(b)或圖2(c)所示之Ru材料時,Ru生長速度對循環數之依賴性。成膜條件將稍後在實例2中加以解釋。關於循環數,在實例2下之表2中所示之步驟被定義為組成一個循環,且計數此循環被重複多少次。圖2(a)、2(b)及2(c)中之Ru材料的醞釀期循環數(對應於藉由推斷厚度與循環數之比例關係而獲得之厚度零點)分別為37次循環、30次循環及54次循環。
圖6展示圖5中形成之Ru膜之循環數與使用圖4中所說明之方法獲得的W之偵測量的關係。此處,收集率指偵測到的W原子之實際量與藉由假設所有W原子已溶離至蝕刻溶液中而獲得的Ru膜下之WNC膜中之總W原子之百分比。“100%”指示全部被蝕刻,而“1%”指示已偵測到對應於總W原子之1%的W之量。利用圖2(a)及2(b)中之Ru前驅體,在50次循環之後形成近乎完美的連續膜。另一方面,利用圖2(c)中之Ru前驅體,經過200次循環仍不形成連續膜。
圖7展示將前述過程應用於雙鑲嵌Cu繞線製程之過程流程。
圖7(a)至圖7(d)為展示半導體元件之繞線結構的示意橫截面圖,提供其以解釋符合本發明之一實施例的形成雙鑲嵌銅繞線結構之過程。明確地說,此等圖解釋使用ALD 方法以金屬障壁膜來內襯雙鑲嵌結構中之溝渠及接觸孔的整個表面、繼而形成Ru膜及銅層的過程。
圖7(a)說明在金屬障壁層形成之前的雙鑲嵌結構。介電質擴散障壁202形成於導電配線層201上,且底部絕緣層203形成於介電質擴散障壁202之頂部上,而蝕刻終止層204形成於底部絕緣層203上。頂部絕緣層205形成於蝕刻終止層204之頂部上。蝕刻終止層204用於形成具有所要繞線圖案之溝渠208。溝渠208是在蝕刻遮罩層級上被蝕刻且形成於兩個絕緣層205、203之間。蝕刻終止層204是在頂部絕緣層205形成之前被圖案化且蝕刻,且組成一硬式遮罩,所述遮罩清楚地界定自溝渠208之底部延伸的接觸孔之所要水平尺寸。在包含硬式遮罩之蝕刻終止層204被蝕刻掉的區域中,開通自溝渠208之底部連接至下部導電配線層201之接觸孔207。數字206指示了在平滑化步驟中化學機械研磨終止於的層。
圖7(b)說明本發明之一實施例中之預處理過程。此過程包含移除形成於接觸孔207之底部上的銅繞線表面上之氧化物及預處理暴露在鑲嵌結構中的層間絕緣膜203、205之表面(例如,藉由以800W之RF輸出引入H2/He氣體30秒,且接著以300W之RF輸出引入H2/H2/N2混合物氣體60秒)。此使鑲嵌結構中之層間絕緣膜之表面以-NH及-NH2鍵終止。僅藉由使用NH3氣體之簡單加熱處理難以達成此終止。然而,當NH3是使用高頻波活化時,表面可使用NH及NH2鍵來終止,就如同使用電漿活化之 H2/H2/N2混合物氣體時一樣。
關於引入至SiO2、SiOC或SiO等之表面的胺基,若相對於表面上之原子的配位數N為1,則為三配位原子之N與表面上的原子結合,且在表面上形成-NH2末端。若配位數為2,則在表面上形成-NH末端。換言之,在本發明之一實施例中希望之表面末端結構為-NH2或-NH。如下文所解釋的,如圖4所示,認為TEB氣體及其他還原氣體是以經此-NH2鍵或-NH鍵中之H取代的形式被吸附,且因此,需要表面上存在-NH2或-NH。舉例而言,在Si-NH-Si或SiONHOSi之狀況下,-NH出現。在圖1(b)中,-NHx中之“x”表示1或2。
在一實施例中,如圖7(b)所示,胺基至表面之引入不僅出現在低介電常數膜之表面上,而且出現在通路之底部上的金屬配線層之表面上。
若將SiOC低介電常數膜(其將廣泛用於下一代元件上)用作為圖7(a)所示之絕緣膜205、203,則SiOC膜中之含碳側鏈(諸如為烷基之甲基鏈)是藉由具有NH3氣體之高頻電漿蝕刻,且因此,SiOC膜中之CH3、C2H5及其他烷基損失。此有時導致接觸孔207變形成桶形。若懷疑高頻電漿對絕緣膜205、203有損害,則使用具有H2/He/N2氣體之高頻電漿可減少對SiOC膜之負面效應。在一實施例中,H2/He/N2中之氮分壓為5%至50%,或更佳為10%至30%。關於RF輸出頻率,其可調整為13.56MHz(通常為2MHz或以上,但不超過60MHz)。除He外,亦可 使用Ar及其他惰性氣體。在一實施例中,所述過程條件可設定如下:在以上解釋中,“電漿”是指藉由(例如)在噴淋頭與基板所置放於的加熱台之間施加13.56kHz之高頻RF波而產生的平行板電漿。換言之,基板存在於電漿產生環境中。因此,此過程受電漿中產生之具有短壽命之活性物質(諸如離子型活性物質)影響。同時,存在一種方法,藉此電漿在遠離基板的地方產生(使用遠端電漿裝置),且在活化分子中,具有長壽命之中性分子被輸送至基板且用於表面處理中。此被稱為自由基過程。換言之,“自由基”是指與處於與電子為穩定之常態(基態)相比的電子激發態之分子。雖然自由基不是離子,但是其具有活化及反應性。在本發明之一實施例中,電漿與自由基可互換使用。熟習此項技術者應能夠自相應的電漿產生條件確定適當的自由基產生條件。
在上文解釋之過程中,胺基是經由電漿引入至表面。難以不使用電漿而用熱學方法引入胺基。舉例而言,僅經由供應NH3難以達成胺基之引入。然而,若使用N2H2氣體(肼)等,則可能不使用電漿而將胺基引入至表面。在一實施例中,使用肼之過程條件可設置如下。相對於總流動速率之肼分壓較佳在10%與50%之間。
在圖7(c)所指示之步驟中,引入TEB(三乙基硼)氣體或其他還原氣體且接著以惰性氣體淨化,在此之後,引入WF6氣體或其他金屬鹵化物且接著以惰性氣體淨化,在 此之後,引入NH3氣體或其他鹵素取代之氮化物氣體且接著以惰性氣體淨化。藉由重複此等引入及淨化,可在鑲嵌結構之表面上形成光滑的WNC膜或含有金屬原子之其他障壁膜(亦稱為金屬障壁膜)209。障壁膜有時被稱為導電膜,但此術語之使用限於強調與絕緣膜之差異的情況。障壁膜並不是總是能導電的。
關於還原氣體,可使用B2H6、烷基硼化合物、SiH4、Si2H6或烷基矽化合物來替代TEB。關於金屬鹵化物,可使用TaF6或TiCl4來替代WF6。結果,含有金屬原子之障壁膜可以TaN、TaCN、WN、TiN或TiCN膜來替代WNC膜。
如上所述,上面形成有上述障壁膜之表面是以胺基封端。藉由重複引入還原氣體、金屬鹵化物且接著引入鹵素取代之氮化物氣體之過程,可形成光滑均勻之障壁膜。美國專利第6,759,325號揭露一種使WF6吸附至形成鑲嵌繞線結構之溝渠及通路的內表面且接著使用TEB或其他還原氣體來還原所述表面之方法。然而,金屬鹵化物前驅體之引入可損害層間絕緣膜或導致滲透至膜中。
在一實施例中,將障壁膜厚度調整為1至5nm之範圍或較佳2至4nm之範圍。
在圖7(d)所說明之步驟中,使用電漿ALD或其他方法在障壁膜209(諸如WNC膜)之頂部上形成第二金屬膜210(諸如Ru膜)。此處,第二金屬膜形成於已在預處理之後形成的金屬障壁膜之頂部上。此膜包含Ru、Ta或提 供與用於繞線之銅膜之良好黏著的其他材料,且作為所謂的膠層或黏接層。換言之,此膜被夾在銅繞線與作為銅擴散障壁之導電膜之間且改良兩者之間的黏著性。
Ru-ALD可藉由電漿ALD方法形成,在所述電漿ALD方法中,交替地供應前述化合物及NH3電漿。由於Ru膜是在還原狀態中形成,因此可產生層壓結構而不氧化WNC膜209。
在一實施例中,將第二金屬膜之厚度調整至1至10nm之範圍或較佳1至3nm之範圍。
圖7(e)展示種子銅211以用銅填充通路/溝渠之步驟。在圖7(f)中,藉由CMP來移除通路/溝渠上方的多餘銅層,且藉由CMP進一步平坦化元件之表面,使得WNC膜209及Ru膜210被自頂部表面移除,藉此形成內連銅繞線212。
在上文中,第一RF功率可具有13MHz至30MHz之頻率,且第二RF功率可具有300kHz至450kHz之頻率。第二RF功率可低於第一RF功率。根據上述條件,可形成具有約2nm至約10nm、較佳約2nm至約5nm之厚度的SiC膜。
圖8(b)為展示可用於本發明之一實施例中之處理裝置之一實例的結構圖。此裝置具有一用以處理不同過程步驟之叢集結構。圖8(a)展示符合本發明之一實施例之流程圖。由點線包圍之步驟在圖8(b)中所說明之裝置中執行。
舉例而言,在圖8(a)中,借助於常壓機器人301將基板自晶匣盒300傳送至真空預抽腔室(load lock chamber) 302,在此之後,借助於提供於中央平臺303上之中央處置器303將基板傳送至預處理模組304以接受以N2/H2/He氣體為主之電漿處理的預處理。接著,將經預處理之基板在真空中傳送至WNC-ALD模組305以接受WNC-ALD處理,且接著在真空中進一步傳送至Ru-ALD模組306以形成Ru-ALD膜。由於WNC膜之表面容易在與大氣接觸時氧化,因此如上文所解釋的,在真空中傳送基板在Ru-ALD膜之形成中極為有效率。
圖9(a)展示反應裝置之結構之一實例,所述反應裝置用於在本發明之一實施例中實施,包含根據本發明建議之方法在WNC上形成Ru膜之步驟及借助於CVD在氧化氣氛中形成Ru膜之步驟的過程。此薄膜形成裝置允許將為加工目標之半導體基板自真空傳送腔室(未說明)經由閘閥142傳送至反應室101中,且薄膜形成過程可在此反應室101中實施。傳送的基板146被置放在基板加熱台102上,且其周圍物可使用分子泵129(TMP)經由閥127抽出。此後,借助於膜盒114升高基板加熱台102,直至達到與噴淋板之最佳距離。結果,供應自噴淋板之反應性氣體被供應至基板146之表面,且接著經由排氣通道103排出。此時,閥131可打開以供應惰性氣體,以防止經由噴淋板104供應之反應性氣體擴散至膜盒114所處之傳送側。
此反應室包含分層結構,其中排氣通道103、噴淋板104及上蓋113被置放在彼此之頂部,且延伸至氣體引入管110之氣體分配噴嘴111(圖9(b))及氣體分散導引管 108位於噴淋板104與上蓋113之間。另外,用於對分散部分抽氣之排氣閥109沿所述氣體分散導引管連接。又,氣體引入部分105、氣體引入閥124及排氣閥132被連接至噴淋板104。亦連接淨化用之惰性氣體引入閥123。此外,氣體引入閥121及淨化用之惰性氣體引入閥120被連接至氣體引入管110。Ru材料氣體是經由閥121引入。而且,形成閥122以便以高流動速率引入惰性氣體。經由閥121引入至氣體引入管110之Ru材料是藉由氣體分配噴嘴111分散且沿所述氣體分散導引管流動,在此之後,Ru材料通過噴淋板104中之排氣孔112且被供應至基板146上。另一方面,經由氣閥124供應之NH3氣體或O2氣體穿過提供於噴淋板104中之氣體引入部分105並擴散至氣體分散腔室107中,且接著經由排氣孔106供應至基板146上。此時,將高頻波施加至噴淋板104以在接地的基板加熱台102與噴淋板104之間激發電漿,以借助於NH3電漿處理基板146之表面。而且,供應至基板146上之氣體是藉由真空泵130經由排氣通道103且通過排氣閥125及壓力控制裝置(APC)126排出。
當淨化了反應性氣體時,經由氣閥120及122引入惰性氣體,同時將排氣閥109打開以排出剩餘Ru材料。此時,雖然剩餘Ru材料亦經由排氣孔112自排氣通道103排出,但設計所述裝置,使得通過閥109之排氣傳導率高至少一個數位,且因此大部分剩餘氣體是經由排氣閥109排出。當淨化了自氣閥124供應之NH3氣體時,類似地, 經由閥123引入惰性氣體,同時將排氣閥132打開以排出剩餘氣體。此時,雖然一些氣體是穿過排氣孔106經由排氣通道103排出,但與排氣孔106之排氣傳導率相比,排氣閥132之較大排氣傳導率導致大部分氣體經由排氣閥132排出。
藉由利用以上解釋之功能,可提供能理想地用於原子層沈積製程(其中反應性Ru材料氣體被交替地供應)或逐層薄膜形成過程之處理裝置。
又,化學氣相沈積可藉由同時供應Ru材料及O2氣體而實施。在此狀況下,經由閥121供應之Ru材料穿過排氣孔112且被供應至基板表面,同時經由閥124供應之O2氣體穿過排氣孔106且被供應至基板表面。當Ru材料與O2借助於化學氣相反應而在基板表面上彼此反應時,形成Ru薄膜。
圖10為說明實例3中所描述之電容器電極之橫截面結構的示意圖。此結構是藉由根據本發明之一實施例在WNxCy膜401上形成Ru膜402且接著借助於化學氣相沈積方法在頂部上沈積Ru膜或RuO2膜而產生。
圖11為展示薄層電阻對ALD-Ru膜之循環數之依賴性的曲線圖,其中薄層是藉由以下步驟產生:以ALD方式使用WF6、NH3或TEB氣體形成之ALD-WNC膜,於其頂部上形成使用根據本發明之一實施例之Ru膜,亦即藉由基於NH3氣體及電漿之ALD形成之ALD-Ru膜,且接著將藉由CVD方式使用相同Ru材料及氧形成之Ru-CVD 膜層疊在頂部上。在此實例中,使用圖2(a)所示之Ru前驅體。
解釋此等實例之特定結構。首先,(根據實例2下之表2所示之成膜條件)藉由電漿ALD形成四種類型之下伏Ru膜。該四種類型對應於25、50、75及100之不同循環數(換言之,分別將由實例2下之表2所示之四個步驟組成之循環重複25次、50次、75次及100次)。在每一如此產生之Ru膜上,藉由化學氣相沈積形成Ru-CVD膜,且形成此膜所需的時間以水平軸展示。此處,當Ru-CVD膜是在氧化氣氛中形成於藉由25次循環電漿ALD所形成之Ru膜上時,若藉由電漿ALD形成之Ru膜不連續,則氧氣會擴散並氧化下伏WNC膜。結果,隨時間自然下降之薄層電阻反而增加。
另一方面,在藉由75次及100次循環電漿ALD形成之Ru膜上藉由化學氣相沈積形成Ru-CVD膜不會使電阻增加,且下伏WNC膜未被氧化。
圖12(a)展示可用於本發明之一實施例中之形成裝置之結構之一實例,其中用於形成Ru膜402之材料不同於用於藉由化學氣相沈積形成Ru膜403之材料。組成圖9(a)所示之結構之相同組件用相同數字指示。與圖9(a)之不同在於,在圖12(a)中提供了材料供應容器138以用於供應第二Ru材料(Ru(C))。此材料供應容器138經由載氣引入閥136連接至載氣供應管線133且亦經由材料供應閥137連接至反應裝置。在此圖中,Ru(A)及Ru(C)是經由相同管 線供應至反應裝置。然而,供應材料的方式不限於此配置,且可將單獨的管線用於將各別材料供應至反應裝置。
下文藉由使用上述圖1至圖12解釋特定實例。
(實例1)
根據圖1(b)中之過程順序,在形成金屬膜且接著使用Ru材料基於氨電漿ALD形成Ru膜之過程中,將藉由ALD形成之WNC膜用作為下伏金屬膜。WNC膜是藉由使用WF6、NH3或TEB(三乙基硼)之過程(在實例2下之表1所示之條件下)形成。Ru-ALD膜是使用圖2(a)、圖2(b)及圖2(c)所示之Ru材料以及圖3所示之形成裝置(在實例2下之表2所示之條件下)形成。比較結果以檢查每一膜的針孔與循環數之關係。
圖5展示Ru膜厚度與循環數之關係。圖2(c)所示之前驅體(在下文中被稱為“前驅體C”)需要57次循環,而圖2(a)所示之前驅體(在下文中被稱為“前驅體A”)及圖2(b)所示之前驅體(在下文中被稱為“前驅體B”)分別需要37次循環及35次循環。圖6展示每一膜的針孔與循環數之關係。經由基於ICP方法而定量分析自晶片(Ru/WNC分層膜是使用圖4中說明之方法形成於所述晶片上)之單位面積溶離之鎢的量,量測溶離鎢與WNC膜中之總鎢含量之百分比。
圖6展示對應於圖2(a)及圖2(b)所示之Ru材料之不同收集率(%)的循環數。利用此等Ru材料,收集率分別在約50次循環及55次循環或更多循環時變為0%。由於在 0%之收集率下鎢不溶離至蝕刻溶液中,因此確定在此等循環時形成連續膜。另一方面,利用圖2(c)所示之Ru材料,需要約200個成膜循環才達成大約0之收集率(%),此時認為鎢不溶離。若使用具有戊二烯基之Ru分子(諸如前驅體A或B),則圖1所示之使用NH3電漿之後續過程可在較少循環中形成連續膜。然而,利用僅具有環戊二烯基之Ru分子(諸如前驅體C)時,連續膜不可能經至少200次循環而形成。
如圖5所示,雖然對於任一種Ru分子而言每一循環之膜生長速度大約相同,但前驅體A、B以及C所需的培養時間分別為不同的37次循環、30次循環及54次循環。當認為所形成之膜為連續時所用的循環數分別為50次循環、50次循環以及200次循環時,達成的膜厚度分別為0.44nm、0.3nm以及3.4nm。因此,利用圖2(a)及圖2(b)所示之材料,若膜厚度為0.5nm或以上,膜就變得充分連續。而且,實務上,半導體元件具有平坦部分及階梯狀部分,且已展示藉由NH3電漿使用Ru材料之過程提供對縱橫比為5的孔之70%之覆蓋率。換言之,若亦考慮元件覆蓋率,則可以0.7nm或以上之厚度達成連續膜。實務上,較佳將膜厚度控制在大致0.7至1.0nm之範圍內。藉由1.0nm或以上之厚度亦可確保高可靠性,因為可達成較高餘量。
另一方面,若使用圖2(c)所示之Ru材料,則最小厚度(低於該厚度時,膜就不再連續)為大致3至4nm,此意謂著實務上需要Ru膜為4nm或更厚。因此,將此Ru 材料使用於實際銅繞線會由於Ru膜之增加厚度而減小銅繞線體積,從而實際上導致較高的繞線電阻。為了防止此問題,需要較薄的Ru膜。由於本發明建議之方法允許使用1nm或較薄的Ru膜,因此銅體積可增加且繞線電阻減小,同時確保銅繞線與Ru之間的良好黏著。
利用具有戊二烯基之Ru材料,如同此實例中所解釋之使用NH3電漿之ALD方法,Ru組份於NH3電漿容易分離,從而增加核心形成密度使的較薄的厚度下就能容易地形成連續膜。另一方面,若Ru材料僅具有環戊二烯基,則即使在NH3電漿下,環戊二烯基亦不容易分離,因此連續膜不容易形成。
(實例2)
此實例展示將本發明應用於使用雙鑲嵌結構(其為最常用的銅繞線結構)之繞線形成過程及所述應用之效應。
圖7展示用以形成雙鑲嵌結構之過程。圖7(a)至圖7(f)展示自雙鑲嵌處理完成之後之情形開始的過程流程。圖8(a)及圖8(b)展示叢集裝置(圖8(b))之結構及此實例中所使用之叢集過程順序(圖8(a))。圖8(b)所示之叢集裝置包含預清洗模組304、WNxCy膜形成模組305及Ru形成模組306,且執行基於連續真空循環之過程,如圖8(a)所示。圖7(a)展示雙鑲嵌處理完成之後之情形。SiOC膜202、層間絕緣膜203、蝕刻終止膜204、層間絕緣膜205及銅擴散防止膜206形成於下層銅繞線201上以產生銅擴散防止層。在此情形下,使用圖8所示之預處理模組304執行ALD 障壁膜形成之前的預處理。圖7(b)展示緊接在預處理之後的情形。此處,還原形成於通路接觸件207之底部上的銅繞線203之表面上的氧化物之步驟與用以穩定組成雙鑲嵌結構中之溝渠208及通路接觸件207上方的層間絕緣膜的202、203、204、205及206之經處理末端之表面的處理同時執行。此處理在表面上形成NH或NH2基團。此表面處理允許在接下來的步驟中能形成平滑的WNxCy膜。在基板表面已經圖8(b)所示之預處理模組304處理之後,基板被傳送至如圖8(b)所示之用於形成WNC-ALD之處理模組305以形成WNC膜。表1展示此處實施之WNC-ALD形成之條件(表中所示之值可在±50%之範圍內加以修改)。
藉由重複前述步驟,可形成3nm之平滑WNC膜209,如圖7(c)所示。接下來在圖7(d)中,使用圖8(b)所示之Ru-ALD模組306形成Ru膜210。此處,使用圖2(a)所示之Ru材料,其中材料是在符合圖1(a)中所說明之順序之NH3電漿過程中加以處理。表2展示Ru-ALD過程條件。 雖然使用800W作為NH3電漿之RF功率,但可在200至1000W之RF功率範圍內形成Ru膜。亦可在250℃至400℃範圍內之形成溫度下達成類似過程。具大致1nm厚度之Ru膜是經100次循環形成(在下表2所示之條件下;表中所示之值可在±50%之範圍內加以修改,且所述過程可以類似方式用其他Ru材料實施)。圖7(e)所示之Cu種子膜211形成於已自圖8(b)所示之裝置傳送的晶圓上,在此之後,如圖7(f)所示,形成鍍銅膜,再經由CMP形成銅繞線212。Cu膜亦可在Ru膜210已形成之後經由鍍Cu而形成,或鍍銅膜可直接形成於Ru膜210上。對於Cu膜211,亦可使用藉由替代PVD之CVD或ALD形成之Cu膜。
如上文所解釋,可藉由連續執行包含表面處理、經由ALD形成WNC/Ru及鍍銅之系列步驟而維持高可靠性。藉由前述方法形成之分層的WNC及Ru膜結構具有4nm之厚度,其小於使用習知障壁金屬時的厚度。結果,獲得較低銅繞線電阻。
(實例3:Ru-CVD/Ru-ALD之實例)
此實例關於實例1或2所示之極薄Ru膜(亦即,用作為Cu膜黏著層之大致1至4nm之Ru膜)。在某些應用(諸如使用Ru膜作為電極之電容器之形成)中,必須形成達到大致10至20nm之厚度範圍的較厚Ru膜。然而,在實例1或2所示之處理中,圖1(a)中所說明之循環必須要重複500次才形成10nm之膜。若一次循環需要3秒完成,則總的循環時間為1500秒,此顯著降低半導體元件之生產率。另一方面,若Ru膜是藉由化學氣相沈積形成,則可使用圖2所示之Ru材料及氧氣形成Ru膜,或可藉由調整氧分壓而形成RuO2膜。
雖然實例1及2所示之結構為Ru膜下之WNxCy膜,但關於一般電容器電極,多晶矽或TiN膜等被置放作為電極之下層。若藉由化學氣相沈積方法形成Ru膜,則前述多晶矽、TiN等之表面被氧化且接觸電阻因此增加。此實例說明如何形成Ru電極及RuO2電極同時抑制此氧化作用。
圖9為展示用於此實例中之Ru膜形成裝置之橫截面圖的結構圖。經由閥121供應Ru前驅體A,而經由閥140或123供應NH3或O2。經由閥122及124供應Ar淨化氣體。
首先,基於實例2下之表2所示之條件藉由原子層沈積方法用NH3電漿形成Ru膜,在此之後,將NH3改換為O2氣體且分別經由噴淋頭中之112及107供應Ru前驅體A及氧氣。由於所述兩種氣體在噴淋頭中不混合,因此所 述氣體在噴淋頭中彼此不反應,而在基板146之表面上反應以形成Ru膜。
圖10為說明橫截面結構的示意圖,在所述結構中,Ru-ALD膜402形成於WNC-ALD膜401上且接著藉由化學氣相沈積方法形成Ru膜403。若Ru膜402不連續且暴露在氧化氣氛中,則下伏WNC膜401被輕易氧化。因此,形成Ru膜402所執行之循環數變為25、50、75及100,且Ru膜403形成於以每一循環數產生之Ru膜上。圖11展示薄層電阻如何隨不同循環數而變化。
若形成Ru膜而WNC膜401不被氧化,則薄層電阻基本上可隨Ru膜403形成時間增加而減小。如圖11所示,當Ru膜402是經過25及50次循環形成時,薄層電阻增加。另一方面,當所述膜是經過75及100次循環形成時,薄層電阻減小。此等結果暗示:Ru膜402是不連續的,且因此下伏WNC膜在最初的50次循環期間被氧化。同時,可防止氧擴散之連續膜很可能是在75次循環之後形成。75次循環之後達成之膜厚度為大致0.7nm,而100次循環之後達成之膜厚度為大致1nm。換言之,當膜厚度達到0.7nm或以上時,可防止氧氣擴散之連續Ru膜已形成。
自上述內容很清楚的是,只要Ru-ALD膜402藉由75次或以上之循環而形成於WNC膜401上以達成0.7nm或以上之膜厚度,即使當Ru膜是藉由使用涉及氧氣之過程的化學氣相沈積形成時,下伏WNC膜亦不被氧化。因此,當藉由使用每分鐘可形成大致5至10nm之Ru膜的化學 氣相沈積方法來形成10至20nm之Ru膜時,將防止氧化之Ru-ALD膜402形成至1nm厚度就已足夠,且Ru膜之剩餘厚度可藉由提供極佳生產率之化學氣相沈積方法形成。此提供可實現優越生產率之技術。
又,如圖12所示,亦可能使用一種裝置,其具有供應圖2(a)所示之Ru材料以形成Ru-ALD膜401之材料容器135,及供應圖2(c)所示之Ru材料以借助於化學氣相沈積形成Ru膜402之材料容器138。詳言之,雖然圖2(c)所示之材料較便宜,但使用圖2(a)所示之材料能達成比使用圖2(c)所示之材料時高的化學氣相沈積中之生長速度。此等因素提供使用所述裝置之實際優點。
如上文所解釋的,可使用本發明之一實施例中之形成Ru膜之方法來形成1nm之連續Ru膜,而使用習知方法要達成具有極小厚度(譬如,1nm左右)之連續膜是困難的。獲得之Ru薄膜不僅具有濕化學抗性,而且防止氧氣在真空中滲透穿過Ru薄膜,且因此甚至在乾燥氣氛中保護下層中之材料。當將此Ru膜用於細Cu繞線中時,若1nm之Ru膜形成於障壁金屬WNC、WN、TaN、TaNC等之頂部上以防止銅擴散,則下伏表面在大氣或真空中或暴露於濕化學品中時均不受損害。此改良了對表面條件敏感之製程(諸如CMP製程(化學機械研磨))的重複性及穩定性,此對細繞線之形成有幫助。
另一方面,若Ru膜或RuO2膜形成作為電極,則根據本發明之一實施例,Ru膜可形成於下伏接觸金屬之表面 上,在此之後,可在氧化氣氛中實施適當過程以形成Ru膜或Ru/RuOx膜而不氧化組成下伏接觸繞線之TiN膜、多晶矽等之表面。換言之,本發明之實施例解決了與習知方法相關聯之氧化問題,且可極有效地應用於Ru膜。
本發明包括上述實施例及其他各種實施例,其包括以下內容:
1)一種形成Ru膜之方法及藉由所述方法形成之Ru膜,其特徵為:形成Ru膜之方法包含將具有Ru(XaXb)分子結構之Ru材料氣體供應至基板上之步驟(其中Xa或Xb中之至少一者為非環狀戊二烯基)及使用藉由高頻波激發之還原氣體處理所述基板之步驟。
2)一種形成Ru膜之方法及藉由如上文1)之所述方法形成之Ru膜,其特徵為:藉由重複供應所述Ru材料氣體給基板之步驟及使用藉由高頻波激發之還原氣體處理所述基板之步驟,形成具有不少於0.5nm但不大於2.0nm或較佳不少於0.7nm但不大於1.2nm之厚度的Ru膜。
3)一種形成Ru薄膜之方法及藉由如上文1)或2)之所述方法形成之Ru膜,其特徵為:Ru膜形成於金屬膜上。
4)一種形成Ru薄膜之方法及藉由如上文3)之所述方法形成之Ru膜,其特徵為:金屬膜由WNC、WN、TaN、Ta、TaNC、TiN、Ti、Cu、Al、Co或Ni製成。
5)一種形成Ru薄膜之方法及藉由如上文1)至4)中任一者之所述方法形成之Ru膜,其特徵為:還原氣體 為NH3、H2或N2與H2之混合物。
6)一種形成Ru膜之方法及藉由如上文1)之所述方法形成之Ru膜,其特徵為:第一Ru膜是藉由將供應Ru材料氣體給基板之步驟及使用至少含有已被高頻波激發之NH3或H2之氣體來處理基板之步驟重複不少於50次循環但不大於150次循環或較佳不少於75次循環但不大於100次循環而形成。
7)一種形成Ru膜之方法及藉由如上文1)至6)中任一者之所述方法形成之Ru膜,其特徵為:同時供應Ru材料氣體與一種不同Ru材料氣體。
8)一種形成Ru膜之方法及藉由如上文1)至6)中任一者之所述方法形成之Ru膜,其特徵為:將供應Ru材料氣體之步驟重複指定次數,且接著將供應不同Ru材料氣體之步驟重複指定次數。
9)一種形成Ru膜之方法及藉由如上文1)至8)中任一者之所述方法形成之Ru膜,其特徵為:戊二烯基具有結合至其的碳數為1或以上之側鏈。
10)一種形成Ru膜之方法及藉由如上文1)至9)中任一者之所述方法形成之Ru膜,其特徵為:Ru膜或氧化釕膜是借助於化學氣相沈積使用所要Ru材料及氧氣而層疊在Ru膜之頂部上。
11)一種形成Ru膜之方法及藉由如上文1)至10)中任一者之所述方法形成之Ru膜,其特徵為:銅膜形成於Ru膜上。
12)一種形成Ru膜之方法及藉由如上文11)之所述方法形成之Ru膜,其特徵為:銅膜是藉由將氣體形式之銅材料分子供應至真空中、借助於化學氣相沈積或原子層沈積方法而形成於Ru膜上。
熟習此項技術者應瞭解,在不脫離本發明之精神的情況下,可進行許多及各種修改。因此,應清楚地瞭解,本發明之形式僅為說明性的且不欲限制本發明之範疇。
1‧‧‧腔室
2‧‧‧上蓋
3‧‧‧分散板
4‧‧‧排氣通道
5‧‧‧下部腔室
6‧‧‧基板傳送閘
7‧‧‧排氣口
8‧‧‧基板加熱器
9‧‧‧基板加熱器升/降膜盒
10‧‧‧氣體引入管
11‧‧‧氣體引入部分
12‧‧‧自由基源
13‧‧‧氣體分散導引管
14‧‧‧氣體分散導引管13與分散板3間之空間
15‧‧‧基板
16‧‧‧自由基源連接閥
17‧‧‧自氣體分散導引管延伸至排氣口之狹縫
18‧‧‧延伸至排氣口之空間
19‧‧‧排氣用連接凸緣
20‧‧‧延伸至噴淋頭中之排氣閥
21‧‧‧提供於分散板3上之氣體排出口
22‧‧‧分散板3與基板間之空間
23‧‧‧環形狹縫
24‧‧‧延伸至環形狹縫之排氣管
25‧‧‧高頻波引入端
26‧‧‧壓力控制部分
27‧‧‧分子泵閘閥
28‧‧‧抽氣閘閥
29‧‧‧分子泵
30‧‧‧乾燥泵
31‧‧‧膜盒淨化氣體引入閥
101‧‧‧腔室
102‧‧‧基板加熱台
103‧‧‧排氣通道
104‧‧‧噴淋板
105‧‧‧至下部氣體分散腔室之氣體引入口
106‧‧‧自下部氣體分散腔室之氣體排出口
107‧‧‧下部氣體分散腔室
108‧‧‧上部氣體分散腔室之氣體導引管
109‧‧‧上部氣體分散腔室之排氣閥
110‧‧‧混合氣體用之中央輸氣管
111‧‧‧氣體分配噴嘴
112‧‧‧自上部氣體分散腔室之氣體排出口
113‧‧‧上蓋板
114‧‧‧基板加熱台升/降膜盒
120‧‧‧Ru材料氣體淨化閥
121‧‧‧Ru材料氣體引入閥
122‧‧‧用於淨化混合氣體用之中央輸氣管的氣體
123‧‧‧材料氣體(NH3或O2)淨化氣體閥
124‧‧‧材料氣體(NH3或O2)引入閥
125‧‧‧排氣側主閥
126‧‧‧壓力控制部分
127‧‧‧分子泵閘閥
128‧‧‧抽氣閘閥
129‧‧‧分子泵
130‧‧‧乾燥泵
131‧‧‧膜盒淨化氣體引入閥
132‧‧‧延伸至分散腔室7之排氣閥
133‧‧‧至Ru(前驅體A)材料容器之載氣引入閥
134‧‧‧自Ru(前驅體A)材料容器之Ru材料供應閥
135‧‧‧Ru(前驅體A)材料容器
136‧‧‧至Ru(前驅體C)材料容器之載氣引入閥
137‧‧‧至Ru(前驅體C)材料容器之Ru材料供應閥
138‧‧‧Ru(前驅體C)材料容器
142‧‧‧閘閥
146‧‧‧基板
201‧‧‧下層銅繞線
202‧‧‧銅擴散防止層
203‧‧‧層間絕緣膜1
204‧‧‧蝕刻終止層
205‧‧‧層間絕緣膜2
206‧‧‧銅擴散防止膜
207‧‧‧孔
208‧‧‧溝渠
209‧‧‧WNxCy膜
210‧‧‧Ru-ALD膜
211‧‧‧Cu種子膜
212‧‧‧銅繞線
300‧‧‧矽基板引入口
301‧‧‧矽基板傳送單元
302‧‧‧真空預抽腔室
303‧‧‧真空傳送腔室
304‧‧‧預先清洗模組
305‧‧‧WNxCy形成模組
306‧‧‧Ru-ALD形成模組
401、401’‧‧‧Ru膜
402‧‧‧WNC膜
403‧‧‧氧化矽膜
404‧‧‧濕式蝕刻溶液
405‧‧‧鎢原子
現將參考意欲說明而非限制本發明之較佳實施例的圖式描述本發明之此等及其他特徵。
圖1(a)為說明在本發明之一實施例之流程圖,重複所述過程以形成Ru膜。
圖1(b)為說明在本發明之一實施例之流程圖,重複所述過程以在金屬膜上形成Ru膜。
圖2(a)為可用於本發明之一實施例中之Ru前驅體之化學式。
圖2(b)為可用於本發明之一實施例中之Ru前驅體之化學式。
圖2(c)為先前技術中所用之Ru前驅體之化學式。
圖3為說明可用於實施本發明之薄膜形成裝置的示意圖。
圖4(a)及圖4(b)為說明用以評估Ru膜之連續性之方法的示意圖,其中圖4(a)所示之Ru膜是不連續的,而圖4(b)所示之Ru膜是連續的。
圖5為展示當使用圖2(a)、圖2(b)或圖2(c)所示之Ru材料時,Ru生長速度對循環數之依賴性之一實例的曲線圖。
圖6為展示當使用圖4中所說明之方法時,圖5中形成之Ru膜所用之成膜循環與自下伏層偵測到的W之量之關係之一實例的曲線圖。
圖7(a)、7(b)、7(c)、7(d)、7(e)以及7(f)提供說明將符合本發明之一實施例之Ru膜形成過程應用於雙鑲嵌Cu繞線製程之過程流程((a)→(b)→(c)→(d)→(e)→(f))的示意圖。
圖8(a)為說明用於本發明之一實施例中的圖7所示之雙鑲嵌Cu繞線製程(預處理→WNxCy膜→Ru膜形成)的圖,而圖8(b)為說明用於實施前述過程之真空循環叢集裝置之一實例的結構圖。
圖9(a)為說明反應裝置之結構之一實例的示意圖,所述反應裝置用以在本發明之一實施例中實施包含在WNC上形成Ru膜之步驟及借助於CVD在氧化氣氛中形成Ru膜之步驟的過程。
圖9(b)為說明可用於圖9(a)所示之裝置中的氣體分配噴嘴之一實例的示意圖。
圖10為說明實例3中所描述之電容器電極之橫截面結構的示意圖。
圖11為展示在本發明之一實施例中薄層電阻對ALD-Ru膜之循環次數之依賴性的曲線圖,其中薄層電阻 與分層薄片有關,所述分層薄片藉由如下步驟獲得:藉由ALD使用WF6、NH3或TEB氣體形成ALD-WNC膜,在此ALD-WNC膜之頂部上形成藉由ALD使用Ru材料及NH3氣體電漿形成之ALD-Ru膜,且接著將藉由CVD使用相同Ru材料及氧氣形成之Ru-CVD膜層疊在頂部上。
圖12(a)為說明可用於本發明之一實施例中之形成裝置之結構之一實例的示意圖,其中用於形成ALD-Ru膜之材料不同於用於藉由CVD形成Ru膜之材料。
圖12(b)為可用於圖12(a)所示之裝置中之氣體分配噴嘴之一實例的示意圖。

Claims (31)

  1. 一種在反應室中在基板上沈積釕(Ru)薄膜之方法,包含:(i)將釕前驅體之氣體供應至所述反應室中,使得所述釕前驅體之所述氣體被吸附至所述基板上,所述釕前驅體為含有非環狀二烯基之釕錯合物;(ii)將受激還原氣體供應至所述反應室中以活化吸附至所述基板上之所述釕前驅體;以及(iii)重複步驟(i)及步驟(ii),藉此在所述基板上形成釕薄膜,其中所述釕薄膜具有不少於0.5nm但不大於2.0nm之厚度。
  2. 如申請專利範圍第1項之在反應室中在基板上沈積釕(Ru)薄膜之方法,其中所述釕錯合物具有Xa-Ru-Xb之結構,其中Xa或Xb中之至少一者為非環狀二烯基。
  3. 如申請專利範圍第2項之在反應室中在基板上沈積釕(Ru)薄膜之方法,其中所述非環狀二烯基為非環狀戊二烯基。
  4. 如申請專利範圍第3項之在反應室中在基板上沈積釕(Ru)薄膜之方法,其中所述非環狀戊二烯基具有至少一C1-2 烷基側鏈。
  5. 如申請專利範圍第4項之在反應室中在基板上沈積釕(Ru)薄膜之方法,其中所述非環狀戊二烯基具有兩個甲基側鏈。
  6. 如申請專利範圍第2項之在反應室中在基板上沈積 釕(Ru)薄膜之方法,其中Xa為非環狀二烯基,且Xb為環狀二烯基。
  7. 如申請專利範圍第6項之在反應室中在基板上沈積釕(Ru)薄膜之方法,其中Xa為非環狀戊二烯基且Xb為環狀戊二烯基。
  8. 如申請專利範圍第2項之在反應室中在基板上沈積釕(Ru)薄膜之方法,其中Xa與Xb均為非環狀戊二烯基。
  9. 如申請專利範圍第1項之在反應室中在基板上沈積釕(Ru)薄膜之方法,其中所述受激還原氣體是藉由施加射頻功率至還原氣體而產生。
  10. 如申請專利範圍第9項之在反應室中在基板上沈積釕(Ru)薄膜之方法,其中所述還原氣體為氨、氫或氮與氫之混合物。
  11. 如申請專利範圍第9項之在反應室中在基板上沈積釕(Ru)薄膜之方法,其中所述受激還原氣體為氨或氫電漿。
  12. 如申請專利範圍第1項之在反應室中在基板上沈積釕(Ru)薄膜之方法,更包含在步驟(i)之後自所述反應室淨化所述釕前驅體氣體及在步驟(ii)之後自所述反應室淨化所述受激還原氣體。
  13. 如申請專利範圍第1項之在反應室中在基板上沈積釕(Ru)薄膜之方法,其中將步驟(i)及步驟(ii)重複50次至150次。
  14. 如申請專利範圍第1項之在反應室中在基板上沈積釕(Ru)薄膜之方法,更包含在步驟(i)至步驟(iii)開始之前提供金屬膜,在所述步驟中所述釕薄膜形成於所述金屬膜上。
  15. 如申請專利範圍第14項之在反應室中在基板上沈積釕(Ru)薄膜之方法,其中所述金屬膜是選自由WNC、WN、TaN、Ta、TaNC、TiN、Ti、Cu、Al、Co以及Ni組成之群。
  16. 如申請專利範圍第1項之在反應室中在基板上沈積釕(Ru)薄膜之方法,其中在步驟(i)中,所述釕前驅體之所述氣體為第一氣體,且步驟(i)更包含將另一釕前驅體之第二氣體與所述第一氣體同時供應至所述反應室中。
  17. 如申請專利範圍第1項之在反應室中在基板上沈積釕(Ru)薄膜之方法,更包含在將步驟(i)及步驟(ii)重複給定次數之後:(iv)將另一釕前驅體之第二氣體供應至所述反應室中,使得另一釕前驅體之所述第二氣體被吸附至所述基板上;(v)將受激還原氣體供應至所述反應室中以活化吸附至所述基板上之另一釕前驅體;以及(vi)重複步驟(iv)及步驟(v),藉此在所述基板上形成釕薄膜。
  18. 如申請專利範圍第1項之在反應室中在基板上沈 積釕(Ru)薄膜之方法,更包含在步驟(iii)之後藉由CVD在所述釕薄膜上形成釕薄膜或氧化釕薄膜。
  19. 如申請專利範圍第1項之在反應室中在基板上沈積釕(Ru)薄膜之方法,更包含在步驟(iii)之後在所述釕薄膜上形成銅膜。
  20. 如申請專利範圍第19項之在反應室中在基板上沈積釕(Ru)薄膜之方法,其中所述銅膜是藉由在所述基板上供應銅前驅體之氣體而形成,其藉由CVD或ALD製成。
  21. 一種由釕薄膜形成之結構,包含:基板;形成於所述基板上之釕薄膜,其中所述釕薄膜具有不少於0.5nm但不大於2.0nm之厚度,且所述釕薄膜為整體實質上無針孔的連續薄膜,以及所述釕薄膜是藉由使用含有非環狀二烯基之釕錯合物進行原子層沈積所形成。
  22. 如申請專利範圍第21項之由釕薄膜形成之結構,其中所述基板具有金屬膜,且所述釕薄膜形成於所述金屬膜上。
  23. 如申請專利範圍第22項之由釕薄膜形成之結構,其中所述金屬膜是選自由WNC、WN、TaN、Ta、TaNC、TiN、Ti、Cu、Al、Co以及Ni組成之群。
  24. 如申請專利範圍第21項之由釕薄膜形成之結構,更包含在所述釕薄膜上之銅膜。
  25. 如申請專利範圍第24項之由釕薄膜形成之結構, 所述銅膜由CVD或濺鍍法製成。
  26. 如申請專利範圍第21項之由釕薄膜形成之結構,其中所述基板具有包括溝渠與孔的表面,以及所述釕薄膜形成於所述表面上。
  27. 如申請專利範圍第23項之由釕薄膜形成之結構,更包括形成與所述釕薄膜接觸並位於所述釕薄膜上的銅膜。
  28. 如申請專利範圍第21項之由釕薄膜形成之結構,其中所述釕錯合物具有Xa-Ru-Xb之結構,其中Xa或Xb中之至少一者為非環狀二烯基。
  29. 如申請專利範圍第28項之由釕薄膜形成之結構,其中所述非環狀二烯基為非環狀戊二烯基。
  30. 如申請專利範圍第29項之由釕薄膜形成之結構,其中所述非環狀戊二烯基具有至少一C1-2 烷基側鏈。
  31. 如申請專利範圍第30項之由釕薄膜形成之結構,其中所述非環狀戊二烯基具有兩個甲基側鏈。
TW096128199A 2006-09-01 2007-08-01 在反應室中在基板上沈積釕薄膜之方法及由釕薄膜形成之結構 TWI450335B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/469,828 US7435484B2 (en) 2006-09-01 2006-09-01 Ruthenium thin film-formed structure

Publications (2)

Publication Number Publication Date
TW200814200A TW200814200A (en) 2008-03-16
TWI450335B true TWI450335B (zh) 2014-08-21

Family

ID=39150364

Family Applications (1)

Application Number Title Priority Date Filing Date
TW096128199A TWI450335B (zh) 2006-09-01 2007-08-01 在反應室中在基板上沈積釕薄膜之方法及由釕薄膜形成之結構

Country Status (4)

Country Link
US (2) US7435484B2 (zh)
JP (1) JP5196915B2 (zh)
KR (1) KR20080020953A (zh)
TW (1) TWI450335B (zh)

Families Citing this family (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7494927B2 (en) 2000-05-15 2009-02-24 Asm International N.V. Method of growing electrical conductors
US7666773B2 (en) 2005-03-15 2010-02-23 Asm International N.V. Selective deposition of noble metal thin films
US8025922B2 (en) 2005-03-15 2011-09-27 Asm International N.V. Enhanced deposition of noble metals
US20070014919A1 (en) * 2005-07-15 2007-01-18 Jani Hamalainen Atomic layer deposition of noble metal oxides
JP2007234719A (ja) * 2006-02-28 2007-09-13 Sanyo Electric Co Ltd 半導体装置およびその製造方法
US7435484B2 (en) * 2006-09-01 2008-10-14 Asm Japan K.K. Ruthenium thin film-formed structure
US7473634B2 (en) * 2006-09-28 2009-01-06 Tokyo Electron Limited Method for integrated substrate processing in copper metallization
US7476615B2 (en) * 2006-11-01 2009-01-13 Intel Corporation Deposition process for iodine-doped ruthenium barrier layers
US20080124484A1 (en) * 2006-11-08 2008-05-29 Asm Japan K.K. Method of forming ru film and metal wiring structure
US20080171436A1 (en) * 2007-01-11 2008-07-17 Asm Genitech Korea Ltd. Methods of depositing a ruthenium film
KR20080071787A (ko) * 2007-01-31 2008-08-05 삼성전자주식회사 금속막 형성방법
WO2008142652A1 (en) * 2007-05-21 2008-11-27 L'air Liquide-Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude New metal precursors for semiconductor applications
US20090087339A1 (en) * 2007-09-28 2009-04-02 Asm Japan K.K. METHOD FOR FORMING RUTHENIUM COMPLEX FILM USING Beta-DIKETONE-COORDINATED RUTHENIUM PRECURSOR
KR101544198B1 (ko) 2007-10-17 2015-08-12 한국에이에스엠지니텍 주식회사 루테늄 막 형성 방법
US7655564B2 (en) 2007-12-12 2010-02-02 Asm Japan, K.K. Method for forming Ta-Ru liner layer for Cu wiring
KR20090067505A (ko) * 2007-12-21 2009-06-25 에이에스엠지니텍코리아 주식회사 루테늄막 증착 방법
US7799674B2 (en) 2008-02-19 2010-09-21 Asm Japan K.K. Ruthenium alloy film for copper interconnects
US7993462B2 (en) 2008-03-19 2011-08-09 Asm Japan K.K. Substrate-supporting device having continuous concavity
DE102008026284A1 (de) * 2008-06-02 2009-12-03 Umicore Ag & Co. Kg Verfahren zur Herstellung von Ruthenium-Dienyl-Komplexen
US7928569B2 (en) * 2008-08-14 2011-04-19 International Business Machines Corporation Redundant barrier structure for interconnect and wiring applications, design structure and method of manufacture
US8084104B2 (en) 2008-08-29 2011-12-27 Asm Japan K.K. Atomic composition controlled ruthenium alloy film formed by plasma-enhanced atomic layer deposition
JP2010059471A (ja) * 2008-09-03 2010-03-18 Ube Ind Ltd ルテニウム微粒子及びその製造法、並びにルテニウム微粒子を下層金属膜とした金属含有薄膜の製造方法
US8133555B2 (en) 2008-10-14 2012-03-13 Asm Japan K.K. Method for forming metal film by ALD using beta-diketone metal complex
US8129270B1 (en) 2008-12-10 2012-03-06 Novellus Systems, Inc. Method for depositing tungsten film having low resistivity, low roughness and high reflectivity
US9379011B2 (en) 2008-12-19 2016-06-28 Asm International N.V. Methods for depositing nickel films and for making nickel silicide and nickel germanide
US20110020546A1 (en) * 2009-05-15 2011-01-27 Asm International N.V. Low Temperature ALD of Noble Metals
US8329569B2 (en) 2009-07-31 2012-12-11 Asm America, Inc. Deposition of ruthenium or ruthenium dioxide
US9548228B2 (en) 2009-08-04 2017-01-17 Lam Research Corporation Void free tungsten fill in different sized features
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
DE102009053889B4 (de) * 2009-11-20 2014-03-27 C. Hafner Gmbh + Co. Kg Verfahren zur Beschichtung einer metallischen Substratoberfläche mit einer durch einen ALD-Prozess aufgebrachten Materialschicht
KR101230951B1 (ko) * 2010-11-30 2013-02-07 에쓰대시오일 주식회사 표면확산유도 원자층 증착법
US8871617B2 (en) 2011-04-22 2014-10-28 Asm Ip Holding B.V. Deposition and reduction of mixed metal oxide thin films
CN110004429B (zh) 2012-03-27 2021-08-31 诺发系统公司 钨特征填充
US20150364772A1 (en) * 2014-05-30 2015-12-17 GM Global Technology Operations LLC Method to prepare alloys of platinum-group metals and early transition metals
US9963782B2 (en) * 2015-02-12 2018-05-08 Asm Ip Holding B.V. Semiconductor manufacturing apparatus
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US9978610B2 (en) 2015-08-21 2018-05-22 Lam Research Corporation Pulsing RF power in etch process to enhance tungsten gapfill performance
US9607842B1 (en) 2015-10-02 2017-03-28 Asm Ip Holding B.V. Methods of forming metal silicides
WO2017143180A1 (en) * 2016-02-19 2017-08-24 Tokyo Electron Limited Ruthenium metal deposition method for electrical connections
US10566211B2 (en) 2016-08-30 2020-02-18 Lam Research Corporation Continuous and pulsed RF plasma for etching metals
KR102410571B1 (ko) 2016-12-09 2022-06-22 에이에스엠 아이피 홀딩 비.브이. 열적 원자층 식각 공정
US10283319B2 (en) 2016-12-22 2019-05-07 Asm Ip Holding B.V. Atomic layer etching processes
US10741442B2 (en) 2018-05-31 2020-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier layer formation for conductive feature
US11371138B2 (en) 2018-11-08 2022-06-28 Entegris, Inc. Chemical vapor deposition processes using ruthenium precursor and reducing gas
CN111286721A (zh) * 2018-12-06 2020-06-16 北京北方华创微电子装备有限公司 一种薄膜沉积方法及设备
CN113169101B (zh) * 2019-01-08 2022-09-30 应用材料公司 用于基板处理腔室的泵送设备与方法
JP2021019201A (ja) * 2019-07-18 2021-02-15 エーエスエム アイピー ホールディング ビー.ブイ. 半導体処理システム用シャワーヘッドデバイス
US11574813B2 (en) 2019-12-10 2023-02-07 Asm Ip Holding B.V. Atomic layer etching
TW202200817A (zh) * 2020-06-17 2022-01-01 美商應用材料股份有限公司 高溫化學氣相沉積蓋
US20220068708A1 (en) * 2020-08-26 2022-03-03 Macom Technology Solutions Holdings, Inc. Atomic layer deposition of barrier metal layer for electrode of gallium nitride material device
KR102642469B1 (ko) * 2021-12-22 2024-03-04 (주)원익머트리얼즈 유기금속 전구체를 이용한 금속 박막 증착 방법

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060171083A1 (en) * 2005-02-01 2006-08-03 Lee Wen-Yaung Enhanced anti-parallel-pinned sensor using thin ruthenium spacer and high magnetic field annealing

Family Cites Families (109)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US124154A (en) * 1872-02-27 Improvement in curtain-fixtures
US152255A (en) * 1874-06-23 Improvement in transplanters
US318417A (en) * 1885-05-19 Beadish j
US124484A (en) * 1872-03-12 Improvement in centrifugal machines for draining sugar
US137608A (en) * 1873-04-08 William guilfoyle
US54472A (en) * 1866-05-01 Improved blacking-brush
US190782A (en) * 1877-05-15 Improvement in rear sights for fire-arms
US87339A (en) * 1869-03-02 Improvement in printers furniture
US4891050A (en) * 1985-11-08 1990-01-02 Fuel Tech, Inc. Gasoline additives and gasoline containing soluble platinum group metal compounds and use in internal combustion engines
JPH0713304B2 (ja) * 1987-12-14 1995-02-15 日立化成工業株式会社 銅の表面処理法
US5382333A (en) * 1990-07-30 1995-01-17 Mitsubishi Gas Chemical Company, Inc. Process for producing copper clad laminate
US5106454A (en) * 1990-11-01 1992-04-21 Shipley Company Inc. Process for multilayer printed circuit board manufacture
US5865365A (en) * 1991-02-19 1999-02-02 Hitachi, Ltd. Method of fabricating an electronic circuit device
US5561082A (en) * 1992-07-31 1996-10-01 Kabushiki Kaisha Toshiba Method for forming an electrode and/or wiring layer by reducing copper oxide or silver oxide
US5391517A (en) * 1993-09-13 1995-02-21 Motorola Inc. Process for forming copper interconnect structure
FI97731C (fi) * 1994-11-28 1997-02-10 Mikrokemia Oy Menetelmä ja laite ohutkalvojen valmistamiseksi
US6228751B1 (en) * 1995-09-08 2001-05-08 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device
JP4063875B2 (ja) * 1995-11-22 2008-03-19 フイルメニツヒ ソシエテ アノニム ルテニウム触媒及びシクロペンテノン類の不斉水素化に於けるその使用
US6015986A (en) * 1995-12-22 2000-01-18 Micron Technology, Inc. Rugged metal electrodes for metal-insulator-metal capacitors
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US6335280B1 (en) * 1997-01-13 2002-01-01 Asm America, Inc. Tungsten silicide deposition process
US6387805B2 (en) * 1997-05-08 2002-05-14 Applied Materials, Inc. Copper alloy seed layer for copper metallization
JPH1154496A (ja) 1997-08-07 1999-02-26 Tokyo Electron Ltd 熱処理装置及びガス処理装置
US6033584A (en) * 1997-12-22 2000-03-07 Advanced Micro Devices, Inc. Process for reducing copper oxide during integrated circuit fabrication
DE19815275B4 (de) * 1998-04-06 2009-06-25 Evonik Degussa Gmbh Alkylidenkomplexe des Rutheniums mit N-heterozyklischen Carbenliganden und deren Verwendung als hochaktive, selektive Katalysatoren für die Olefin-Metathese
US6541067B1 (en) * 1998-08-27 2003-04-01 Micron Technology, Inc. Solvated ruthenium precursors for direct liquid injection of ruthenium and ruthenium oxide and method of using same
US6063705A (en) * 1998-08-27 2000-05-16 Micron Technology, Inc. Precursor chemistries for chemical vapor deposition of ruthenium and ruthenium oxide
US6303500B1 (en) * 1999-02-24 2001-10-16 Micron Technology, Inc. Method and apparatus for electroless plating a contact pad
US20020000665A1 (en) * 1999-04-05 2002-01-03 Alexander L. Barr Semiconductor device conductive bump and interconnect barrier
US6184403B1 (en) * 1999-05-19 2001-02-06 Research Foundation Of State University Of New York MOCVD precursors based on organometalloid ligands
US6171910B1 (en) * 1999-07-21 2001-01-09 Motorola Inc. Method for forming a semiconductor device
US6391785B1 (en) * 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6511539B1 (en) * 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6040243A (en) * 1999-09-20 2000-03-21 Chartered Semiconductor Manufacturing Ltd. Method to form copper damascene interconnects using a reverse barrier metal scheme to eliminate copper diffusion
US6593653B2 (en) * 1999-09-30 2003-07-15 Novellus Systems, Inc. Low leakage current silicon carbonitride prepared using methane, ammonia and silane for copper diffusion barrier, etchstop and passivation applications
US6203613B1 (en) * 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
WO2001045149A1 (en) * 1999-12-15 2001-06-21 Genitech Co., Ltd. Method of forming copper interconnections and thin films using chemical vapor deposition with catalyst
US6842740B1 (en) * 1999-12-20 2005-01-11 Hewlett-Packard Development Company, L.P. Method for providing automatic payment when making duplicates of copyrighted material
US6551399B1 (en) * 2000-01-10 2003-04-22 Genus Inc. Fully integrated process for MIM capacitors using atomic layer deposition
US6777331B2 (en) * 2000-03-07 2004-08-17 Simplus Systems Corporation Multilayered copper structure for improving adhesion property
US7419903B2 (en) * 2000-03-07 2008-09-02 Asm International N.V. Thin films
AU2001245388A1 (en) * 2000-03-07 2001-09-17 Asm America, Inc. Graded thin films
US6380080B2 (en) * 2000-03-08 2002-04-30 Micron Technology, Inc. Methods for preparing ruthenium metal films
JP3979791B2 (ja) * 2000-03-08 2007-09-19 株式会社ルネサステクノロジ 半導体装置およびその製造方法
US20020013487A1 (en) * 2000-04-03 2002-01-31 Norman John Anthony Thomas Volatile precursors for deposition of metals and metal-containing films
US6984591B1 (en) * 2000-04-20 2006-01-10 International Business Machines Corporation Precursor source mixtures
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
US6759325B2 (en) 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
US6878628B2 (en) * 2000-05-15 2005-04-12 Asm International Nv In situ reduction of copper oxide prior to silicon carbide deposition
TW508658B (en) 2000-05-15 2002-11-01 Asm Microchemistry Oy Process for producing integrated circuits
US6679951B2 (en) * 2000-05-15 2004-01-20 Asm Intenational N.V. Metal anneal with oxidation prevention
US7494927B2 (en) * 2000-05-15 2009-02-24 Asm International N.V. Method of growing electrical conductors
JP2002016034A (ja) * 2000-06-30 2002-01-18 Mitsubishi Electric Corp 半導体装置の製造方法、及び半導体装置
US6395650B1 (en) * 2000-10-23 2002-05-28 International Business Machines Corporation Methods for forming metal oxide layers with enhanced purity
JP3598055B2 (ja) * 2000-11-08 2004-12-08 田中貴金属工業株式会社 ビス(アルキルシクロペンタジエニル)ルテニウムの製造方法及びその製造方法により製造されるビス(アルキルシクロペンタジエニル)ルテニウム並びにルテニウム薄膜又はルテニウム化合物薄膜の製造方法
KR100400765B1 (ko) * 2000-11-13 2003-10-08 엘지.필립스 엘시디 주식회사 박막 형성방법 및 이를 적용한 액정표시소자의 제조방법
EP1207387A1 (en) * 2000-11-20 2002-05-22 Institut Curie Multi-photon imaging installation.
JP4333900B2 (ja) * 2000-11-30 2009-09-16 エーエスエム インターナショナル エヌ.ヴェー. 磁気メモリセル、磁気構造体及び磁気素子の製造方法、並びに磁気構造体用金属層の成長方法
US6464779B1 (en) * 2001-01-19 2002-10-15 Novellus Systems, Inc. Copper atomic layer chemical vapor desposition
KR100406534B1 (ko) * 2001-05-03 2003-11-20 주식회사 하이닉스반도체 루테늄 박막의 제조 방법
JP2003068676A (ja) * 2001-08-28 2003-03-07 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び半導体製造装置
JP4517565B2 (ja) * 2001-09-12 2010-08-04 東ソー株式会社 ルテニウム錯体、その製造方法、及び薄膜の製造方法
US20030059535A1 (en) * 2001-09-25 2003-03-27 Lee Luo Cycling deposition of low temperature films in a cold wall single wafer process chamber
KR100422597B1 (ko) 2001-11-27 2004-03-16 주식회사 하이닉스반도체 다마신 공정에 의해 형성된 캐패시터와 금속배선을 가지는반도체소자
KR20030043380A (ko) * 2001-11-28 2003-06-02 주식회사 하이닉스반도체 반도체 소자의 캐패시터 제조방법
KR100805843B1 (ko) 2001-12-28 2008-02-21 에이에스엠지니텍코리아 주식회사 구리 배선 형성방법, 그에 따라 제조된 반도체 소자 및구리 배선 형성 시스템
US6824816B2 (en) * 2002-01-29 2004-11-30 Asm International N.V. Process for producing metal thin films by ALD
JP2004006699A (ja) * 2002-04-25 2004-01-08 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
DE60321271D1 (de) * 2002-06-10 2008-07-10 Imec Inter Uni Micro Electr Transistoren und Speicherkondensatoren enthaltend eine HfO2-Zusammensetzung mit erhöhter Dielektrizitätskonstante
KR100455297B1 (ko) * 2002-06-19 2004-11-06 삼성전자주식회사 무기물 나노튜브 제조방법
US6881260B2 (en) * 2002-06-25 2005-04-19 Micron Technology, Inc. Process for direct deposition of ALD RhO2
US6830983B2 (en) * 2002-08-29 2004-12-14 Micron Technology, Inc. Method of making an oxygen diffusion barrier for semiconductor devices using platinum, rhodium, or iridium stuffed with silicon oxide
KR100474072B1 (ko) * 2002-09-17 2005-03-10 주식회사 하이닉스반도체 귀금속 박막의 형성 방법
AU2003282836A1 (en) * 2002-10-15 2004-05-04 Rensselaer Polytechnic Institute Atomic layer deposition of noble metals
US6706581B1 (en) * 2002-10-29 2004-03-16 Taiwan Semiconductor Manufacturing Company Dual gate dielectric scheme: SiON for high performance devices and high k for low power devices
US6869876B2 (en) * 2002-11-05 2005-03-22 Air Products And Chemicals, Inc. Process for atomic layer deposition of metal films
US6881437B2 (en) * 2003-06-16 2005-04-19 Blue29 Llc Methods and system for processing a microelectronic topography
KR20060079144A (ko) * 2003-06-18 2006-07-05 어플라이드 머티어리얼스, 인코포레이티드 배리어 물질의 원자층 증착
US6939815B2 (en) * 2003-08-28 2005-09-06 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric
US8152922B2 (en) * 2003-08-29 2012-04-10 Asm America, Inc. Gas mixer and manifold assembly for ALD reactor
JP4770145B2 (ja) * 2003-10-07 2011-09-14 東京エレクトロン株式会社 成膜方法及び成膜装置
US20050085031A1 (en) * 2003-10-15 2005-04-21 Applied Materials, Inc. Heterogeneous activation layers formed by ionic and electroless reactions used for IC interconnect capping layers
KR100548999B1 (ko) * 2003-10-28 2006-02-02 삼성전자주식회사 수직으로 연장된 배선간 엠아이엠 커패시터를 갖는로직소자 및 그것을 제조하는 방법
US7618681B2 (en) * 2003-10-28 2009-11-17 Asm International N.V. Process for producing bismuth-containing oxide films
US7341946B2 (en) * 2003-11-10 2008-03-11 Novellus Systems, Inc. Methods for the electrochemical deposition of copper onto a barrier layer of a work piece
KR20060131874A (ko) * 2004-02-04 2006-12-20 프랙스에어 테크놀로지, 인코포레이티드 고밀도 핵생성 유기금속 화합물
US7273526B2 (en) 2004-04-15 2007-09-25 Asm Japan K.K. Thin-film deposition apparatus
US20060013955A1 (en) * 2004-07-09 2006-01-19 Yoshihide Senzaki Deposition of ruthenium and/or ruthenium oxide films
US7300873B2 (en) * 2004-08-13 2007-11-27 Micron Technology, Inc. Systems and methods for forming metal-containing layers using vapor deposition processes
JP2006097044A (ja) * 2004-09-28 2006-04-13 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude 成膜用前駆体、ルテニウム含有膜の成膜方法、ルテニウム膜の成膜方法、ルテニウム酸化物膜の成膜方法およびルテニウム酸塩膜の成膜方法
US20060073276A1 (en) * 2004-10-04 2006-04-06 Eric Antonissen Multi-zone atomic layer deposition apparatus and method
CN101010447B (zh) * 2004-10-15 2010-09-01 株式会社日立国际电气 基板处理装置及半导体装置的制造方法
US7476618B2 (en) * 2004-10-26 2009-01-13 Asm Japan K.K. Selective formation of metal layers in an integrated circuit
JP2006128288A (ja) * 2004-10-27 2006-05-18 Tokyo Electron Ltd 成膜方法、半導体装置の製造方法、半導体装置、プログラムおよび記録媒体
US20060137608A1 (en) 2004-12-28 2006-06-29 Choi Seung W Atomic layer deposition apparatus
US20060177601A1 (en) 2005-02-10 2006-08-10 Hyung-Sang Park Method of forming a ruthenium thin film using a plasma enhanced atomic layer deposition apparatus and the method thereof
US8025922B2 (en) * 2005-03-15 2011-09-27 Asm International N.V. Enhanced deposition of noble metals
US7666773B2 (en) * 2005-03-15 2010-02-23 Asm International N.V. Selective deposition of noble metal thin films
US20070059502A1 (en) * 2005-05-05 2007-03-15 Applied Materials, Inc. Integrated process for sputter deposition of a conductive barrier layer, especially an alloy of ruthenium and tantalum, underlying copper or copper alloy seed layer
US20070014919A1 (en) * 2005-07-15 2007-01-18 Jani Hamalainen Atomic layer deposition of noble metal oxides
US7785658B2 (en) * 2005-10-07 2010-08-31 Asm Japan K.K. Method for forming metal wiring structure
KR101379015B1 (ko) 2006-02-15 2014-03-28 한국에이에스엠지니텍 주식회사 플라즈마 원자층 증착법을 이용한 루테늄 막 증착 방법 및고밀도 루테늄 층
US7435484B2 (en) * 2006-09-01 2008-10-14 Asm Japan K.K. Ruthenium thin film-formed structure
US20080124484A1 (en) * 2006-11-08 2008-05-29 Asm Japan K.K. Method of forming ru film and metal wiring structure
JP5404599B2 (ja) * 2007-04-03 2014-02-05 フイルメニツヒ ソシエテ アノニム Ru錯体を用いたジエンの1,4−水素添加
US20090087339A1 (en) * 2007-09-28 2009-04-02 Asm Japan K.K. METHOD FOR FORMING RUTHENIUM COMPLEX FILM USING Beta-DIKETONE-COORDINATED RUTHENIUM PRECURSOR
KR101544198B1 (ko) * 2007-10-17 2015-08-12 한국에이에스엠지니텍 주식회사 루테늄 막 형성 방법
US7655564B2 (en) * 2007-12-12 2010-02-02 Asm Japan, K.K. Method for forming Ta-Ru liner layer for Cu wiring
US8084104B2 (en) * 2008-08-29 2011-12-27 Asm Japan K.K. Atomic composition controlled ruthenium alloy film formed by plasma-enhanced atomic layer deposition

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060171083A1 (en) * 2005-02-01 2006-08-03 Lee Wen-Yaung Enhanced anti-parallel-pinned sensor using thin ruthenium spacer and high magnetic field annealing

Also Published As

Publication number Publication date
US20080054472A1 (en) 2008-03-06
US7435484B2 (en) 2008-10-14
TW200814200A (en) 2008-03-16
KR20080020953A (ko) 2008-03-06
US20080318417A1 (en) 2008-12-25
JP2008057042A (ja) 2008-03-13
JP5196915B2 (ja) 2013-05-15

Similar Documents

Publication Publication Date Title
TWI450335B (zh) 在反應室中在基板上沈積釕薄膜之方法及由釕薄膜形成之結構
US20080124484A1 (en) Method of forming ru film and metal wiring structure
JP6962955B2 (ja) シームレスのコバルト間隙充填を可能にする方法
US7785658B2 (en) Method for forming metal wiring structure
JP6813983B2 (ja) アルミニウム及び窒素を含む材料の選択的堆積
JP5173098B2 (ja) ダマシン・メタライゼーションのためのコンフォーマルライニング層
JP4919535B2 (ja) ハロゲン化タンタル前駆物質からの熱的CVD TaNフイルムのプラズマ処理
KR101506019B1 (ko) 금속 카바이드 막의 기상 증착
US8765601B2 (en) Post deposition treatments for CVD cobalt films
US20070054487A1 (en) Atomic layer deposition processes for ruthenium materials
US6927163B2 (en) Method and apparatus for manufacturing a barrier layer of semiconductor device
US7521379B2 (en) Deposition and densification process for titanium nitride barrier layers
US20070077750A1 (en) Atomic layer deposition processes for ruthenium materials
JP2007173824A (ja) 原子層成長及び化学気相成長による薄膜形成方法
US20100120245A1 (en) Plasma and thermal anneal treatment to improve oxidation resistance of metal-containing films
US20090087339A1 (en) METHOD FOR FORMING RUTHENIUM COMPLEX FILM USING Beta-DIKETONE-COORDINATED RUTHENIUM PRECURSOR
JP2004273764A (ja) タングステン膜の形成方法
WO2013082370A1 (en) Doped tantalum nitride for copper barrier applications
JP7345546B2 (ja) ルテニウム前駆体を使用したpealdプロセス
WO2013155436A1 (en) Methods for depositing manganese and manganese nitrides
KR20110110261A (ko) 성막 방법 및 플라즈마 성막 장치
CN112969813B (zh) 使用钌前体和还原气体的化学气相沉积方法
US20070207611A1 (en) Noble metal precursors for copper barrier and seed layer
US20070082130A1 (en) Method for foming metal wiring structure
KR101217393B1 (ko) 성막 방법, 플라즈마 처리 장치 및 기억 매체