WO2013111592A1 - 半導体装置の製造方法 - Google Patents

半導体装置の製造方法 Download PDF

Info

Publication number
WO2013111592A1
WO2013111592A1 PCT/JP2013/000348 JP2013000348W WO2013111592A1 WO 2013111592 A1 WO2013111592 A1 WO 2013111592A1 JP 2013000348 W JP2013000348 W JP 2013000348W WO 2013111592 A1 WO2013111592 A1 WO 2013111592A1
Authority
WO
WIPO (PCT)
Prior art keywords
film
insulating film
gas
atoms
recess
Prior art date
Application number
PCT/JP2013/000348
Other languages
English (en)
French (fr)
Inventor
石坂 忠大
五味 淳
鈴木 健二
波多野 達夫
寧 水澤
Original Assignee
東京エレクトロン株式会社
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 東京エレクトロン株式会社 filed Critical 東京エレクトロン株式会社
Priority to US14/374,879 priority Critical patent/US9576850B2/en
Priority to KR1020147023484A priority patent/KR101657859B1/ko
Publication of WO2013111592A1 publication Critical patent/WO2013111592A1/ja

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/16Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal carbonyl compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31058After-treatment of organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Definitions

  • the present invention relates to a technical field of forming a wiring by embedding copper in a recess for embedding formed in an insulating film which is a low dielectric constant film in a method for manufacturing a semiconductor device.
  • a SiCOH film which is a low dielectric constant film made of silicon (Si), carbon (C), hydrogen (H), and oxygen (O)
  • Si silicon
  • C carbon
  • H hydrogen
  • O oxygen
  • a concave portion including is formed.
  • copper (Cu) is buried in the recess to form an upper layer side wiring connected to the lower layer side wiring.
  • Ta tantalum
  • TaN tantalum nitride
  • a laminated film thereof is a so-called barrier between the copper wiring and the interlayer insulating film. Used as a membrane.
  • Ti titanium
  • TiN titanium nitride
  • laminated film thereof is also known.
  • the increase in wiring resistance and electrode resistance (via resistance) in the via hole affects the performance of the semiconductor device.
  • FIG. 22 is a diagram showing how copper wiring is formed when Ru is used as a barrier film.
  • an embedding recess 2 including a trench and a via hole is formed in an upper interlayer insulating film (SiCOH film) 1 (FIG.
  • Reference numerals 61 to 63 denote an interlayer insulating film, a copper wiring, and a barrier layer on the lower layer side, respectively.
  • Reference numeral 64 denotes an etch stopper film having a copper diffusion prevention function (a film that performs a stopper function during etching).
  • the reason why the base film 3 is interposed between the interlayer insulating film 1 and the Ru film 4 is as follows.
  • the SiCOH film that is the interlayer insulating film 1 is disconnected from the film by plasma during etching or ashing, and C is desorbed from the film, and moisture in the atmosphere is bonded to the dangling bonds generated by the desorption of C.
  • Si—OH is formed, and the surface layer portion becomes a damaged layer. Since the damaged layer has a low C content, the relative dielectric constant increases. For this reason, the surface layer is removed with, for example, hydrofluoric acid. Therefore, the surface state of the interlayer insulating film 1 immediately before the barrier film is buried is hydrophobic.
  • Japanese Patent Application Laid-Open No. 2005-347472 discloses that when a SiCOH film is plasma-etched, a part of the film is bonded into a recessed portion for embedding, and nucleation with a methyl group or the like as a nucleus. The problem that the film quality is deteriorated when the barrier film is formed as it is is described.
  • a technique has been proposed in which a SiCOH film is treated with hydrogen plasma to remove methyl groups on the surface of the recesses and terminate the bond with H. This technique differs from the technique of the present invention in that the surface of the recess to be treated is hydrophilic.
  • J.H. Vac. Sci. Technol. A 26 (4), Jul / Aug 2008 pp. 974-979 describes a method in which an amorphous alloy of Ru and phosphorus (P) is formed directly on an insulating film by a CVD (Chemical Vapor Deposition) method without passing through a base film.
  • CVD Chemical Vapor Deposition
  • the present invention has been made under such circumstances.
  • the purpose of the present invention is to reduce the resistance of a conductive path when copper is buried in a recess for embedding formed in an insulating film made of a SiCOH film to form a conductive path. It is to provide a technique that can be lowered.
  • a method for manufacturing a semiconductor device of the present invention includes: An insulating film containing silicon, carbon, hydrogen, and oxygen formed on a substrate, in which a recess for embedding in which a conductive path on the lower layer side is exposed is formed at the bottom, and its surface is hydrophobic Supplying boron compound gas to the insulating film to adsorb boron atoms on the surface of the insulating film; Directly forming an adhesion film made of a ruthenium film on the surface of the recess where the boron atoms are adsorbed; Thereafter, a step of embedding copper serving as a conductive path in the recess is included.
  • Examples of the boron compound include monoborane (BH 3 ), diborane (B 2 H 6 ), trimethylborane (B (CH 3 ) 3 ), triethylborane (B (C 2 H 5 ) 3 ), and dicarbadodecaborane. (C 2 B 10 H 12 ) and decaborane (B 10 H 14 ).
  • Another method for manufacturing a semiconductor device of the present invention is as follows.
  • Supplying a silicon compound gas to the insulating film to adsorb silicon atoms on the surface of the insulating film Directly forming an adhesion film made of a ruthenium (Ru) film on the surface of the insulating film on which the silicon atoms are adsorbed;
  • a step of embedding copper serving as a conductive path in the recess is included.
  • silicon compound examples include monosilane (SiH 4 ) and disilane (Si 2 H 6 ).
  • Another method for manufacturing a semiconductor device of the present invention is as follows.
  • Supplying an organic compound gas containing aluminum to the insulating film to adsorb aluminum atoms on the surface of the insulating film Directly forming an adhesion film made of a ruthenium (Ru) film on the surface of the insulating film on which the aluminum atoms are adsorbed;
  • a step of embedding copper serving as a conductive path in the recess is included.
  • organic compound containing aluminum is trimethylaluminum.
  • a boron (B) atom, a silicon (Si) atom, or an aluminum (Al) atom is adsorbed on the surface of an insulating film made of a SiCOH film in which a recess for filling is formed and the surface is hydrophobic. I am letting. For this reason, a Ru film that is a metal can be directly formed on the surface of the recess through B atoms, Si atoms, or Al atoms.
  • the base film of the Ru film is not required, the volume of copper in the recess can be increased, and as a result, the resistance of the conductive path formed by embedding copper in the recess can be reduced, This is suitable as a method for manufacturing a semiconductor device whose line width is reduced.
  • FIG. 1 and 2 show a state in which an upper layer side wiring structure is formed on a lower layer side wiring structure as a manufacturing stage of a semiconductor device, and parts equivalent to those shown in FIG. Is attached.
  • Reference numeral 65 denotes a barrier film, for example, a Ta film.
  • an interlayer insulating film 1 that is a SiCOH film is formed on a substrate that is a semiconductor wafer, for example, on which a lower wiring structure is formed (FIG. 1A). This film formation is performed, for example, by plasma CVD using DEMS (Diethoxymethylsilane) as a processing gas.
  • a recess 2 including a trench serving as a wiring groove and a via hole for forming an electrode which is a connection portion between the lower layer wiring is formed in the interlayer insulating film 1 (FIG. 1B).
  • the step of forming the recess 2 can be performed by forming a resist pattern and combining a plurality of stages of plasma etching using a sacrificial film, for example.
  • the line width of the trench is designed to be 20 to 50 nm, for example, and the diameter of the via hole is designed to be 20 to 50 nm, for example.
  • the substrate is cleaned with a cleaning liquid to remove residues attached to the surface of the substrate during etching and ashing for forming the recesses 2.
  • the surface layer portion of the interlayer insulating film (SiCOH film) 1 is damaged by the plasma as described above (the bond is broken), and C is desorbed from the film. As a result, Si—OH groups are formed.
  • Reference numeral 10 in FIG. 1B indicates a damage layer.
  • the damaged layer 10 has a high relative dielectric constant, and a polymer of etching residue remains on the surface of the interlayer insulating film 1 after etching. Therefore, the damaged layer 10 is removed by a method such as wet etching using a hydrofluoric acid solution, for example (FIG. 1C).
  • the substrate subjected to the above processing is subsequently subjected to a series of copper embedding processes including a surface treatment using diborane gas as shown in FIG. 2, and a processing module for performing the surface treatment first. Will be described with reference to FIG.
  • reference numeral 71 denotes a processing container comprising a vacuum chamber.
  • a stage 72 having a heater (not shown) as a heating unit is provided on the bottom surface of the processing container 71, and an exhaust pipe 73 is connected to the bottom.
  • a vacuum exhaust mechanism 74 is provided on the downstream side of the exhaust pipe 73.
  • a gas shower head 75 is provided in the upper part of the processing container 71, and a number of gas discharge holes 75 a for discharging gas uniformly into the processing atmosphere are formed in the lower surface of the gas shower head 75.
  • a gas supply path 79 is connected to the gas shower head 75 from the outside.
  • the base end side of the gas supply path 79 is branched and connected to a processing gas supply source 76 and a carrier gas supply source 77.
  • V1 to V3 are valves, and f1 and f2 are flow rate adjusting units.
  • diborane (B 2 H 6 ) which is a B (boron) compound, is used as the processing gas
  • helium (He) is used as the carrier gas, for example.
  • a substrate S is placed on the stage 72 from the outside by elevating pins (not shown) and heated by a heater, and the processing container 71 is connected via a gas supply path 79 and a gas shower head 75.
  • diborane gas using He as a carrier is supplied.
  • the temperature of the substrate S needs to be set to be equal to or higher than the temperature at which B atoms are adsorbed on the SiCOH film, and is set to 350 ° C., for example.
  • the temperature of the substrate S may be equal to or higher than the temperature at which diborane is thermally decomposed.
  • the pressure in the processing container 71 is set to 2667 Pa, for example.
  • FIG. 2A shows the surface state of the substrate S after being surface-treated with diborane gas.
  • FIG. 4 shows a processing module constituting a thermal CVD apparatus for forming the Ru film 4.
  • 81 is a processing container which is a mushroom type vacuum chamber
  • 82 is an exhaust pipe
  • 83 is a vacuum exhaust mechanism
  • 84 is a stage with a heater (not shown)
  • 85 is for supplying a processing gas to the substrate S on the stage 84.
  • It is a gas shower head.
  • the gas shower head 85 includes a shower plate 851 provided with a large number of gas discharge holes 852 for supplying gas uniformly to the processing atmosphere.
  • the shower plate 851 forms a temperature adjustment unit, for example, a temperature adjustment fluid.
  • the flow path 853 is formed.
  • a processing gas supply path 94 is connected to the gas shower head 85 from the outside, and a raw material bottle 91 is connected to the base end side of the processing gas supply path 94.
  • a powder 90 made of, for example, Ru 3 (CO) 12, which is a precursor of Ru is accommodated, and one end side of a carrier gas supply pipe 93 is inserted into the powder 90.
  • a carrier gas for example, CO gas supply source 92 is connected to the other end of the carrier gas supply pipe 93.
  • CO gas as the carrier gas is supplied to the raw material bottle 91, Ru 3 (CO) 12 is sublimated and the gas is sent out to the gas shower head 85.
  • Reference numerals 931 and 941 denote gas supply device groups such as valves and flow rate adjusting units.
  • the substrate S is carried from the outside onto the stage 84 by elevating pins (not shown) and heated to 150 to 300 ° C., for example. Then, Ru 3 (CO) 12 gas is supplied from the raw material bottle 91 into the processing container 81 through the gas supply pipe 94 and the gas shower head 85 using CO gas as a carrier. Then, a CVD reaction occurs on the substrate S, and the Ru film 4 is formed.
  • the film thickness of the Ru film 4 is set to 2 nm, for example.
  • B atoms on the SiCOH film serve as Ru adsorption sites, that is, since B has a metal property, it can be bonded to Ru, which is a metal, through free electrons.
  • This phenomenon is considered as follows. That is, when the Ru 3 (CO) 12 gas described above is supplied onto the substrate S to form a Ru film, CO gas is released as a by-product. In this reaction, free electrons in the SiCOH film move to Ru 3 (CO) 12 via B atoms, and at that time, Ru compounds are adsorbed on B atoms and decomposed to Ru atoms. It is presumed that CO gas was generated. The state of the SiCOH film surface at this time is shown in FIG.
  • Ru nucleation at the initial stage of film formation is promoted, and a continuous film can be formed.
  • Ru film 4 is formed using Ru 3 (CO) 12 gas and CO gas, it is possible to form the film while suppressing the reaction, so that there is an advantage that the film thickness can be accurately controlled.
  • a Ru raw material for example, a Ru organic compound disclosed in Patent Document 2 other than the above-described raw materials may be used.
  • the process for forming the Ru film is not limited to the CVD method.
  • a gas that is a precursor of Ru and a reaction gas that reacts with this precursor are alternately supplied to the substrate, and when the gas is switched, a vacuum is drawn, and atomic layers or molecular layers are stacked one by one to obtain a stacked film.
  • a so-called ALD (Atomic Layer Deposition) method may be used.
  • a copper seed layer may be formed in the recess 2 by sputtering, and then copper may be embedded by plating.
  • the substrate S is heated to 150 ° C. in a vacuum atmosphere and annealed to stabilize the grain size of the copper 5. This is for reducing the resistance value of the copper 5.
  • reference numeral “5” is assigned to any of copper, copper wiring, and copper electrode.
  • the surface of the substrate S is polished by CMP to remove excess copper.
  • an upper layer copper wiring structure is formed (FIG. 2D).
  • FIG. 8 shows a substrate processing system for performing the steps (a) to (c) shown in FIG.
  • Reference numeral 101 denotes a loading / unloading port for loading / unloading the transfer container 100, 102 an atmospheric transfer chamber, 103 an atmospheric transfer arm, and 104 an alignment module for adjusting the center position and orientation of the substrate (semiconductor wafer) S.
  • the container 100 is a FOUP
  • a FOUP lid opening / closing mechanism or the like is interposed between the carry-in / out port 101 and the atmospheric transfer chamber 102.
  • a processing block is airtightly connected to the inner side of the atmospheric transfer chamber 102 via load lock chambers 105 and 106.
  • the substrate S is stored in the transfer container 100 that is carried into the carry-in / out port 101. At this time, the substrate S is in a state after the damage layer 10 of the interlayer insulating film 1 is removed (the state shown in FIG. 1C).
  • the processing block includes a first vacuum transfer chamber 200 in which processing modules 202, 203, 204, and 205 are connected to the periphery and a first substrate transfer arm 201 is provided, and processing modules 302, 303, 304, 305, and 204. , 205 are connected to the periphery, and a second vacuum transfer chamber 300 provided with a second substrate transfer arm 301 is provided.
  • the processing modules 204 and 205 are pre-processing modules that process the substrate S shown in FIG. 1C into a state where the processing shown in FIG. 2 can be performed, and the entrance and the exit are the first vacuum transfer chamber 200 and the first one, respectively. 2 vacuum transfer chambers 300.
  • Reference numerals 304 and 305 denote surface treatment modules for performing surface treatment of the substrate S using diborane as shown in FIG. 302 and 303 are thermal CVD modules for forming the Ru film 4 shown in FIG. 2B, and 202 and 203 are sputter modules for copper embedding.
  • the load lock chamber 105 (or 106) and the first substrate transfer chamber 200 are moved. Via the preprocessing module 204 (or 205). In the pretreatment module 204 (205), moisture on the surface of the insulating film and residues at the time of etching and ashing are removed. Thereafter, the substrate S is carried into the diborane surface treatment module 304 (or 305) through the second vacuum transfer chamber 300, and B atoms are adsorbed onto the SiCOH film. Then, the substrate S is again carried into the CVD module 302 (or 303) through the second vacuum transfer chamber 300, and the Ru film 4 is formed.
  • the substrate S is then filled with copper 5 in the recesses 2 by copper sputtering. After that, it is returned to the transfer container 100 through the first vacuum transfer chamber 200, the load lock chamber 105 (or 106), and the atmospheric transfer chamber 102.
  • the B compound used for adsorbing B atoms on the surface of the interlayer insulating film 1 is not limited to the above-mentioned diborane, but monoborane (BH 3 ), trimethylborane (B (CH 3 ) 3 ), triethylborane (B ( C 2 H 5) 3), radical Bud decaborane (C 2 B 10 H 12) , and the like decaborane (B 10 H 14).
  • Examples of the carrier gas for the B compound include H 2 and argon in addition to He.
  • the SiCOH film surface is treated by using a silane-based gas instead of the B compound gas in the first embodiment.
  • a module for performing this surface treatment a module using a silane compound gas as a treatment gas in the surface treatment module 304 (or 305) in FIG. 8 of the first embodiment can be exemplified.
  • Si atoms on the SiCOH film become Ru adsorption sites. That is, since Si has a metallic property, it can be bonded to Ru, which is a metal, through free electrons.
  • Ru 3 (CO) 12 gas described above when supplied onto the substrate S to form a Ru film, CO gas is released as a byproduct. In this reaction, free electrons in the SiCOH film move to Ru 3 (CO) 12 through Si atoms, and at that time, Ru compounds are adsorbed on Si atoms and decomposed into Ru atoms. It is presumed that CO gas was generated.
  • Ru nucleation in the initial stage of film formation is promoted, and a continuous film can be formed.
  • the Si compound used for adsorbing Si atoms on the surface of the interlayer insulating film 1 is not limited to the above-mentioned monosilane, but includes disilane (Si 2 H 6 ), dichlorosilane (SiH 2 Cl 2 ), and the like. .
  • the surface of the SiCOH film is treated with trimethylaluminum (TMA) gas instead of the B compound gas in the first embodiment or the silane-based gas in the second embodiment.
  • TMA gas is carried by, for example, a carrier gas that is an inert gas, and is supplied into the processing container 71 from, for example, the shower head 75 shown in FIG.
  • Al atoms on the SiCOH film become Ru adsorption sites. That is, since Al is a metal, it can be bonded to Ru, which is a metal, via free electrons.
  • Ru 3 (CO) 12 gas described above when supplied onto the substrate S to form a Ru film, CO gas is released as a byproduct. In this reaction, free electrons in the SiCOH film move to Ru 3 (CO) 12 through Al atoms, and at that time, the Ru compound is adsorbed to Al atoms and decomposed into Ru atoms. It is presumed that CO gas was generated. For this reason, as in the first embodiment, Ru nucleation in the initial stage of film formation is promoted, and a continuous film can be formed.
  • the Ru film and the Al film are stacked one molecule at a time using the above-described ALD method or the like, so that the copper embedded in the recesses of the interlayer insulating film 1 in a later step.
  • the improvement of the barrier effect against 5 can be expected.
  • TMA gas is used as the processing gas for the substrate surface.
  • a gas containing an organic compound containing Al other than TMA is used, the effect of adsorbing Al on the substrate surface can be obtained.
  • the substrate on which the SiO 2 film was formed was heated to 350 ° C., and surface treatment using diborane was performed in the same manner as in the first embodiment, and then a Ru film was formed on the surface of the SiO 2 film.
  • This process is referred to as Example 1.
  • the substrate on which the SiO 2 film is formed is heated to 350 ° C., and a surface treatment using silane is performed in the same manner as in the second embodiment, and then a Ru film is formed on the surface of the SiO 2 film. It was.
  • This process is referred to as Example 2.
  • the Ru film was formed directly on the SiO 2 film surface. This process is referred to as Comparative Example 1.
  • FIG. 13 is a photograph of the surface of the film formation sample taken from an oblique direction
  • FIG. 14 is a photograph of the surface of the film formation sample taken in a plane.
  • the place where the Ru film is formed is white and formed.
  • the areas that are not displayed are black.
  • the numbers are the percentage of the area of the white area relative to the whole.
  • Ru nuclei grow around the Ru atoms that are first bonded to the B and Si atoms adsorbed on the SiO 2 film surface. Then, as the reaction proceeds, a plurality of Ru nuclei that have grown are combined to form a uniform Ru film.
  • FIG. 15 is a graph in which the amount of Ru analyzed by fluorescent X-ray analysis (XRF) in Examples 1 and 2 and Comparative Example 1 is plotted on the horizontal axis, and the coverage with the Ru film on the substrate surface is plotted on the vertical axis.
  • the solid line (1) indicates the case where the Ru film is formed after the SiO 2 film surface is treated with diborane gas
  • the dashed line (2) indicates the case where the Ru film is formed after the SiO 2 film surface is treated with silane gas.
  • FIG. 16 is a photograph of the surface of the film formation sample taken from an oblique direction
  • FIG. 17 is a photograph of the surface of the film formation sample taken in a plane as in FIG. 13, where the Ru film is formed. Is white and black areas are not formed. The numbers are the percentage of the area of the white area relative to the whole.
  • Example 3 and Comparative Example 2 the relationship between the elapsed time from the start of the Ru film formation process and the film thickness of the Ru film was also examined. The results are shown in FIG. The horizontal axis of the graph is the elapsed time, and the vertical axis is the film thickness of the Ru film.
  • the relationship between the elapsed time and the film thickness is linearly approximated based on the plot, and is considered based on the obtained straight line.
  • Ru immediately after the start of the film forming process. Film deposition has begun.
  • Comparative Example 2 Ru film deposition started a while after the start of film formation, and a time delay occurred.
  • Example 3 the relationship between the elapsed time and the deposition rate of the Ru film was examined.
  • the results are shown in FIGS.
  • the graphs of FIGS. 19 and 20 represent the relationship at the center of the substrate and the average value of the entire substrate, respectively.
  • the result of Example 3 is indicated by a solid line
  • the result of Comparative Example 2 is indicated by a dotted line.
  • Comparative Example 2 it can be seen that the deposition rate of the Ru film is low in the initial stage and increases with time.
  • Example 3 it can be seen that the deposition rate of the Ru film is substantially constant over time. Considering this change in the deposition rate of the Ru film, in Comparative Example 2, there is unevenness in the Ru deposition on the surface of the substrate, and in Example 3, the Ru film is uniformly deposited on the surface of the substrate. I can hear that.
  • FIG. 21 is a graph in which the horizontal axis represents the amount of Ru analyzed by fluorescent X-ray analysis (XRF) in Example 3 and Comparative Example 2, and the vertical axis represents the coverage with the Ru film on the substrate surface.
  • XRF fluorescent X-ray analysis
  • the SiO 2 film was used as the evaluation target, but the same result can be obtained by using the SiCOH film.

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

【課題】SiCOH膜からなる層間絶縁膜に形成された埋め込み用の凹部に銅材を埋め込んで導電路を形成するにあたり、導電路の抵抗を低くすること。 【解決手段】SiCOH膜1にプラズマにより凹部を形成するときに膜からCが離脱してダメージ層が形成されるが、これをフッ酸などで除去すると表面が疎水性となる。このSiCOH膜1に対してホウ素化合物ガス、シリコン化合物ガスあるいはトリメチルアルミニウムを含むガスを供給することにより、B、SiあるいはAlをSiCOH膜1上に吸着させる。これら原子がRuと結合するのでSiCOH膜上へのRu膜4の形成が容易になる。次いで例えばRu(CO)12ガスとCOガスとを用いてCVDによりRu膜4を成膜し、その後銅5を埋め込み、CMP処理を行い上層側の配線構造を形成する。

Description

半導体装置の製造方法
 本発明は、半導体装置の製造方法において、低誘電率膜である絶縁膜に形成された埋め込み用の凹部に銅を埋め込んで配線を形成する技術分野に関する。
 半導体装置の多層配線構造の形成工程にでは、次のような工程が行われている。例えばシリコン(Si)、炭素(C)、水素(H)及び酸素(O)からなる低誘電率膜であるSiCOH膜を基板上に層間絶縁膜として形成し、この層間絶縁膜にトレンチとビアホールとを含む凹部を形成する。次いでこの凹部内に銅(Cu)を埋め込んで下層側の配線と接続された上層側の配線を形成する。そして銅が層間絶縁膜中に拡散することを防止するために、銅配線と層間絶縁膜との間には、例えばTa(タンタル)、TaN(タンタルナイトライド)またはこれらの積層膜などがいわゆるバリア膜として使用される。またバリア膜は、これ以外にTi(チタン)、TiN(チタンナイトライド)またはこれらの積層膜なども知られている。
 半導体装置のパターンの微細化に伴って銅配線の線幅の微細化が進むと、配線抵抗やビアホール内の電極抵抗(ビア抵抗)の上昇が半導体装置の性能に影響してくる。これら導電路(配線及び電極)の抵抗を小さくするためには、銅の体積を大きくすること、ビアホールの底部のバリア膜や密着膜の膜厚を薄くし、界面の数を小さくしてビア抵抗を抑えることが重要になってくる。
 ところでバリア膜として使用されている上述の材料は、埋め込み用の凹部の線幅が微細になりかつアスペクト比が大きくなると、埋め込み特性の悪さが顕在化する。更にまた材料自体の抵抗が大きいという点も不利になってくる。 
 このような観点からバリア膜として上述の材料に代えて、埋め込み特性が良好で、抵抗の小さなRu(ルテニウム)を用いることが米国公開公報US2008/237860A1(図1)に提案されている。図22は、Ruをバリア膜として用いた場合の銅配線の形成の様子を示す図である。先ず上層側の層間絶縁膜(SiCOH膜)1にトレンチ及びビアホールを含む埋め込み用の凹部2を形成した後(図22(a))、上述のTaやTaNなどの下地膜3を凹部2内に成膜し(図22(b))、次いで下地膜3の上にRu膜4を成膜する(図22(c))。しかる後に凹部2内に銅(銅からなる埋め込み材料)5を埋め込み(図22(d))、余分な銅5をCMP(Chemical Mechanical Polishing)により除去して上層側の配線構造を構築する(図22(e))。61~63は夫々下層側の層間絶縁膜、銅配線及びバリア層であり、64は銅の拡散防止機能を備えたエッチストッパ膜(エッチング時にストッパ機能を果たす膜)である。
 ここで下地膜3を層間絶縁膜1とRu膜4との間に介在させている理由は次の通りである。層間絶縁膜1であるSiCOH膜はエッチング時あるいはアッシング時にプラズマにより膜中の結合が切れてCが膜から脱離し、Cの脱離によって生成した未結合手には大気中の水分などが結合してSi-OHとなり、こうして表層部がダメージ層となる。このダメージ層はCの含有量が低下しているため比誘電率が上昇し、このため当該表層部を例えばフッ酸で除去することが行われている。従ってバリア膜が埋め込まれる直前の層間絶縁膜1の表面状態は疎水性になっている。
 一方Ruは酸化物形成のギブスの自由エネルギーが大きいのでSiCOH膜のOと結合しにくく、そのため酸化物形成のギブスの自由エネルギーが小さいTaなどからなる下地膜3を薄く介在させている。この場合、Ru膜4をバリア膜として用いているので下地膜3の埋め込み特性の悪さや高抵抗であることの影響は少なくなっている。しかし、ビアホールの底部にて下層側の銅と上層側の銅との間にRu膜4に加えて下地膜3が介在していることから、導電路の抵抗を小さくする要請からすると、更なる改良が望まれる。
 なお、特開2005-347472(図1及び図3)には、SiCOH膜をプラズマエッチングしたときの膜の一部が埋め込み用の凹部内に結合し、このためメチル基などを核とした核生成が起こり、このままバリア膜を成膜すると膜質が悪くなるという課題が記載されている。この課題を解決するために、SiCOH膜を水素プラズマで処理し、凹部の表面のメチル基などを除去して結合手をHで終端させる技術が提案されている。この技術は、処理対象となる凹部の表面が親水性であり、本発明の技術とは異なる。
 さらに、J.Vac.Sci.Technol.A 26(4)、Jul/Aug 2008 pp.974-979には、CVD(Chemical Vapor Deposition)方式によって、Ruとリン(P)のアモルファス合金を下地膜を介さずに直接絶縁膜上に成膜する方法が記載されている。しかしP原子が介在することによって、Ru原子のCu界面における密着強度が結晶質のCu-Ru結合に比べて劣るという結果も明らかにされている。
 本発明はこのような事情の下になされたものであり、その目的はSiCOH膜からなる絶縁膜に形成された埋め込み用の凹部に銅を埋め込んで導電路を形成するにあたり、導電路の抵抗を低くすることができる技術を提供することにある。
 本発明の半導体装置の製造方法は、
 基板上に成膜されたシリコン、炭素、水素及び酸素を含む絶縁膜であって、その底部に下層側の導電路が露出している埋め込み用の凹部が形成され、その表面が疎水性である絶縁膜に対して、ホウ素化合物ガスを供給して前記絶縁膜の表面にホウ素原子を吸着させる工程と、
 前記ホウ素原子が吸着された前記凹部の表面にルテニウム膜からなる密着膜を直接形成する工程と、
 しかる後、前記凹部内に導電路となる銅を埋め込む工程と、を含むことを特徴とする。
 前記ホウ素化合物の例としては、モノボラン(BH)、ジボラン(B)、トリメチルボラン(B(CH)、トリエチルボラン(B(C)、ジカルバドデカボラン(C1012)、デカボラン(B1014)が挙げられる。
 本発明の別の半導体装置の製造方法は、
 基板上に成膜されたシリコン、炭素、水素及び酸素を含む絶縁膜であって、その底部に下層側の導電路が露出している埋め込み用の凹部が形成され、その表面が疎水性である絶縁膜に対して、シリコン化合物ガスを供給して前記絶縁膜の表面にシリコン原子を吸着させる工程と、
 前記シリコン原子が吸着された前記絶縁膜の表面にルテニウム(Ru)膜からなる密着膜を直接形成する工程と、
 しかる後、前記凹部内に導電路となる銅を埋め込む工程と、を含むことを特徴とする。
 前記シリコン化合物の例としては、モノシラン(SiH)及びジシラン(Si)が挙げられる。
 本発明の別の半導体装置の製造方法は、
 基板上に成膜されたシリコン、炭素、水素及び酸素を含む絶縁膜であって、その底部に下層側の導電路が露出している埋め込み用の凹部が形成され、その表面が疎水性である絶縁膜に対して、アルミニウムを含む有機化合物のガスを供給して前記絶縁膜の表面にアルミニウム原子を吸着させる工程と、
 前記アルミニウム原子が吸着された前記絶縁膜の表面にルテニウム(Ru)膜からなる密着膜を直接形成する工程と、
 しかる後、前記凹部内に導電路となる銅を埋め込む工程と、を含むことを特徴とする。
 前記アルミニウムを含む有機化合物の例としては、トリメチルアルミニウムが挙げられる。
 本発明は、埋め込み用の凹部が形成され、その表面が疎水性であるSiCOH膜からなる絶縁膜に対して、表面にホウ素(B)原子、シリコン(Si)原子またはアルミニウム(Al)原子を吸着させている。このためB原子、Si原子またはAl原子を介し、金属であるRu膜を凹部の表面にいわば直接形成することができる。従ってRu膜の下地膜が不要となるので、凹部内の銅の体積を大きくすることができ、この結果銅を凹部に埋め込んで形成される導電路の抵抗を低くすることが可能となり、パターンの線幅が微細化する半導体装置の製造方法として好適である。
本発明の第1の実施形態で行われる絶縁膜の表面におけるジボランガスを用いた表面処理の前段で行われる工程の様子を示す説明図である。 本発明の第1の実施形態で行われる銅配線形成のための一連の処理を段階的に示す説明図である。 前記ジボランガスを用いた表面処理を行うための装置の一例を示す縦断面図である。 Ru膜を成膜するためのモジュール(装置)の一例を示す縦断面図である。 第1の実施形態で行われるジボランガスを用いた表面処理において絶縁膜の表面及び周辺を模式的に示す説明図である。 第1の実施形態で行われるジボランガスを用いた表面処理において絶縁膜の表面及び周辺を模式的に示す説明図である。 第1の実施形態におけるRu膜形成の過程における絶縁膜の表面及び周辺を模式的に示す説明図である。 本発明の実施形態で行われる一連の処理を行うマルチチャンバシステムである基板処理装置を示す概略平面図である。 第2の実施形態で行われるモノシランガスを用いた表面処理において絶縁膜の表面及び周辺を模式的に示す説明図である。 第2の実施形態で行われるモノシランガスを用いた表面処理において絶縁膜の表面及び周辺を模式的に示す説明図である。 第3の実施形態で行われるTMAを用いた表面処理において絶縁膜の表面及び周辺を模式的に示す説明図である。 第3の実施形態で行われるTMAを用いた表面処理において絶縁膜の表面及び周辺を模式的に示す説明図である。 評価試験1の効果を確認するために撮影したSEM写真である。 評価試験1の効果を確認するために撮影したSEM写真である。 評価試験1の効果を示したグラフである。 評価試験2の効果を確認するために撮影したSEM写真である。 評価試験2の効果を確認するために撮影したSEM写真である。 評価試験2の効果を示したグラフである。 評価試験2の効果を示したグラフである。 評価試験2の効果を示したグラフである。 評価試験2の効果を示したグラフである。 従来の銅配線形成のための一連の処理を段階的に示す説明図である。
[第1の実施形態]
 本発明の半導体装置の製造方法の第1の実施形態を図面を参照しながら説明する。図1及び図2は、半導体装置の製造段階として下層側の配線構造の上に上層側の配線構造を形成する様子を示しており、既述の図22に示す部分と同等部分については同符号を付してある。なお65は、バリア膜であり、例えばTa膜である。
 先ず下層側の配線構造が形成された例えば半導体ウエハである基板の上にSiCOH膜である層間絶縁膜1を成膜する(図1(a))。この成膜は、例えば処理ガスとしてDEMS(Diethoxymethylsilane)を用い、プラズマCVD法により行われる。次いで層間絶縁膜1に配線溝となるトレンチと下層配線との接続部分である電極を形成するためのビアホールとを含む凹部2を形成する(図1(b))。凹部2の形成工程は、レジストパターンを形成し、また例えば犠牲膜を用いて複数段階のプラズマエッチングを組み合わせて行うことができる。トレンチの線幅は例えば20~50nm、ビアホールの口径は例えば20~50nmに設計される。
 その後、凹部2の形成のためのエッチング、アッシング時に基板の表面に付着した残渣を除去するために洗浄液により洗浄する。 
 凹部2を形成するときに層間絶縁膜(SiCOH膜)1の表層部がプラズマにより既述のように損傷を受けて(結合が切断されて)Cが膜から脱離し、その後大気中の水分などによりSi-OH基が形成される。図1(b)における符号10はダメージ層を示している。このダメージ層10は比誘電率が高く、またエッチング後の層間絶縁膜1の表面にはエッチング残渣のポリマーも残っている。よってダメージ層10は、例えばフッ酸溶液によるウエットエッチングなどの方法で除去される(図1(c))。
 以上の処理が行われた基板は、続いて図2に示すようにジボランガスを用いた表面処理を含む一連の銅埋め込みのための処理が行われるが、初めに前記表面処理を行うための処理モジュールについて図3を参照しながら説明しておく。
 図3において71は真空チャンバからなる処理容器であり、この処理容器71の底面には加熱部であるヒータ(図示せず)を備えたステージ72が設けられると共に、底部には排気管73が接続されている。排気管73の下流側には真空排気機構74が設けられている。処理容器71の上部には、ガスシャワーヘッド75が設けられ、ガスシャワーヘッド75の下面には、ガスを均一に処理雰囲気に吐出するためのガス吐出孔75aが多数穿設されている。 
 ガスシャワーヘッド75には外部からガス供給路79が接続されている。ガス供給路79の基端側は分岐されて処理ガス供給源76及び、キャリアガス供給源77に接続されている。V1~V3はバルブ、f1、f2は流量調整部である。処理ガスとしては例えばB(ホウ素)化合物であるジボラン(B)が用いられ、キャリアガスとしては例えばヘリウム(He)が用いられる。
 この処理モジュールにおいては、昇降ピン(図示せず)によって外部からステージ72上に基板Sを載置して、ヒータにより加熱すると共に、ガス供給路79及びガスシャワーヘッド75を介して、処理容器71内にHeをキャリアとしたジボランガスを供給する。基板Sの温度は、SiCOH膜上にB原子が吸着される温度以上に設定することが必要であり、例えば350℃に設定される。基板Sの温度はジボランが熱分解する温度以上であってもよい。また、処理容器71内の圧力は例えば2667Paに設定される。 
 図2(a)は、ジボランガスを用いて表面処理された後の基板Sの表面状態を示している。
 こうして層間絶縁膜1の表面にB原子を吸着させた後、基板の表面、即ちB原子が吸着された層間絶縁膜1の表面及びビアホールの底部に露出している下層側の銅配線62の上面に対してRu膜4を成膜する(図2(b))。
 図4はRu膜4を成膜するための熱CVD装置をなす処理モジュールである。81はきのこ型の真空チャンバである処理容器、82は排気管、83は真空排気機構、84は図示しないヒータが内蔵されたステージ、85は処理ガスをステージ84上の基板Sに供給するためのガスシャワーヘッドである。ガスシャワーヘッド85は、ガスを均一に処理雰囲気に供給するためのガス吐出孔852が多数穿設されたシャワー板851を備えており、このシャワー板851には温調部をなす例えば温調流体の流路853が形成されている。
 ガスシャワーヘッド85には外部から処理ガス供給路94が接続されており、この処理ガス供給路94の基端側には原料ボトル91が接続されている。原料ボトル91内には、Ruの前駆物質である例えばRu(CO)12からなる粉体90が収容されており、粉体90内にはキャリアガス供給管93の一端側が突入されている。一方、キャリアガス供給管93の他端側にはキャリアガス、例えばCOガスの供給源92が接続されている。そしてキャリアガスであるCOガスが原料ボトル91に供給されると、Ru(CO)12が昇華されて、そのガスがガスシャワーヘッド85に送り出される。931、941はバルブや流量調整部などのガス供給機器群を示している。
 この処理モジュールにおいては、昇降ピン(図示せず)によって外部からステージ84上に基板Sを搬入し、例えば150~300℃に加熱する。そして原料ボトル91から、COガスをキャリアとしてRu(CO)12ガスをガス供給管94及びガスシャワーヘッド85を介して処理容器81内に供給する。すると、基板S上でCVD反応がおこり、Ru膜4が成膜される。Ru膜4の膜厚は例えば2nmに設定される。
 ここで、ジボランガスによりSiCOH膜(層間絶縁膜1)を表面処理すると、後述の実施例からも明らかなように、当該SiCOH膜にRuが良好に堆積するが、このメカニズムは以下のように推測される。図5に示すように基板S上のSiCOH膜に対しジボランを供給すると、SiCOH膜表面においてジボラン分子がSiCOH膜表面に吸着し、ジボランから2つのB原子間に存在する2つのH原子が脱離し、ジボラン分子はB原子に2本の自由な結合手を持ったBH2分子に解離する。そして図6に示すように、当該B原子の2本の自由な結合手が、夫々SiCOH膜表面のいずれかの原子に結合し、BH2層が形成される。
 このためSiCOH膜上のB原子がRuの吸着サイトとなり、即ちBは金属の性質を持つため、自由電子を介して金属であるRuと結合することができる。この現象は以下のように考えられる。即ち、上述したRu(CO)12ガスを基板S上に供給しRu膜を形成する際に、副生成物としてCOガスが放出される。この際の反応は、SiCOH膜内の自由電子がB原子を介してRu(CO)12へと移動し、その際にRu化合物がB原子に吸着されるとともに分解されてRu原子となり、同時にCOガスが生成したものと推測される。この際のSiCOH膜表面の様子を図7に示す。従ってRu膜を成膜する前に、SiCOH膜に対するジボランガス処理を行うことにより、成膜初期におけるRuの核生成が促進され、連続膜が形成できる。また、Ru(CO)12ガスとCOガスとを用いてRu膜4を成膜すれば、反応を抑制した状態で成膜することができるので、膜厚を精度よくコントロールできる利点がある。
 Ruの原料としては、上述の原料以外の例えば特許文献2に開示されているRu有機化合物を用いてもよい。またRu膜を成膜するための処理としては、CVD法に限られない。例えば、Ruの前駆物質であるガスとこの前駆物質と反応する反応ガスとを交互に基板に供給すると共にガスの切替え時には真空引きし、原子層あるいは分子層を一層ずつ積層して積層膜を得る、いわゆるALD(Atomic Layer Deposition)法を用いてもよい。
 その後、基板Sに対して銅のスパッタリングを行って銅5を凹部2内に埋め込む(図2(c))。銅5の埋め込み工程は、先ず凹部2内にスパッタリングにより銅のシード層を形成し、その後にメッキ法により銅を埋め込むようにしてもよい。凹部2内に銅5を埋め込んだ後は、例えば真空雰囲気中で基板Sを150℃に加熱してアニールを行い、銅5のグレインサイズを安定化させる。銅5の抵抗値低減のためである。なお、以下の説明では、記載を簡略化するために、銅、銅配線、銅電極のいずれについても符号「5」を割り当てることとする。 
 銅の埋め込み工程の後は、基板Sの表面をCMPにより研磨して余分な銅を除去する。こうして上層側の銅配線構造が構成される(図2(d))。
 図2に示した(a)~(c)の工程を実施するための基板処理システムを図8に示しておく。101は、搬送容器100を搬入出する搬入出ポート、102は大気搬送室、103は大気搬送アーム、104は基板(半導体ウエハ)Sの中心位置及び向きを合わせるための位置合わせモジュールであり、搬送容器100がFOUPの場合、搬入出ポート101と大気搬送室102との間には、FOUPの蓋体開閉機構などが介在している。大気搬送室102の奥側には、ロードロック室105、106を介して処理ブロックが気密に接続されている。基板Sは、搬入出ポート101に搬入された搬送容器100内に収納されている。このとき基板Sは、層間絶縁膜1のダメージ層10が除去された後の状態(図1(c)の状態)である。
 処理ブロックは、処理モジュール202、203、204、205が周囲に接続され、第1の基板搬送アーム201が設けられた第1の真空搬送室200と、処理モジュール302、303、304、305、204、205が周囲に接続され、第2の基板搬送アーム301が設けられた第2の真空搬送室300と、を備えている。処理モジュール204、205は、図1(c)に示した基板Sを図2の処理ができる状態へと処理する前処理モジュールであって、入り口、出口が夫々第1の真空搬送室200及び第2の真空搬送室300に接続されている。また304、305は、図3に示した、ジボランを用いて基板Sの表面処理を行うための表面処理モジュールである。302及び303は図2(b)で示したRu膜4を成膜するための熱CVDモジュールであり、202、203は銅埋め込みのためのスパッタモジュールである。
 このような基板処理システムにおいては、搬送容器100から取り出された基板Sは位置合わせモジュール104にて位置合せが行われた後、ロードロック室105(あるいは106)、第1の基板搬送室200を介して前処理モジュール204(あるいは205)に搬入される。前処理モジュール204(205)では、絶縁膜表面の水分及びエッチング時やアッシング時の残渣が除去される。その後基板Sは第2の真空搬送室300を介してジボラン表面処理モジュール304(あるいは305)に搬入されて、SiCOH膜へのB原子の吸着が行われる。そして基板Sは第2の真空搬送室300を再び介して、CVDモジュール302(あるいは303)に搬入されてRu膜4の成膜処理が行われる。しかる後に第2の真空搬送室300、受け渡し室206及び第1の真空搬送室200を介してスパッタモジュール202(あるいは203)に搬入される。次いで基板Sはここで銅スパッタリングにより凹部2内に銅5が埋め込まれる。その後第1の真空搬送室200、ロードロック室105(あるいは106)及び大気搬送室102を介して搬送容器100に戻される。
 層間絶縁膜1の表面にB原子を吸着させるために用いられるB化合物としては、上述したジボランに限らず、モノボラン(BH)、トリメチルボラン(B(CH)、トリエチルボラン(B(C)、ジカルバドデカボラン(C1012)、デカボラン(B1014)などが挙げられる。B化合物のキャリア気体としては、HeのほかにH、アルゴンなどが挙げられる。
 [第2の実施形態]
 この実施形態では、第1の実施形態におけるB化合物ガスの代わりにシラン系ガスを用いて、SiCOH膜表面を処理するようにしている。この表面処理を行うモジュールとしては、第1の実施形態の図8における表面処理モジュール304(あるいは305)において、処理ガスとしてシラン化合物ガスを用いたモジュールを挙げることができる。
 モノシランガスによりSiCOH膜を表面処理すると、後述の実施例からも明らかなように、当該SiCOH膜にRuが良好に堆積するが、このメカニズムは以下のように推測される。第1の実施形態と同様、図9に示すように基板S上のSiCOH膜に対しモノシラン(SiH)を供給すると、SiCOH膜表面においてモノシラン分子がSiCOH膜表面に吸着し、モノシランから水素基(-H)が脱離する。図10に示すように水素基が脱離したモノシランはSiCOH膜表面のいずれかの原子に結合し、SiH層が形成される。
 このためSiCOH膜上のSi原子がRuの吸着サイトとなる。即ちSiは金属の性質を持つため、自由電子を介して金属であるRuと結合することができる。そして第1の実施形態と同様、上述したRu(CO)12ガスを基板S上に供給しRu膜を形成する際に、副生成物としてCOガスが放出される。この際の反応は、SiCOH膜内の自由電子がSi原子を介してRu(CO)12へと移動し、その際にRu化合物がSi原子に吸着されるとともに分解されてRu原子となり、同時にCOガスが生成したものと推測される。そして第1の実施形態と同様、成膜初期におけるRuの核生成が促進され、連続膜が形成できる。
 ここで層間絶縁膜1の表面にSi原子を吸着させるために用いられるSi化合物としては、上述したモノシランに限らず、ジシラン(Si)、ジクロロシラン(SiHCl)などが挙げられる。
 また、B原子またはSi原子の代替として、P原子を用いても同様の結果が得られると推定される。即ち、図2(a)の段階の、図3の装置で行われるジボランによる表面処理に代わり、原料ガスにホスフィン(PH)を用いることで基板S上にPを吸着させる。
 Pもまた金属の性質を持つため、自由電子を介してRuと結合しやすい。よって絶縁膜の表面におけるP原子をRuの吸着サイトとして、Ru膜を層間絶縁膜1表面に形成できるので、同様の結果を得ることができる。
 [第3の実施形態]
 この実施形態では、第1の実施形態におけるB化合物ガス、あるいは第2の実施形態におけるシラン系ガスの代わりに、トリメチルアルミニウム(TMA)ガスを用いて、SiCOH膜表面を処理するようにしている。TMAガスは、例えば不活性ガスであるキャリアガスに運ばれて、例えば図3に示すシャワーヘッド75から処理容器71内へ供給される。
 TMAガスによりSiCOH膜を表面処理すると、後述の実施例からも明らかなように、当該SiCOH膜にRu膜が良好に堆積するが、このメカニズムは以下のように推測される。第1の実施形態と同様、図11に示すように基板S上のSiCOH膜に対しTMAガスを供給すると、SiCOH膜表面においてTMA分子がSiCOH膜表面に吸着し、TMAからメチル基(-CH)が1つ脱離する。そして図12に示すようにメチル基が脱離した分子はSiCOH膜表面のいずれかの原子に結合し、Al(CHの層が形成される。
 このためSiCOH膜上のAl原子がRuの吸着サイトとなる。即ちAlは金属であるため、自由電子を介して金属であるRuと結合することができる。そして第1の実施形態と同様、上述したRu(CO)12ガスを基板S上に供給しRu膜を形成する際に、副生成物としてCOガスが放出される。この際の反応は、SiCOH膜内の自由電子がAl原子を介してRu(CO)12へと移動し、その際にRu化合物がAl原子に吸着されるとともに分解されてRu原子となり、同時にCOガスが生成したものと推測される。このため第1の実施形態と同様、成膜初期におけるRuの核生成が促進され、連続膜が形成できる。
 また、当該Al原子を吸着サイトとしたRu膜成膜では、前述したALD法などを用いてRu膜とAl膜を一分子ずつ積層することによって、後工程で層間絶縁膜1の凹部に埋め込む銅5に対するバリア効果の向上が期待できる。
 上述の第3の実施形態においては、基板表面の処理ガスとしてTMAガスを用いたが、TMA以外のAlを含む有機化合物を含有するガスを用いてもAlの基板表面への吸着効果が得られる。
 [評価試験1]
 熱酸化膜であるSiO膜を絶縁膜素材として用い、SiCOH膜に対する処理についての評価試験を行った。
 SiO膜が形成された基板を350℃に加熱し、第1の実施形態と同様にしてジボランを用いた表面処理を行い、その後当該SiO膜表面にRu膜の成膜処理を行った。このプロセスを実施例1とする。また、SiO膜が形成された基板を350℃に加熱し、第2の実施形態と同様にしてシランを用いた表面処理を行い、その後当該SiO膜表面にRu膜の成膜処理を行った。このプロセスを実施例2とする。 
 一方、SiO膜表面上にRu膜の成膜処理を直接行った。このプロセスを比較例1とする。
 そしてRu膜の成膜処理を開始してから、10秒後、20秒後、30秒後の各々の時点でSEM写真により基板を観察した。その観察結果を図13と図14に示す。図13は成膜サンプルの表面の様子を斜めから撮影した写真であり、図14は成膜サンプルの表面を平面的に撮影した写真であり、Ru膜が形成されている場所が白く、形成されていない場所が黒く表示されている。数字は全体に対する白いエリアの面積の百分率である。
 図13から分かるように、比較例1においては、Ru粒子塊が存在し、表面に凹凸が見られるが、実施例1および実施例2においてはRuの微粒子が密集している。一方、図14に示した百分率及び白黒のコントラストの状態から、比較例1に比して実施例1および実施例2では高い均一性をもってRuが成膜されていることがわかる。
 SiO膜表面に対して直接Ru膜を形成した場合には、SiO膜表面には吸着サイトがなくかつ非金属であるため、Ru原子が吸着しにくいと推測される。これに対してRu膜形成前にSiO膜表面に対して既述のように表面処理を行った場合には、次のように推測される。SiO膜表面上に吸着されたB原子やSi原子に、最初に結合したRu原子を中心に、Ruの核成長が進む。そして反応が進むにつれ成長した複数のRu核が結合し、均一なRu膜を形成する。
 図15は、実施例1、2及び比較例1において、蛍光X線分析(XRF)によって分析したRu量を横軸に取り、基板表面のRu膜による被覆率を縦軸に取ったグラフである。実線(1)はSiO膜表面をジボランガスで処理した後Ru膜を成膜した場合、一点破線(2)はSiO膜表面をシランガスで処理した後Ru膜を成膜した場合、点線(3)はSiO膜表面を熱処理して得られた、熱酸化膜であるシリコン酸化膜上にRu膜を成膜した場合のグラフである。これらのグラフからも、本発明のSiO膜上の表面処理が、有効にSiO膜上のRu膜の成膜処理効率を向上させることが示唆される。
 [評価試験2]
 SiO膜が形成された基板(シリコンウエハ)を350℃に加熱し、第3の実施形態と同様にしてTMAを用いた表面処理を行い、その後当該SiO膜表面にRu膜の成膜処理を行った。このプロセスを実施例3とする。 
 一方、熱酸化により形成されたシリコン酸化膜上にRu膜の成膜処理を行った。このプロセスを比較例2とする。
 そしてRu膜の成膜処理を開始してから、10秒後、30秒後、60秒後の各々の時点でSEM写真により基板を観察した。観察結果を図16と図17に示す。図16は成膜サンプルの表面の様子を斜めから撮影した写真であり、図17は図13と同様に成膜サンプルの表面を平面的に撮影した写真であり、Ru膜が形成されている場所が白く、形成されていない場所が黒く表示されている。数字は全体に対する白いエリアの面積の百分率である。
 図16から分かるように、比較例2においては、Ru粒子塊が存在し、表面に凹凸が見られるが、実施例3においてはRuの微粒子が密集している。また、図17に示した百分率及び白黒のコントラストの状態から、比較例2に比して実施例3では高い均一性をもってRuが成膜されていることがわかる。
 さらに、実施例3及び比較例2の各々についてRu膜の成膜処理を開始してからの経過時間とRu膜の膜厚との関係についても調べた。結果を図18に示す。グラフの横軸は経過時間、縦軸はRu膜の膜厚である。実施例3及び比較例2の各々について、プロットに基づいて経過時間と膜厚との関係を直線近似し、得られた直線に基づいて考察すると、実施例3では成膜処理開始の直後からRu膜の堆積が始まっている。これに対し比較例2では成膜開始後しばらくしてからRu膜の堆積が始まっており、時間遅れが発生している。
 また更に実施例3及び比較例2の各々について、前記経過時間とRu膜の成膜速度との関係について調べた。結果を図19及び図20に示す。図19及び図20のグラフは夫々基板中央における前記関係及び基板全体の平均値を表している。実施例3の結果を実線で、比較例2の結果を点線で示した。比較例2においてはRu膜の成膜速度が初期において低速であり、時間が経過するにつれて増大していく様子がみられる。一方実施例3においては、Ru膜の成膜速度が時間の経過に対して概ね一定である様子がみられる。このRu膜の成膜速度の変化から考察すると、比較例2においては基板の表面でRuの成膜にムラがあり、実施例3においては、基板の表面でRu膜が一律に成膜されることが窺える。
 またさらに、図21は、実施例3及び比較例2において、蛍光X線分析(XRF)によって分析したRu量を横軸に取り、基板表面のRu膜による被覆率を縦軸に取ったグラフであり、実施例3の結果を実線で、比較例2の結果を点線で示した。当該グラフからも、本発明のTMAによるSiO膜上の表面処理が、有効にSiO膜上のRu膜の成膜効率を向上させることが示唆される。
 なお、評価試験1及び2について、評価対象としてSiO膜を使用したが、SiCOH膜を用いても当然に同様の結果が得られる。

 

Claims (7)

  1.  基板上に成膜されたシリコン、炭素、水素及び酸素を含む絶縁膜であって、その底部に下層側の導電路が露出している埋め込み用の凹部が形成され、その表面が疎水性である絶縁膜に対して、ホウ素化合物ガスを供給して前記絶縁膜の表面にホウ素原子を吸着させる工程と、
     前記ホウ素原子が吸着された前記絶縁膜の表面にルテニウム(Ru)膜からなる密着膜を直接形成する工程と、
     しかる後、前記凹部内に導電路となる銅を埋め込む工程と、を含むことを特徴とする半導体装置の製造方法。
  2.  前記ホウ素化合物は、モノボラン(BH)、ジボラン(B)、トリメチルボラン(B(CH)、トリエチルボラン(B(C)、ジカルバドデカボラン(C1012)及びデカボラン(B1014)から選択されたものであることを特徴とする請求項1記載の半導体装置の製造方法。
  3.  基板上に成膜されたシリコン、炭素、水素及び酸素を含む絶縁膜であって、その底部に下層側の導電路が露出している埋め込み用の凹部が形成され、その表面が疎水性である絶縁膜に対して、シリコン化合物ガスを供給して前記絶縁膜の表面にシリコン原子を吸着させる工程と、
     前記シリコン原子が吸着された前記絶縁膜の表面にルテニウム(Ru)膜からなる密着膜を直接形成する工程と、
     しかる後、前記凹部内に導電路となる銅を埋め込む工程と、を含むことを特徴とする半導体装置の製造方法。
  4.  前記シリコン化合物は、モノシラン(SiH)及びジシラン(Si)から選択されたものであることを特徴とする請求項3記載の半導体装置の製造方法。
  5.  基板上に成膜されたシリコン、炭素、水素及び酸素を含む絶縁膜であって、その底部に下層側の導電路が露出している埋め込み用の凹部が形成され、その表面が疎水性である絶縁膜に対して、アルミニウムを含む有機化合物のガスを供給して前記絶縁膜の表面にアルミニウム原子を吸着させる工程と、
     前記アルミニウム原子が吸着された前記絶縁膜の表面にルテニウム(Ru)膜からなる密着膜を直接形成する工程と、
     しかる後、前記凹部内に導電路となる銅を埋め込む工程と、を含むことを特徴とする半導体装置の製造方法。
  6.  前記アルミニウムを含む有機化合物は、トリメチルアルミニウムであることを特徴とする請求項5記載の半導体装置の製造方法。
  7.  前記絶縁膜の表面に前記ホウ素原子、シリコン原子あるいはアルミニウム原子を吸着させる工程の前に行なわれる工程であって、プラズマエッチングにより凹部を形成するときに炭素が脱落して親水性となった当該絶縁膜の表層部分を除去する工程を含むことを特徴とする請求項1に記載の半導体装置の製造方法。
     

     
PCT/JP2013/000348 2012-01-25 2013-01-24 半導体装置の製造方法 WO2013111592A1 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US14/374,879 US9576850B2 (en) 2012-01-25 2013-01-24 Method for manufacturing semiconductor device
KR1020147023484A KR101657859B1 (ko) 2012-01-25 2013-01-24 반도체 장치의 제조 방법

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2012013362 2012-01-25
JP2012-013362 2012-01-25
JP2012-173294 2012-08-03
JP2012173294A JP5983162B2 (ja) 2012-01-25 2012-08-03 半導体装置の製造方法

Publications (1)

Publication Number Publication Date
WO2013111592A1 true WO2013111592A1 (ja) 2013-08-01

Family

ID=48873319

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2013/000348 WO2013111592A1 (ja) 2012-01-25 2013-01-24 半導体装置の製造方法

Country Status (5)

Country Link
US (1) US9576850B2 (ja)
JP (1) JP5983162B2 (ja)
KR (1) KR101657859B1 (ja)
TW (1) TW201347094A (ja)
WO (1) WO2013111592A1 (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015177119A (ja) * 2014-03-17 2015-10-05 東京エレクトロン株式会社 Cu配線の製造方法
WO2019151018A1 (ja) * 2018-02-02 2019-08-08 東京エレクトロン株式会社 半導体装置の製造方法
WO2019151022A1 (ja) * 2018-02-02 2019-08-08 東京エレクトロン株式会社 半導体装置及びその製造方法
WO2019151024A1 (ja) * 2018-02-02 2019-08-08 東京エレクトロン株式会社 半導体装置及びその製造方法

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2019062142A (ja) * 2017-09-28 2019-04-18 東京エレクトロン株式会社 選択成膜方法および半導体装置の製造方法
US10381322B1 (en) 2018-04-23 2019-08-13 Sandisk Technologies Llc Three-dimensional memory device containing self-aligned interlocking bonded structure and method of making the same
US10727046B2 (en) 2018-07-06 2020-07-28 Lam Research Corporation Surface modified depth controlled deposition for plasma based deposition
US10699944B2 (en) 2018-09-28 2020-06-30 Taiwan Semiconductor Manufacturing Company, Ltd. Surface modification layer for conductive feature formation
US10879260B2 (en) 2019-02-28 2020-12-29 Sandisk Technologies Llc Bonded assembly of a support die and plural memory dies containing laterally shifted vertical interconnections and methods for making the same
JP2021050379A (ja) * 2019-09-24 2021-04-01 東京エレクトロン株式会社 半導体装置の製造方法及び半導体装置の製造装置
WO2022070925A1 (ja) * 2020-09-29 2022-04-07 東京エレクトロン株式会社 半導体装置を製造する方法、及び半導体装置を製造する装置

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006114719A (ja) * 2004-10-15 2006-04-27 Jsr Corp 表面疎水化用組成物、表面疎水化方法、半導体装置およびその製造方法
JP2006147655A (ja) * 2004-11-16 2006-06-08 Renesas Technology Corp 半導体装置の製造方法
JP2006257551A (ja) * 2005-03-15 2006-09-28 Asm Internatl Nv Aldによる貴金属の促進された堆積
JP2007103950A (ja) * 2005-10-07 2007-04-19 Asm Japan Kk 金属配線構造の形成方法
JP2009081432A (ja) * 2007-09-03 2009-04-16 Ulvac Japan Ltd 半導体装置の製造方法

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4503356B2 (ja) 2004-06-02 2010-07-14 東京エレクトロン株式会社 基板処理方法および半導体装置の製造方法
US20070082130A1 (en) 2005-10-07 2007-04-12 Asm Japan K.K. Method for foming metal wiring structure
JP5548332B2 (ja) * 2006-08-24 2014-07-16 富士通セミコンダクター株式会社 半導体デバイスの製造方法
US20080237860A1 (en) 2007-03-27 2008-10-02 Tokyo Electron Limited Interconnect structures containing a ruthenium barrier film and method of forming
US20100285667A1 (en) * 2009-05-06 2010-11-11 International Business Machines Corporation Method to preserve the critical dimension (cd) of an interconnect structure

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006114719A (ja) * 2004-10-15 2006-04-27 Jsr Corp 表面疎水化用組成物、表面疎水化方法、半導体装置およびその製造方法
JP2006147655A (ja) * 2004-11-16 2006-06-08 Renesas Technology Corp 半導体装置の製造方法
JP2006257551A (ja) * 2005-03-15 2006-09-28 Asm Internatl Nv Aldによる貴金属の促進された堆積
JP2007103950A (ja) * 2005-10-07 2007-04-19 Asm Japan Kk 金属配線構造の形成方法
JP2009081432A (ja) * 2007-09-03 2009-04-16 Ulvac Japan Ltd 半導体装置の製造方法

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015177119A (ja) * 2014-03-17 2015-10-05 東京エレクトロン株式会社 Cu配線の製造方法
WO2019151018A1 (ja) * 2018-02-02 2019-08-08 東京エレクトロン株式会社 半導体装置の製造方法
WO2019151022A1 (ja) * 2018-02-02 2019-08-08 東京エレクトロン株式会社 半導体装置及びその製造方法
WO2019151024A1 (ja) * 2018-02-02 2019-08-08 東京エレクトロン株式会社 半導体装置及びその製造方法
JPWO2019151018A1 (ja) * 2018-02-02 2021-01-07 東京エレクトロン株式会社 半導体装置の製造方法

Also Published As

Publication number Publication date
JP5983162B2 (ja) 2016-08-31
US20140377947A1 (en) 2014-12-25
TW201347094A (zh) 2013-11-16
JP2013175702A (ja) 2013-09-05
KR101657859B1 (ko) 2016-09-19
US9576850B2 (en) 2017-02-21
KR20140128347A (ko) 2014-11-05

Similar Documents

Publication Publication Date Title
JP5983162B2 (ja) 半導体装置の製造方法
TW201942960A (zh) 在基板上形成電極之方法及包括電極之半導體裝置結構
KR101024449B1 (ko) 티탄실리콘나이트라이드막의 성막방법 및 반도체장치의 제조방법
KR101414358B1 (ko) 텅스텐막의 성막 방법
US20100015800A1 (en) Method for forming metal film using carbonyl material, method for forming multi-layer wiring structure, and method for manufacturing semiconductor device
WO2010041363A1 (ja) 半導体装置及び半導体装置の製造方法
KR101739613B1 (ko) Cu 배선의 형성 방법
US9779950B2 (en) Ruthenium film forming method, film forming apparatus, and semiconductor device manufacturing method
KR20140143095A (ko) 산화 망간막의 형성 방법
KR20140085329A (ko) 망간 함유막의 형성 방법, 처리 시스템, 전자 디바이스의 제조 방법 및 전자 디바이스
KR102017944B1 (ko) 니켈 배선의 제조 방법
KR102210976B1 (ko) 전도성 특징부에 대한 배리어 층 형성
JP5862353B2 (ja) 半導体装置の製造方法
JP2017135237A (ja) Cu配線の製造方法およびCu配線製造システム
TW202003895A (zh) 用於銅金屬化的阻障層以及形成方法
JP4640281B2 (ja) バリヤメタル層及びその形成方法
KR101444527B1 (ko) 반도체 장치의 제조 방법
JP3988256B2 (ja) 成膜方法
US20240102157A1 (en) Plasma-enhanced molybdenum deposition
JP2006147895A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 13740552

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

WWE Wipo information: entry into national phase

Ref document number: 14374879

Country of ref document: US

ENP Entry into the national phase

Ref document number: 20147023484

Country of ref document: KR

Kind code of ref document: A

122 Ep: pct application non-entry in european phase

Ref document number: 13740552

Country of ref document: EP

Kind code of ref document: A1