JP2005536877A - Cmosゲートの原子層堆積法 - Google Patents

Cmosゲートの原子層堆積法 Download PDF

Info

Publication number
JP2005536877A
JP2005536877A JP2004529930A JP2004529930A JP2005536877A JP 2005536877 A JP2005536877 A JP 2005536877A JP 2004529930 A JP2004529930 A JP 2004529930A JP 2004529930 A JP2004529930 A JP 2004529930A JP 2005536877 A JP2005536877 A JP 2005536877A
Authority
JP
Japan
Prior art keywords
transistor
forming
metal conductor
nmos
gate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2004529930A
Other languages
English (en)
Inventor
フォーブス,レオナード
アーン,キー,ワイ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Micron Technology Inc
Original Assignee
Micron Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technology Inc filed Critical Micron Technology Inc
Publication of JP2005536877A publication Critical patent/JP2005536877A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Composite Materials (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Ceramic Engineering (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Semiconductor Memories (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

原子層堆積により形成される可変の仕事関数を有するゲートを備えたトランジスタ構造、システム及び製造方法が提供される。1つのトランジスタの実施例は、第1のソース/ドレイン領域、第2のソース/ドレイン領域、及びそれらの間のチャンネル領域を含む。ゲートはチャンネル領域からゲート絶縁体により分離されている。このゲートは原子層堆積により形成される三元金属導体を含むが、所望のしきい電圧を与えるように組成が調整された三元金属導体が提供される。

Description

本発明は、一般的に、半導体集積回路に係り、さらに詳細には、可変の仕事関数を有するCMOSゲートの原子層堆積に係る。
CMOS技術における従来のn型ドープドポリシリコンゲート電極には2つの問題がある。第1の問題は、ポリシリコンは導電性であるが、バイアス条件の下でキャリアが空亡状態となりうる表面領域が依然として存在することである。これはゲート絶縁体の厚さの増加として現れるが、通常はゲート空亡化と呼ばれ、酸化物等価厚に寄与する。この領域は数オングストロームのオーダーの薄いものであるが、酸化物の厚さが2nmまたは20オングストローム以下に減少すると無視できなくなる。別に、その仕事関数がn−MOS及びp−MOSデバイスの両方にとって最適でないという問題があるが、これは、これまで、しきい電圧を調整するインプランテーションにより補償されてきた。しかしながら、デバイスがますます小型化して、チャンネル長が1000オングストローム未満となり、その結果、表面空間電荷領域が100オングストローム未満になると、これらインプランテーションの実施がますます難しくなる。しきい電圧の制御は、電源が1ボルトの範囲に減少すると重要な問題となる。PMOS及びNMOSトランジスタの両方の最適しきい電圧をほぼ0.3ボルトの大きさにする必要がある。
ポリシリコンゲートの空亡化問題の解決法は、半導体ゲート材料を金属または高導電性の金属窒化物で置き換えることである。新しい回路材料についてはすべてそうであるように、ゲート電極はトランジスタ及びそのプロセスの両方に対して化学的及び温度的に適応性のあるものでなければならない。最適の仕事関数を与えるために、種々の金属を使用するかまたは導電性窒化物の特性を変化させることができる。
ゲート電極の仕事関数、即ち、電子の抽出に要するエネルギーは、半導体材料のバリアハイトに適応するものでなければならない。PMOSトランジスタでは、必要とされる仕事関数が約5.0eVである。NMOSトランジスタに必要とされる約4.1eVの低い仕事関数の実現はますます難しくなっている。図1A及び1Bは、それぞれNMOS及びPMOSトランジスタの所望のエネルギー帯図及び仕事関数を示す。チタン(Ti)及びタンタル(Ta)のような高融点金属は典型的なプロセス条件の下で急速に酸化する。この問題に対して提案された1つの解決方法は、プロセス条件の下で安定な、調整ルテニウム−タンタル(Ru−Ta)合金にたよることである。Ta濃度が20%以下であれば、この合金の電気的特性はルビジウム(Ru)に似て、良好なPMOSゲート電極となる。Ta濃度が40%と54%の間であれば、この合金は良好なNMOSゲート電極となる。
有望な候補物質には、窒化タンタル(TaN)及び窒化チタン(TiN)のような金属窒化物が含まれる。窒化タンタル、窒化チタン及び窒化タングステンは、CMOSデバイス用として一般的に説明されている中位ギャップの仕事関数を有する金属導体である。中位ギャップの仕事関数を用いると、NMOS及びPMOSデバイスのしきい電圧は、それらの電圧の大きさは同じであるが、共に低い電源電圧で最適値より大きいという意味で対称的な値になる。
最近、一部の三元金属窒化物のゲート電極としての利用の適否を研究するために物理的堆積、蒸着法が使用されているが、これらの窒化物にはTiAlN及びTaSiNが含まれる。しかしながら、これらは原子層堆積でなくて物理的堆積により堆積されたものであり、キャパシタ構造だけが製造され、ゲート構造を有するトランジスタが製造されたわけではない。
従って、CMOSトランジスタの設計の改良が引き続き求められている。
参考文献の書誌情報
Y. Yee-Chia et al., “Dual-metal gate CMOS technology with ultrathin silicon nitride gate dielectric” IEEE Electron Device Letters, Volume: 22 Issue: 5, May, 2001, pp. 227-229.
L Qiang, Y. Yee Chia, et al., “Dual-metal gate technology for deep-submicron CMOS transistors,” VLSI Technology, 2000; Digest of Technical Papers. 2000 Symposium on, 2000, pp. 72-73.
H. Wakabayashi et al., “A dual-metal gate CMOS technology using nitrogen-concentration-controlled TiNx film.” Electron Devices, IEEE Transactions on, Volume: 48 Issue: 10, Oct. 2001, Page (s): 2363-2369.
H. Zhong et al., “Properties of Ru-Ta Alloys as gate electrodes for NMOS and PMOS silicon devices,” Digest of IEEE Int. Electron Devices Meeting, Washington D. C. , 2001, paper 20.05.
V. Misra, H. Zhonget al. , “Electrical properties of Ru-based alloy gate electrodes for dual metal gate Si-CMOS,” IEEE Electron Device Letters, Volume: 23 Issue: 6, Jun 2002 Page (s): 354-356.
H. Zhong et al., “Electrical properties of RuO/sub 2/gate electrodes for dual metal gate Si-CMOS,” IEEE Electron Device Letters, Volume: 21 Issue: 12, Dec. 2000 Page (s): 593-595.
H. Shimada et al., “Low resistivity bcc-Ta/TaN/sub x/metal gate MNSFETshaving plane gate structure featuring fully low-temperature processing below 450 degrees C,” 2001 Symposium on VLSI Technology, 12-14 June 2001, Kyoto, Japan Page: 67-68.
H. Shimada et al., “Tantalum nitride metal gate FD-SOI CMOS FETs using low resistivityself-grown bcc-tantalum, layer,” IEEE Trans. on Electron Devices, vol. 48, no. 8, pp. 1619-26, Aug. 2001.
B. Claflin et al., “Investigation of the growth and chemical stability of composite metal gates on ultra-thin gate dielectrics,” MRS Symposium on Silicon Front-End Technology-Materials Processing and Modelling, 13-15 April, 1998, San Francisco, CA, Page: 171-176.
A. Yagishita et al., “Dynamic threshold voltage damascene metal gate MOSFET (DT-DMG-MOS) with low threshold voltage, high drive current and uniform electrical characteristics,” Digest Technical Papers Int. Electron Devices Meeting, San Francisco, Dec. 2000, pp. 663-6.
B. Claflin et al., “Investigation of the growth and chemical stability of composite metal gates on ultra-thin gate dielectrics,” MRS Symposium on Silicon Front-End Technology-Materials Processing and Modelling, 13-15 April, 1998, San Francisco, CA, Page: 171-176.
M. Moriwaki et al., “Improved metal gate process by simultaneous gate-oxide nitridation during W/WN/sub x/gate formation,” Jpn. J. Appl. Phys., vol. 39. No. 4B, pp. 2177-80, 2000).
Dae-Gyu Park et al., “Robust ternary metal electrodes for dual gate CMOS devices,” Electron Devices Meeting, 2001. IEDM Technical Digest. International, 2001 Page (s): 30.6.1-30.6. 4.
Ofer Snehet al., “Thin film atomic layer deposition equipment for semiconductor processing”, Thin Solid Films, 402 (2002) 248-261.
Shunsuke Morishitaet al., “Atomic-Layer Chemical-Vapor-Deposition of SiO2 by Cyclic Exposure of CHOSi(NCO)3 and H2O2,” Jpn. J. Appl. Phys. Vol. 34 (1955) pp. 5738-5742.
Jin-Seong Park et al., “Plasma-Enhanced Atomic Layer Deposition of Tantalum Nitrides Using Hydrogen Radicals as a Reducing Agent”, Electrochemical and Solid-State LETT. , 4 (4) C17-C19, 2001.
Petra Alen et al., “Atomic Layer Deposition of Ta(AL)N(C) Thin Films Using Trimethylaluminum as a Reducing Agent”, Jour, of the Electrochemical Society, 148 (10), G566-G571 (2001).
J.-S. Min et al., “Atomic layer deposition of TiNfilms by alternate supply on Tetrakis (ethylmethyllamino)-titanium and ammonia,” Jpn. J. Appl. Phys., Vol. 37, Part 1, No. 9A, pp. 4999-5004, 15 Sept. 1998.
Jaehyong Kooet al., “Study on the characteristics of TiAlN thin film deposited by atomic layer deposition method,” J. Vac. Sci. Technol. A, 19 (6), 2831-2834 (2001).
Jae-Sik Min et al., “Metal-organic atomic-layer deposition of titanium-silicon-nitride films”, Appl. Phys, LETT. , Vol. 75, No. 11, 1521-1523 (1999).
J. W. Kraus et al., “Atomic Layer Deposition of Tungsten Nitride Films Using Sequential Surface Reactions”, 147 (3) 1175-1181 (2000).
R. L. Pruurunen et al., “Growth of aluminum nitride on porous silica by atomic layer chemical vapor deposition”, Applied Surface Science, 165,193-202 (2000).
発明の概要
CMOSトランジスタ設計の上述した問題及び他の問題は、本発明により対処されるものであり、以下の説明を読めば理解されるであろう。本願は、最適性能を与えるために組成及び仕事関数がCMOS技術のNMOS及びPMOSトランジスタの両方のしきい電圧を制御するように変化された三元金属導体の原子層堆積法の利用について説明する。
詳述すると、本発明の一実施例は、ソース領域、ドレイン領域及びそれらの間のチャンネルを有するトランジスタを含む。ゲートはゲート絶縁体によりチャンネル領域から分離される。ゲートは原子層堆積により形成された三元金属導体を有する。1つの実施例において、三元金属導体は窒化タンタルアルミニウム(TaAlN)を含む。1つの実施例において、三元金属導体は窒化チタンアルミニウム(TiAlN)を含む。1つの実施例において、三元金属導体は窒化チタンシリコン(TiSiN)を含む。1つの実施例において、三元金属導体は窒化タングステンアルミニウム(WAlN)を含む。一部の実施例において、ゲートはさらに三元金属導体上に形成された高融点金属を含む。
本発明の上記及び他の実施例、局面、利点及び特徴は以下の説明に一部が記載されているが、本発明の以下の説明及び添付図面を参照するかまたは本発明を実施すると当業者に明らかになるであろう。本発明の局面、利点及び特徴は、頭書の特許請求の範囲に詳しく記載した装置、手順及びそれらの組み合わせにより実現される。
以下の詳細な説明において、本願の一部であり、本発明の特定の実施例を例示する添付図面を参照する。図面において、同じ番号は幾つかの図を通して実質的に同じコンポーネントを指示する。これらの実施例は、当業者が本発明を実施できるように十分に詳しく記載されており、他の実施例も可能であって、本発明の範囲から逸脱することなく構造的、論理的及び電気的変形又は設計変更を行うことができるであろう。
以下の説明中の用語「ウェーハ」及び「基板」は、本発明の集積回路(IC)が形成される露出表面を有する任意の構造を包含する。用語「基板」は、半導体ウェーハを含むものと理解される。用語「基板」は処理中の半導体構造を指すこともあり、その上に形成された他の層を含むことがある。「ウェーハ」も「基板」も共に、ドーピングを施された、また施されていない半導体、支持用半導体または絶縁体上の半導体エピタキシャル層だけでなく当該技術分野で知られた他の半導体構造を包含する。用語「導体」は半導体を含むものと理解され、用語「絶縁体」は導体と呼ぶ材料より導電性が小さい任意の材料を含むものと定義される。従って、以下の詳細な説明は限定的な意味で解釈すべきでなく、本発明の範囲は、頭書の特許請求の範囲が享受する均等物の全範囲と共に特許請求の範囲のみにより規定される。
本願では、最適性能を実現するために図2に示すように組成及び仕事関数を変化させてCMOS技術のNMOS及びPMOSトランジスタの両方のしきい電圧を制御する、三元金属導体の原子層堆積の利用について述べる。幾つかの実施例において、これらは三元金属導体としてTaAlN、TiAlN、TiSiN及びWAlNの使用を含む。図3に示すゲート構造を提供するために、金属導体上には従来のドーピングを多量に施したポリシリコンまたはW、Ta、Tiのような高融点金属が堆積されている。図3に示すトランジスタ301の構造は、ソース領域302、ドレイン領域304及びそれらの間のチャンネル306を含む。ゲート310はゲート絶縁体308によりチャンネル領域から分離されている。本発明の教示によると、このゲート310は原子層堆積により形成された三元金属導体を含む。1つの実施例において、この三元金属導体は、窒化タンタルアルミニウム(TaAlN)を含む。1つの実施例において、三元金属導体は窒化チタンアルミニウム(TiAlN)を含む。1つの実施例において、三元金属導体は窒化チタンシリコン(TiSiN)を含む。1つの実施例において、三元金属導体は窒化タングステンアルミニウム(WAlN)を含む。図3に示すように、一部の実施例のゲートはさらに、三元金属導体310上に形成された高導電性ポリシリコン層312または高融点金属層312を含む。高融点金属層を有する実施例では、この層312は、例えばタンタル、チタン及びタングステンのような高融点金属を含むが、これらに限定されない。
形成方法
70年代の初期に開発された原子層堆積法はCVDの変形であり、「交互パルス供給式CVD(alternately pulsed-CVD) 」と呼ぶこともできる。この方法は、原料ガスを基板表面に一度に1つずつ導入し、パルス供給の間に反応室を不活性ガスによりパージするか排気するものである。第1の反応ステップでは、原料を基板表面に飽和状態で化学吸着させ、それに続くパージング時に原料を反応室から除去する。第2のステップでは、他の原料ガスを基板上に導入し、所望の薄膜を成長させる反応を起こさせる。その後、反応副生成物及び余剰の原料ガスを反応室からパージする。原料の化学作用が好ましければ、即ち、原料が互いに激しく吸収し合って反応する場合、正しく設計されたフロータイプの反応室では1回のALDサイクルを1秒未満の間実行できる。
ALDの際立った特徴は、全ての反応及びパージングステップが飽和状態に置かれるため成長が自己制限的になることである。これにより、大きい領域にわたって均一性及び形状整合性が得られるが、これは非常に多種多様なケース、即ち、平坦な基板及び深いトレンチにおける、また、多孔性シリコン及び大きな表面積のシリカ及びアルミナ粉末の極端なケースに示される非常に重要な特性である。また、薄膜の厚さの制御が簡単であり、成長サイクルをただ計算するだけでこの制御を行うことができる。ALDは、最初は、エレクトロルミネッセンスディスプレイに必要な発光及び誘電薄膜を製造するために開発されたものであり、ドーピングを施した硫化亜鉛及びアルカリ土類金属硫化物薄膜を成長させるために多大な研究努力が重ねられている。その後、種々のエピタキシャルII−V及びII−VI薄膜、非エピタキシャル結晶または非晶質酸化物を成長させるための研究が行われ、窒化物薄膜はそれらの多層構造である。
シリコン及びゲルマニウム薄膜のALDによる成長に向けて関心がかなり高まっているが、原料の化学的作用が難解のためその結果はこれまで必ずしも成功とは言えない。
反応シーケンスALD(RS−ALD)薄膜は、幾つかの特異で無類の利点を有する。
CVD薄膜(20オングストローム未満)及びPVD薄膜(50オングストローム未満)にとって典型的な明確に画定されない核生成領域を回避する界面における連続性。この連続性を得るには、基板表面を活性化して最初にさらされるRS−ALD原料と直接反応させる必要がある。
層毎の堆積法によってのみ達成可能な、ロバストなプロセスによる最も困難な基板トポロジーにわたる無類の形状整合性。
通常は、低温で緩やかな酸化プロセス。これは、基板を酸化させずに(酸化原料による)非シリコンベースの誘電体の堆積が主要な関心事であるゲート絶縁体の処理にとって大きな利点と考えられる。
合金複合薄膜が特異であるように思えるだけでなく、多層薄膜も恐らく単一層の精度で調整できるRS−ALDの能力。この能力は、単一層の精度で堆積を制御できる能力と非晶質薄膜の連続単一層を堆積できる能力(これはRS−ALDにとってユニークである)の組み合わせから生じる。
前例のないロバストなプロセス。RS−ALDプロセスには初期ウェーハ効果及びチェンバ依存性がない。従って、RS−ALDプロセスは開発から生産への移行及び200から300nmへのウェーハサイズへの移行が容易である。
厚さはサイクル数のみに依存する。厚さを、技術進歩によるプロセスのさらなる開発を必要としない単なる処方の変更として調整することができる。
窒化物の原子層堆積
Ta−N:t−ブチルイミドトリス(ジエチルアミド)タンタルの還元剤として水素ラジカルを用いた堆積温度260℃における窒化タンタル(Ta−N)薄膜のプラズマ増速原子層堆積(PEALD)が記載されている。PEALDは、電気抵抗率が400μΩcmで空気に露出しても経年効果がない優れたTa−N薄膜を生成する。薄膜密度は、NH3が水素ラジカルに代わって用いられる典型的なALDにより形成されるTa−N薄膜よりも大きい。さらに、堆積されたままの薄膜は非晶質でなく、等軸晶TaNの多結晶構造である。薄膜の密度及び結晶化度は水素プラズマのパルス時間と共に増加する。薄膜はTaが豊富な組成を有し、約15原子パーセントの炭素不純物を含む。Ta−N薄膜のPEALDでは、水素ラジカルは、典型的なTa−NのALDの還元ガスとして用いられるNH3の代わりの還元剤として使用される。薄膜は、Taの原料として(Net23Ta=Nbut(t−ブチルイミドトリス(ジエチルアミド)タンタル、TBTDET)を用いるコールドウォール型反応器において堆積温度が260℃、堆積圧力が133PaでSiO2(100nm)/Siのウェーハ上に堆積される。液体原料は70℃に加熱された泡だて器に収容され、35sccmのアルゴンにより運ばれる。1つの堆積サイクルは、TBTDETの金属有機原料への露出、アルゴンによるパージ期間及び水素プラズマへの露出と、それに続くアルゴンによる別のパージ期間より成る。代わりに各反応剤ガスパルス間で15秒のアルゴンパージ期間を設けると、反応剤ガスがそれぞれ隔離される。堆積サイクルに同期して水素プラズマを点火し維持するには、上方の電極と下方の電極との間に矩形の電源を適用する。反応室内で反応剤ガスを均一に分布させるためのシャワーヘッドを100ワットの電力で作動されるRF(13.56MHz)プラズマ源と容量結合したものを、上方電極として用いる。ウェーハがその上に載る下方電極はアースにつなぐ。薄膜の厚さ及びその形態を電界放出走査型電子顕微鏡により分析した。
Ta(Al)N(C):薄膜についての技術的な研究が、原料としてTaCl5またはTaBr5及びNH3を、また、さらに別の還元剤としてAl(CH33を用いて行われている。堆積温度は250℃と400℃の間で変化させる。薄膜はアルミニウム、炭素及び塩素の不純物を含んでいた。塩素含有量は堆積温度が増加するにつれて劇的に減少した。400℃で堆積させた薄膜は4原子パーセント未満の塩素を含んでおり、その抵抗率は最も低い1300μΩcmであった。パルス供給順序がTaCl5−TMA−NH3、TMA−TaCl5−NH3、TaBr5−NH3、TaBr5−Zn−NH3及びTaBr5−TMA−NH3の5つの異なる堆積プロセスを用いた。TaCl5、TaBr5及びZnは反応室内部に保持された開いたボートから蒸発させる。TaCl5、TaBr5及びZnの蒸発温度はそれぞれ90、140、380℃である。アンモニアを質量流量計、ニードル弁及びソレノイド弁を介して反応室内に導入する。流量を連続流の間14sccmに調整する。TMAを16℃の一定温度に保ち、ニードル弁及びソレノイド弁を介してパルス供給する。TaCl5、TaBr5及びZnのパルス時間は0.5秒であるが、TMAのパルス供給時間の長さは0.2秒と0.8秒の間で変化させる。パージガスのパルス供給時間は常に0.3秒である。窒素ガスを、原料を送り込むために、またパージガスとして使用する。窒素の流量は400sccmである。
TiN:反応剤、Ti[N(C25CH324(テトラキス(エチルメチルアミノ)チタン:TEMAT)及びNH3を交互に供給することにより170℃と210℃の間でSiO2の上に非晶質TiN薄膜の原子層堆積(ALD)を行った。これらの反応剤は次の順序、即ち、TEMAT蒸気パルス、アルゴンガスパルス、NH3ガスパルス及びアルゴンガスパルスの順序で反応室内に注入する。1飽和サイクル当たりの薄膜の厚さは、200℃で反応ガスを十分なパルス時間供給すると1サイクル当たり約1.6原子層である。この結果はサイクル当たりの薄膜の厚さがALDでは1MLサイクルを超えることが可能なことを示唆しており、反応ガスが再化学吸着機構により説明される。サイクル数と薄膜の厚さとの間の理想的な線形関係が確認される。
TiAlN:Koo他は、原子層堆積法により堆積されたTiAlNの薄膜の特性研究に関する論文を発表している。金属−Si−Nバリアのシリーズは1000μΩcmより高い抵抗率を有する。彼らはTiAlNの別の三元拡散バリアを提案している。このTiAlN薄膜はAl含有量がかなりのものであるにもかかわらずNaCl構造を呈していた。このTiAlN薄膜は、チタン及びアルミニウム原料としてそれぞれTiCl4及びジメチルアルミニウムハイドライドエチルピペルジン(DMAH−EPP)を用いて堆積される。TiCl4は13−15℃の液体から蒸発されてALDチェンバに導入されるが、このチェンバには30sccmの流量でアルゴンキャリアガスを用いて泡だて器により供給される。DMAH−EPP原料は60℃で蒸発され、TiCl4の同じ流量でALDチェンバに導入される。NH3ガスはまた反応剤ガスとして使用され、その流量は約60sccmである。アルゴンのパージガスをソースガスと反応ガスを完全に分離するために導入する。TiAlN薄膜は、350℃と400℃の間の温度で堆積され、全圧力を2トルに一定に維持する。
TiSiN:金属有機原子層堆積(MOALD)は、ほぼ完全な段差被覆ステップを達成し、成長する薄膜の厚さ及び組成を正確に制御する。Ti[N(CH324(テトラキス(ジメチルメチルアミド)チタン:TDMAT)、シラン(SiH4)及びアンモニア(NH3)を逐次供給する三元Ti−Si−N薄膜のMOALD法が開発されており、10nmのTi−Si−N薄膜のCu拡散バリア特性を高周波数C−V測定により評価している。180℃の堆積温度において、シランはTDMATパルス、シランパルス及びアンモニアパルスの順序で別個に供給される。1サイクル当たりの堆積薄膜のシリコン含有量及び堆積厚さは、シランの分圧が0.27から13.3Paに変化しても1サイクル当たり18原子%及び0.22nmで一定であった。特に、シリコン含有量の依存関係は従来型の化学蒸着法とは著しく異なっている。段差被覆は直径が0.3μmの孔部でも約100%であり、その孔部はわずかに負の勾配を有しアスペクト比は10対1である。
WN:窒化タングステン薄膜を逐次的な表面反応を用いる原子層制御により堆積させた。窒化タングステン薄膜の成長は、二元反応、2WF6+NH3>W2N+3HF+9/2F2、を2つの半反応に分離することにより行われる。WF6とNH3の半反応をABABの順序で次々に行わせると、600と800°Kの間の基板温度で窒化タングステンが堆積された。透過型フーリエ変換赤外線(FTIR)分光法により、WF6及びNH3半反応時に大きな表面積の粒子上のWFx 及びNHy の表面種の被覆をモニターした。FTIR分光法による結果は、WF6及びNH3半反応が完了し、600°Kを超える温度で自己制限的であることを示していた。現場の分光楕円偏光法により、Si(100)基板上の薄膜の成長と温度及び反応剤露光との間の関係をモニターした。WF6及びNH3反応剤の露光がそれぞれ3000L及び10,000Lより大きい場合、600−800Kで測定された窒化タングステンの堆積速度は2.55オングストローム/ABサイクルである。X線光電子分光深さ断面試験により、薄膜はW2Nの化学量論的組成を有し、C及びO不純物濃度が低いことが判明した。X線回折による研究で窒化タングステン薄膜が微晶質であることがわかった。原子間力顕微鏡により堆積薄膜を測定した結果、薄膜の滑らかな成長を示す極めて平坦な表面が観察された。原子層制御により堆積されるこれらの滑らかな窒化タングステン薄膜は、コンタクト及びビアホール上のCuの拡散制御として使用すべきである。
AlN:窒化アルミニウムを、トリメチルアルミニウム(TMA)及びアンモニアの原料から原子層化学蒸着(ALCVD)により多孔質シリカ上に成長させた。ALCVDによる成長は、固体基板とのガス状原料の交互且つ分離された飽和反応によるものである。TMA及びアンモニアはそれぞれ、423及び623ケルビン(K)でシリカ上で反応させるが、このシリカは1023Kで脱ヒドロキシル化し、823Kのアンモニアにより予め処理されたものであった。3つの反応サイクルにおける成長を元素分析により量的に調べ、表面の反応生成物をIR及びソリッドステートSi NMR測定により同定する。反応サイクル当たり約2アルミニウム原子/nm2のシリカの定常的な成長が得られる。この成長は主として、(I)表面のAl−Me及びSi−Me基を生じるTMAの反応、及び(II)アルミニウム結合メチル基をアミノ基と置換するアンモニアの反応により起こった。アンモニアはまた、その一部が、TMAとシロキサンブリッジとの分離反応において形成されたシリコン結合メチル基と反応した。TMAは、それが表面のシラノール基及びシロキサンブリッジとしたと同様にアミノ基と反応した。一般的に、Al−N層はシリカ基板と強く相互作用するが、第3の反応サイクルではAlN型サイトが形成されているかもしれない。
装置
図4は本発明によるメモリデバイスを示す。このメモリデバイス440は、メモリアレイ442、行及び列デコーダ444、448及びセンス増幅器回路446を有する。メモリアレイ444は、三元金属ゲートが原子層堆積により形成された多数のトランジスタセル400より成り、それらのワードライン480及びビットライン460はそれぞれ行及び列の一般的構成になっている。メモリアレイ442のビットライン460はセンス増幅器回路446に接続され、一方、ワードライン480は行デコーダ444に接続されている。アドレス及び制御信号はアドレス/制御ライン461上でメモリデバイス440に入力され、列デコーダ448、センス増幅器回路446及び行デコーダ444に接続され、とりわけメモリアレイ442への読み出し及び書き込みアクセスに使用される。
行デコーダ448は、行セレクトライン462上の制御及び行セレクト信号を介してセンス増幅器回路446に接続される。センス増幅器446は、入出力(I/O)データライン463を介して、メモリアレイ442に向かう入力データを受け、またメモリアレイ442から読み出されるデータを出力する。データは、ワードライン480を行デコーダ444を介して作動することによりメモリアレイ442のセルから読み出されるが、このワードラインはそのワードラインに対応する全てのメモリセルを、アレイの列を画定するそれぞれのビットライン460へ結合する。1またはそれ以上のビットライン460も作動される。特定のワードライン480及びビットライン460が作動されると、1つのビットライン列に接続されたセンス増幅器回路446は所与のトランジスタセルを介して感知される導電状態を検出して増幅し、作動されたビットライン460と非作動状態のビットラインである基準ラインとの間の電位差を測定することによりそのビットライン460へ転送する。再び、読み出し動作において、所与のセルのソース領域は接地されたソースラインまたはアレイプレート(図示せず)に結合される。メモリデバイスのセンス増幅器の動作は、例えば、マイクロンテクノロジーに全て譲渡された米国特許第5,627,785;5,280,205;及び5,042,011号に記載されている。
図5は、本発明に従って原子層堆積により三元金属ゲートが形成されたトランジスタセルを用いる電気システムまたはプロセッサ利用システム500を示す。例えば、例示的に(限定の意図なく)、メモリ512は、本発明に従って原子層堆積により形成された三元金属ゲートを有するトランジスタセルを備えた構成である。しかしながら、本発明はそれに限定されず、CPUなどのトランジスタなどにも利用可能である。プロセッサ利用システム500は、コンピュータシステム、プロセス制御システム、及びプロセス及び関連のメモリを用いる任意の他のシステムでよい。システム500は、バス520を介してメモリ512及びI/Oデバイス508と通信するマイクロプロセッサのような中央処理ユニット(CPU)502を有する。バス520は、プロセッサ利用システムに常用される一連のバスまたはブリッジのようなものでよいが、便宜的にバス520を単一バスとして示したことに注意されたい。第2のI/Oバス510を示したが、これは本願の実施にとって必要条件ではない。プロセッサ利用システム500はまたリードオンリーメモリ(ROM)514を備えてもよく、周知のようにバス520を介してCPU502と通信するフロッピーディスクドライブ504及びコンパクトディスク(CD)ROM506のような周辺装置を備えることができる。
当業者は、さらに別の回路及び制御信号を使用し、プロセッサ利用システム500を本発明に焦点を当てるのを助けるために単純化できることがわかるであろう。
図5に示す実施例は、原子層堆積により形成された新規な三元金属ゲートトランジスタセルを用いる電子システム回路の実施例であることがわかるであろう。図5に示すシステム500の説明は、本発明の構造及び回路の1つの利用例の一般的な理解を図るためのものであり、原子層堆積により形成される新規な三元金属ゲートトランジスタセルを用いる電子システムの全ての構成要素及び特徴を完全に記載しようとしたものではない。さらに、本発明は、原子層堆積により形成される新規な三元金属ゲートトランジスタセルを用いる任意サイズ及び任意タイプのシステム500に等しく利用可能であり、図示説明したものに限定する意図はない。当業者であれば理解できるように、かかる電子システムは単一のパケット処理ユニットの形かまたは単一の半導体チップ上に製造可能であり、これによりプロセッサとメモリデバイスとの間の通信時間を減少することができる。
本願に図示説明した原子層堆積により形成される新規な三元金属ゲートトランジスタセルを含む装置の例として、メモリモジュール、デバイスドライバ、パワーモジュール、通信モデム、プロセッサモジュール及び特定用途モジュールに使用する電子システムが含まれ、多層多チップモジュールも含まれる。かかる回路はさらに、時計、テレビジョン、携帯電話、パソコン、自動車、工業用制御システム、航空機などのような多種多様な電子システムのサブコンポーネントの場合もある。
結論
本願は、トランジスタゲートとして三元金属導体の原子層堆積法の利用を述べたものである。組成と仕事関数を変化してCMOS技術のNMOS及びPMOSトランジスタの両方のしきい電圧を制御することにより、最適性能が与えられる。
上記説明は例示的であって限定的でないことを理解すべきである。他の多数の実施例が上記説明を読めば当業者にとって明らかになるであろう。従って、本発明の範囲は頭書の特許請求の範囲及びかかる請求の範囲が享受する均等物の全範囲に関連して決定すべきである。
NMOSトランジスタの所望のエネルギー帯図及び仕事関数を示す。 PMOSトランジスタの所望のエネルギー帯図及び仕事関数を示す。 本発明の種々の実施例に使用される種々の金属窒化物の電子親和力とエネルギーバンドギャップの関係を示すグラフである。 本発明の教示に従って形成されたトランジスタ構造の一実施例を示す。 本発明の実施例に従って原子層堆積により形成された三元金属ゲートを用いるメモリデバイスの実施例を示す。 本発明の実施例に従って原子層堆積により形成された三元金属ゲートを用いる電気システムまたはプロセッサシステムのブロック図である。

Claims (33)

  1. 第1のソース/ドレイン領域と、
    第2のソース/ドレイン領域と、
    第1と第2のソース/ドレイン領域間のチャンネル領域と、
    ゲート絶縁体によりチャンネル領域から分離されたゲートとより成り、ゲートは原子層堆積により形成された三元金属導体を含み、該三元金属導体は組成が所望のしきい電圧を与えるように調整されているトランジスタ。
  2. 三元金属導体は窒化タンタルアルミニウム(TaAlN)を含む請求項1のトランジスタ。
  3. 三元金属導体は窒化チタンアルミニウム(TiAlN)を含む請求項1のトランジスタ。
  4. 三元金属導体は窒化チタンシリコン(TiSiN)を含む請求項1のトランジスタ。
  5. 三元金属導体は窒化タングステンアルミニウム(WAlN)を含む請求項1のトランジスタ。
  6. ゲートはさらに、三元金属導体により形成された導電性ポリシリコン層を含む前記請求項のうち任意の請求項のトランジスタ。
  7. ゲートはさらに、三元金属導体の上に形成された高融点金属を含む前記請求項のうち任意の請求項のトランジスタ。
  8. 高融点金属はタングステン(W)を含む請求項7のトランジスタ。
  9. 高融点金属はタンタル(Ta)を含む請求項7のトランジスタ。
  10. 高融点金属はチタン(Ti)を含む請求項7のトランジスタ。
  11. ソース領域に結合されたソースラインと、ドレイン領域に結合された伝送ラインとをさらに備えた前記請求項のうち任意の請求項のトランジスタより成るメモリセル。
  12. 前記請求項のうち任意の請求項の多数のトランジスタを有するメモリアレイであって、
    トランジスタアレイは、
    各々が基板上に形成され、多数のトランジスタのうち少なくとも1つを有する多数のセルと、
    トランジスタアレイの行に沿って各トランジスタのドレイン領域に結合された多数のビットラインと、
    メモリアレイの列に沿って各トランジスタのゲートに結合された多数のワードラインより成るメモリアレイ。
  13. 多数のワードラインに結合されたワードラインアドレスデコーダと、
    多数のビットラインに結合されたビットラインアドレスデコーダと、
    多数のビットラインに結合されたセンス増幅器とより成る、請求項12によるメモリアレイを有する半導体デバイス。
  14. プロセッサと、
    プロセッサに結合され、メモリアレイを有するメモリアレイとより成る、請求項12によるメモリアレイを含む電子システム。
  15. PMOSトランジスタ及びNMOSトランジスタより成るCMOSデバイスであって、
    PMOSトランジスタ及びNMOSトランジスタのうちの少なくとも1つが請求項1−10のうち任意の請求項のトランジスタを含み、
    NMOS及びPMOSトランジスタがそれぞれソース、ドレイン、ソースとドレイン間のチャンネル領域、及びゲート絶縁体によりチャンネル領域から分離されたゲートを有し、
    NMOS及びPMOSトランジスタのゲートはほぼ同じ大きさの低いしきい電圧を実現するように調整された組成及び仕事関数を有するCMOSデバイス。
  16. ほぼ同じ大きさのしきい電圧は0.4ボルト未満の大きさのしきい電圧を含む請求項15のCMOSデバイス。
  17. ほぼ同じ大きさのしきい電圧はほぼ0.3ボルトの大きさのしきい電圧を含む請求項15のCMOSデバイス。
  18. NMOS及びPMOSトランジスタのゲートのうちの1つは二元金属導体を含み、それ以外のゲートは三元金属導体を含む請求項15のCMOSデバイス。
  19. 二元金属導体は、窒化タンタル(TaN)、窒化チタン(TiN)及び窒化タングステン(WN)の群から選択される二元金属導体を含む請求項18のCMOSデバイス。
  20. PMOSトランジスタ及びNMOSトランジスタより成るCMOSデバイスであって、
    NMOS及びPMOSトランジスタがそれぞれソース、ドレイン、ソースとドレイン間のチャンネル領域、及びゲート絶縁体によりチャンネル領域から分離されたゲートを有し、
    PMOSトランジスタ及びNMOSトランジスタのうちの少なくとも1つは請求項1によるトランジスタを含み、NMOS及びPMOSトランジスタのゲートのうちの1つは三元金属導体として窒化タンタルアルミニウム(TaAlN)を含み、NMOS及びPMOSの残りのゲートは窒化タンタル(TaN)より成る二元金属導体を含んで、ほぼ同じ大きさの低いしきい電圧が実現されるCMOSデバイス。
  21. PMOSトランジスタ及びNMOSトランジスタより成るCMOSデバイスであって、
    NMOS及びPMOSトランジスタがそれぞれソース、ドレイン、ソースとドレイン間のチャンネル領域、及びゲート絶縁体によりチャンネル領域から分離されたゲートを有し、
    PMOSトランジスタ及びNMOSトランジスタのうちの少なくとも1つは請求項1によるトランジスタを含み、NMOS及びPMOSトランジスタのゲートのうちの1つは三元金属導体として窒化チタンアルミニウム(TiAlN)を含み、NMOS及びPMOSの残りのゲートは窒化チタン(TiN)より成る二元金属導体を含んで、ほぼ同じ大きさの低いしきい電圧が実現されるCMOSデバイス。
  22. PMOSトランジスタ及びNMOSトランジスタより成るCMOSデバイスであって、
    NMOS及びPMOSトランジスタがそれぞれソース、ドレイン、ソースとドレイン間のチャンネル領域、及びゲート絶縁体によりチャンネル領域から分離されたゲートを有し、
    PMOSトランジスタ及びNMOSトランジスタのうちの少なくとも1つは請求項1によるトランジスタを含み、NMOS及びPMOSトランジスタのゲートのうちの1つは三元金属導体として窒化タングステンアルミニウム(WAlN)を含み、NMOS及びPMOSの残りのゲートは窒化タングステン(WN)より成る二元金属導体を含んで、ほぼ同じ大きさの低いしきい電圧が実現されるCMOSデバイス。
  23. トランジスタを形成する方法であって、
    第1のソース/ドレイン領域、第2のソース/ドレイン領域及びそれら領域間のチャンネル領域を基板に形成し、
    チャンネル領域に対向し第1のゲート絶縁体によりチャンネル領域から分離されたゲートを形成するステップより成り、
    ゲートを形成するステップは、所望のしきい値が得られるように調整された組成を与えるように原子層堆積により三元金属導体を形成するステップを含むトランジスタの形成方法。
  24. 原子層堆積により三元金属導体を形成するステップは、窒化タンタルアルミニウム(TaAlN)層を形成するステップを含む請求項23の方法。
  25. 原子層堆積により三元金属導体を形成するステップは、窒化チタンアルミニウム(TiAlN)層を形成するステップを含む請求項23の方法。
  26. 原子層堆積により三元金属導体を形成するステップは、窒化チタンシリコン(TiSiN)層を形成するステップを含む請求項23の方法。
  27. 原子層堆積により三元金属導体を形成するステップは、窒化タングステンアルミニウム(WAlN)層を形成するステップを含む請求項23の方法。
  28. ゲートを形成するステップはさらに、三元金属導体の上に高融点金属層を形成するステップを含む請求項23−27のうち任意の請求項の方法。
  29. ゲートを形成するステップはさらに、三元金属導体の上に導電性ポリシリコン層を形成するステップを含む請求項23−27のうち任意の請求項の方法。
  30. CMOSデバイスを形成する方法であって、
    PMOSトランジスタを形成し、
    NMOSトランジスタを形成するステップより成り、
    PMOSトランジスタ及びNMOSトランジスタのうち少なくとも1つを形成するステップは、請求項23−29のうち任意の請求項のトランジスタを形成するステップを含み、
    NMOS及びPMOSトランジスタを形成するステップは、それぞれのトランジスタのしきい電圧をほぼ同じ大きさに制御するためにそれぞれのトランジスタに調整された仕事関数及び調整された組成を有するゲートを形成するステップを含むCMOSデバイスの形成方法。
  31. CMOSデバイスを形成する方法であって、
    PMOSトランジスタを形成し、
    NMOSトランジスタを形成するステップより成り、
    PMOSトランジスタ及びNMOSトランジスタのうち少なくとも1つを形成するステップは、請求項24のトランジスタを形成するステップを含み、PMOSトランジスタ及びNMOSトランジスタのうちの残りのトランジスタを形成するステップは、原子層堆積により二元金属導体を有するトランジスタゲートを形成するステップを含み、二元金属導体は窒化タンタル(TaN)層を含むためNMOSトランジスタ及びPMOSトランジスタがほぼ同じ大きさのしきい電圧を有するCMOSトランジスタの形成方法。
  32. CMOSデバイスを形成する方法であって、
    PMOSトランジスタを形成し、
    NMOSトランジスタを形成するステップより成り、
    PMOSトランジスタ及びNMOSトランジスタのうち少なくとも1つを形成するステップは、請求項24のトランジスタを形成するステップを含み、PMOSトランジスタ及びNMOSトランジスタのうちの残りのトランジスタを形成するステップは、原子層堆積により二元金属導体を有するトランジスタゲートを形成するステップを含み、二元金属導体は窒化チタン(TiN)層を含むためNMOSトランジスタ及びPMOSトランジスタがほぼ同じ大きさのしきい電圧を有するCMOSトランジスタの形成方法。
  33. CMOSデバイスを形成する方法であって、
    PMOSトランジスタを形成し、
    NMOSトランジスタを形成するステップより成り、
    PMOSトランジスタ及びNMOSトランジスタのうち少なくとも1つを形成するステップは、請求項25のトランジスタを形成するステップを含み、PMOSトランジスタ及びNMOSトランジスタのうちの残りのトランジスタを形成するステップは、原子層堆積により二元金属導体を有するトランジスタゲートを形成するステップを含み、二元金属導体は窒化タングステン(WN)層を含むためNMOSトランジスタ及びPMOSトランジスタがほぼ同じ大きさのしきい電圧を有するCMOSトランジスタの形成方法。
JP2004529930A 2002-08-22 2003-08-21 Cmosゲートの原子層堆積法 Pending JP2005536877A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/225,605 US20040036129A1 (en) 2002-08-22 2002-08-22 Atomic layer deposition of CMOS gates with variable work functions
PCT/US2003/026487 WO2004019394A1 (en) 2002-08-22 2003-08-21 Atomic layer deposition of cmos gates

Publications (1)

Publication Number Publication Date
JP2005536877A true JP2005536877A (ja) 2005-12-02

Family

ID=31887038

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004529930A Pending JP2005536877A (ja) 2002-08-22 2003-08-21 Cmosゲートの原子層堆積法

Country Status (7)

Country Link
US (4) US20040036129A1 (ja)
EP (1) EP1532669A1 (ja)
JP (1) JP2005536877A (ja)
KR (1) KR100701542B1 (ja)
CN (1) CN100359640C (ja)
AU (1) AU2003260042A1 (ja)
WO (1) WO2004019394A1 (ja)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007533156A (ja) * 2004-04-19 2007-11-15 フリースケール セミコンダクター インコーポレイテッド 金属からなるゲート電極を形成するための方法
WO2008072573A1 (ja) * 2006-12-11 2008-06-19 Sony Corporation 半導体装置の製造方法および半導体装置
JP2009540123A (ja) * 2006-06-09 2009-11-19 マイクロン テクノロジー, インク. 原子層堆積法を用いる材料層の形成方法
JP2011205057A (ja) * 2010-03-01 2011-10-13 Canon Anelva Corp 金属窒化膜、該金属窒化膜を用いた半導体装置、および半導体装置の製造方法
JP2012119432A (ja) * 2010-11-30 2012-06-21 Hitachi Kokusai Electric Inc 半導体デバイスの製造方法、基板処理装置および半導体デバイス
US8361850B2 (en) 2006-12-11 2013-01-29 Sony Corporation Metal oxide semiconductor having epitaxial source drain regions and a method of manufacturing same using dummy gate process

Families Citing this family (415)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7554829B2 (en) * 1999-07-30 2009-06-30 Micron Technology, Inc. Transmission lines for CMOS integrated circuits
US9139906B2 (en) * 2001-03-06 2015-09-22 Asm America, Inc. Doping with ALD technology
US8026161B2 (en) * 2001-08-30 2011-09-27 Micron Technology, Inc. Highly reliable amorphous high-K gate oxide ZrO2
US7068544B2 (en) * 2001-08-30 2006-06-27 Micron Technology, Inc. Flash memory with low tunnel barrier interpoly insulators
US6900122B2 (en) * 2001-12-20 2005-05-31 Micron Technology, Inc. Low-temperature grown high-quality ultra-thin praseodymium gate dielectrics
US7160577B2 (en) * 2002-05-02 2007-01-09 Micron Technology, Inc. Methods for atomic-layer deposition of aluminum oxides in integrated circuits
US7045430B2 (en) * 2002-05-02 2006-05-16 Micron Technology Inc. Atomic layer-deposited LaAlO3 films for gate dielectrics
US7135421B2 (en) * 2002-06-05 2006-11-14 Micron Technology, Inc. Atomic layer-deposited hafnium aluminum oxide
US7205218B2 (en) * 2002-06-05 2007-04-17 Micron Technology, Inc. Method including forming gate dielectrics having multiple lanthanide oxide layers
US7221017B2 (en) * 2002-07-08 2007-05-22 Micron Technology, Inc. Memory utilizing oxide-conductor nanolaminates
US7221586B2 (en) 2002-07-08 2007-05-22 Micron Technology, Inc. Memory utilizing oxide nanolaminates
US6884739B2 (en) * 2002-08-15 2005-04-26 Micron Technology Inc. Lanthanide doped TiOx dielectric films by plasma oxidation
US6790791B2 (en) * 2002-08-15 2004-09-14 Micron Technology, Inc. Lanthanide doped TiOx dielectric films
US20040036129A1 (en) * 2002-08-22 2004-02-26 Micron Technology, Inc. Atomic layer deposition of CMOS gates with variable work functions
US7045406B2 (en) * 2002-12-03 2006-05-16 Asm International, N.V. Method of forming an electrode with adjusted work function
US7192849B2 (en) * 2003-05-07 2007-03-20 Sensor Electronic Technology, Inc. Methods of growing nitride-based film using varying pulses
US6970053B2 (en) * 2003-05-22 2005-11-29 Micron Technology, Inc. Atomic layer deposition (ALD) high permeability layered magnetic films to reduce noise in high speed interconnection
US6967131B2 (en) * 2003-10-29 2005-11-22 International Business Machines Corp. Field effect transistor with electroplated metal gate
US7189287B2 (en) * 2004-06-29 2007-03-13 Micron Technology, Inc. Atomic layer deposition using electron bombardment
US7081421B2 (en) * 2004-08-26 2006-07-25 Micron Technology, Inc. Lanthanide oxide dielectric layer
US7588988B2 (en) * 2004-08-31 2009-09-15 Micron Technology, Inc. Method of forming apparatus having oxide films formed using atomic layer deposition
US7494939B2 (en) * 2004-08-31 2009-02-24 Micron Technology, Inc. Methods for forming a lanthanum-metal oxide dielectric layer
US7561017B2 (en) * 2004-09-13 2009-07-14 Cooper Technologies Company Fusible switching disconnect modules and devices
US7474194B2 (en) * 2004-09-13 2009-01-06 Cooper Technologies Company Fusible switching disconnect modules and devices
US7576630B2 (en) * 2004-09-13 2009-08-18 Cooper Technologies Company Fusible switching disconnect modules and devices
AU2005285103B2 (en) * 2004-09-13 2010-01-28 Eaton Intelligent Power Limited Fusible switching disconnect modules and devices
KR100552820B1 (ko) * 2004-09-17 2006-02-21 동부아남반도체 주식회사 반도체 소자의 제조 방법
US7877112B2 (en) * 2004-11-19 2011-01-25 Nextel Communications Inc. SIM card data transfer system and methods
US20060125030A1 (en) * 2004-12-13 2006-06-15 Micron Technology, Inc. Hybrid ALD-CVD of PrxOy/ZrO2 films as gate dielectrics
US7235501B2 (en) * 2004-12-13 2007-06-26 Micron Technology, Inc. Lanthanum hafnium oxide dielectrics
KR100604923B1 (ko) 2005-01-04 2006-07-28 삼성전자주식회사 원자층 증착법에 의한 티탄 알루미늄 질화막 형성방법 및이를 이용하여 제조된 발열 전극을 갖는 상변화 메모리 소자
US7560395B2 (en) * 2005-01-05 2009-07-14 Micron Technology, Inc. Atomic layer deposited hafnium tantalum oxide dielectrics
US7374964B2 (en) * 2005-02-10 2008-05-20 Micron Technology, Inc. Atomic layer deposition of CeO2/Al2O3 films as gate dielectrics
JP2006278376A (ja) * 2005-03-28 2006-10-12 Renesas Technology Corp 半導体装置およびその製造方法
US7687409B2 (en) 2005-03-29 2010-03-30 Micron Technology, Inc. Atomic layer deposited titanium silicon oxide films
US7662729B2 (en) 2005-04-28 2010-02-16 Micron Technology, Inc. Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer
US7390756B2 (en) * 2005-04-28 2008-06-24 Micron Technology, Inc. Atomic layer deposited zirconium silicon oxide films
KR100688555B1 (ko) * 2005-06-30 2007-03-02 삼성전자주식회사 Mos트랜지스터를 구비하는 반도체 소자 및 그 제조 방법
US7927948B2 (en) 2005-07-20 2011-04-19 Micron Technology, Inc. Devices with nanocrystals and methods of formation
US7317229B2 (en) * 2005-07-20 2008-01-08 Applied Materials, Inc. Gate electrode structures and methods of manufacture
US7473637B2 (en) * 2005-07-20 2009-01-06 Micron Technology, Inc. ALD formed titanium nitride films
US8071476B2 (en) * 2005-08-31 2011-12-06 Micron Technology, Inc. Cobalt titanium oxide dielectric films
US7410910B2 (en) * 2005-08-31 2008-08-12 Micron Technology, Inc. Lanthanum aluminum oxynitride dielectric films
US7332433B2 (en) * 2005-09-22 2008-02-19 Sematech Inc. Methods of modulating the work functions of film layers
KR100721203B1 (ko) * 2005-12-29 2007-05-23 주식회사 하이닉스반도체 3원계 옥사이드 게이트절연막을 갖는 반도체소자 및 그제조방법
KR100756035B1 (ko) * 2006-01-03 2007-09-07 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US20070164323A1 (en) * 2006-01-18 2007-07-19 Micron Technology, Inc. CMOS gates with intermetallic compound tunable work functions
US7709402B2 (en) 2006-02-16 2010-05-04 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride films
US7605030B2 (en) 2006-08-31 2009-10-20 Micron Technology, Inc. Hafnium tantalum oxynitride high-k dielectric and metal gates
KR101427142B1 (ko) * 2006-10-05 2014-08-07 에이에스엠 아메리카, 인코포레이티드 금속 규산염 막의 원자층 증착
US9087877B2 (en) * 2006-10-24 2015-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Low-k interconnect structures with reduced RC delay
US20080241387A1 (en) * 2007-03-29 2008-10-02 Asm International N.V. Atomic layer deposition reactor
US7759237B2 (en) 2007-06-28 2010-07-20 Micron Technology, Inc. Method of forming lutetium and lanthanum dielectric structures
US8026168B2 (en) * 2007-08-15 2011-09-27 Tokyo Electron Limited Semiconductor device containing an aluminum tantalum carbonitride barrier film and method of forming
US20090246952A1 (en) * 2008-03-28 2009-10-01 Tokyo Electron Limited Method of forming a cobalt metal nitride barrier film
US8945675B2 (en) 2008-05-29 2015-02-03 Asm International N.V. Methods for forming conductive titanium oxide thin films
US7985680B2 (en) * 2008-08-25 2011-07-26 Tokyo Electron Limited Method of forming aluminum-doped metal carbonitride gate electrodes
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8557702B2 (en) * 2009-02-02 2013-10-15 Asm America, Inc. Plasma-enhanced atomic layers deposition of conductive material over dielectric layers
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8436473B2 (en) 2009-05-06 2013-05-07 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits including air gaps around interconnect structures, and fabrication methods thereof
US8716862B2 (en) * 2009-05-06 2014-05-06 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit including a gate and a metallic connecting line
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20110095379A1 (en) * 2009-10-28 2011-04-28 International Business Machines Corporation Scaling of metal gate with aluminum containing metal layer for threshold voltage shift
CN102110653A (zh) * 2009-12-29 2011-06-29 中芯国际集成电路制造(上海)有限公司 形成双金属栅极结构的方法
US8134828B2 (en) * 2010-01-21 2012-03-13 Cooper Technologies Company Configurable deadfront fusible panelboard
US8232607B2 (en) 2010-11-23 2012-07-31 International Business Machines Corporation Borderless contact for replacement gate employing selective deposition
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) * 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US9646876B2 (en) 2015-02-27 2017-05-09 Applied Materials, Inc. Aluminum nitride barrier layer
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9540729B1 (en) 2015-08-25 2017-01-10 Asm Ip Holding B.V. Deposition of titanium nanolaminates for use in integrated circuit fabrication
US9523148B1 (en) 2015-08-25 2016-12-20 Asm Ip Holdings B.V. Process for deposition of titanium oxynitride for use in integrated circuit fabrication
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10790196B2 (en) * 2017-11-09 2020-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. Threshold voltage tuning for fin-based integrated circuit device
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11342453B2 (en) 2020-08-18 2022-05-24 Globalfoundries U.S. Inc. Field effect transistor with asymmetric gate structure and method
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
KR102641124B1 (ko) * 2021-06-24 2024-02-28 한양대학교 산학협력단 원자층 증착법을 통해 일함수가 조절되는 반도체 소자의 게이트 구조체 및 그 형성방법
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11791391B1 (en) 2022-03-18 2023-10-17 Micron Technology, Inc. Inverters, and related memory devices and electronic systems

Family Cites Families (172)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6482262B1 (en) * 1959-10-10 2002-11-19 Asm Microchemistry Oy Deposition of transition metal carbides
US3171900A (en) * 1960-07-26 1965-03-02 Gen Dynamics Corp Automatic communication system
US3381114A (en) * 1963-12-28 1968-04-30 Nippon Electric Co Device for manufacturing epitaxial crystals
US3865654A (en) * 1972-11-01 1975-02-11 Ibm Complementary field effect transistor having p doped silicon gates and process for making the same
SE393967B (sv) * 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
US4215156A (en) * 1977-08-26 1980-07-29 International Business Machines Corporation Method for fabricating tantalum semiconductor contacts
FI57975C (fi) * 1979-02-28 1980-11-10 Lohja Ab Oy Foerfarande och anordning vid uppbyggande av tunna foereningshinnor
US4333808A (en) * 1979-10-30 1982-06-08 International Business Machines Corporation Method for manufacture of ultra-thin film capacitor
US4389973A (en) * 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
US4435896A (en) * 1981-12-07 1984-03-13 Bell Telephone Laboratories, Incorporated Method for fabricating complementary field effect transistor devices
DE3364607D1 (en) * 1982-03-15 1986-08-28 Toshiba Kk Optical type information recording medium
US4757360A (en) * 1983-07-06 1988-07-12 Rca Corporation Floating gate memory device with facing asperities on floating and control gates
US4590042A (en) * 1984-12-24 1986-05-20 Tegal Corporation Plasma reactor having slotted manifold
US4920071A (en) * 1985-03-15 1990-04-24 Fairchild Camera And Instrument Corporation High temperature interconnect system for an integrated circuit
US4931411A (en) * 1985-05-01 1990-06-05 Texas Instruments Incorporated Integrated circuit process with TiN-gate transistor
US4814854A (en) * 1985-05-01 1989-03-21 Texas Instruments Incorporated Integrated circuit device and process with tin-gate transistor
US4811078A (en) * 1985-05-01 1989-03-07 Texas Instruments Incorporated Integrated circuit device and process with tin capacitors
US4947221A (en) * 1985-11-29 1990-08-07 General Electric Company Memory cell for a dense EPROM
DE3606959A1 (de) * 1986-03-04 1987-09-10 Leybold Heraeus Gmbh & Co Kg Vorrichtung zur plasmabehandlung von substraten in einer durch hochfrequenz angeregten plasmaentladung
JP2776826B2 (ja) * 1988-04-15 1998-07-16 株式会社日立製作所 半導体装置およびその製造方法
US5153144A (en) * 1988-05-10 1992-10-06 Hitachi, Ltd. Method of making tunnel EEPROM
JPH029115A (ja) * 1988-06-28 1990-01-12 Mitsubishi Electric Corp 半導体製造装置
US5042011A (en) * 1989-05-22 1991-08-20 Micron Technology, Inc. Sense amplifier pulldown device with tailored edge input
US4993358A (en) * 1989-07-28 1991-02-19 Watkins-Johnson Company Chemical vapor deposition reactor and method of operation
US5198029A (en) * 1989-08-01 1993-03-30 Gte Products Corporation Apparatus for coating small solids
CA2033137C (en) * 1989-12-22 1995-07-18 Kenjiro Higaki Microwave component and method for fabricating substrate for use in microwave component
US6110529A (en) * 1990-07-06 2000-08-29 Advanced Tech Materials Method of forming metal films on a substrate by chemical vapor deposition
US5080928A (en) * 1990-10-05 1992-01-14 Gte Laboratories Incorporated Method for making moisture insensitive zinc sulfide based luminescent materials
US5192589A (en) * 1991-09-05 1993-03-09 Micron Technology, Inc. Low-pressure chemical vapor deposition process for depositing thin titanium nitride films having low and stable resistivity
US5280205A (en) * 1992-04-16 1994-01-18 Micron Technology, Inc. Fast sense amplifier
US5262199A (en) * 1992-04-17 1993-11-16 Center For Innovative Technology Coating porous materials with metal oxides and other ceramics by MOCVD
US6081034A (en) * 1992-06-12 2000-06-27 Micron Technology, Inc. Low-resistance contact to silicon having a titanium silicide interface and an amorphous titanium carbonitride barrier layer
US5399379A (en) * 1993-04-14 1995-03-21 Micron Semiconductor, Inc. Low-pressure chemical vapor deposition process for depositing high-density, highly-conformal titanium nitride films of low bulk resistivity
US5246881A (en) * 1993-04-14 1993-09-21 Micron Semiconductor, Inc. Low-pressure chemical vapor deposition process for depositing high-density, highly-conformal, titanium nitride films of low bulk resistivity
US5429966A (en) * 1993-07-22 1995-07-04 National Science Council Method of fabricating a textured tunnel oxide for EEPROM applications
US5610099A (en) * 1994-06-28 1997-03-11 Ramtron International Corporation Process for fabricating transistors using composite nitride structure
US6093615A (en) * 1994-08-15 2000-07-25 Micron Technology, Inc. Method of fabricating a contact structure having a composite barrier layer between a platinum layer and a polysilicon plug
US5747116A (en) * 1994-11-08 1998-05-05 Micron Technology, Inc. Method of forming an electrical contact to a silicon substrate
US5576579A (en) * 1995-01-12 1996-11-19 International Business Machines Corporation Tasin oxygen diffusion barrier in multilayer structures
US5653813A (en) * 1995-04-03 1997-08-05 Novellus Systems, Inc. Cyclone evaporator
JP3360098B2 (ja) * 1995-04-20 2002-12-24 東京エレクトロン株式会社 処理装置のシャワーヘッド構造
US5589413A (en) * 1995-11-27 1996-12-31 Taiwan Semiconductor Manufacturing Company Method of manufacturing self-aligned bit-line during EPROM fabrication
US5607722A (en) * 1996-02-09 1997-03-04 Micron Technology, Inc. Process for titanium nitride deposition using five-and six-coordinate titanium complexes
US5659057A (en) * 1996-02-09 1997-08-19 Micron Technology, Inc. Five- and six-coordinate precursors for titanium nitride deposition
US5627785A (en) * 1996-03-15 1997-05-06 Micron Technology, Inc. Memory device with a sense amplifier
US5735960A (en) * 1996-04-02 1998-04-07 Micron Technology, Inc. Apparatus and method to increase gas residence time in a reactor
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
JP3193302B2 (ja) * 1996-06-26 2001-07-30 ティーディーケイ株式会社 膜構造体、電子デバイス、記録媒体および強誘電体薄膜の製造方法
US5916365A (en) * 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
JPH1079481A (ja) * 1996-09-05 1998-03-24 Mitsubishi Electric Corp 導電層接続構造およびその製造方法
EP0854210B1 (en) * 1996-12-19 2002-03-27 Toshiba Ceramics Co., Ltd. Vapor deposition apparatus for forming thin film
US5905280A (en) * 1997-02-11 1999-05-18 Micron Technology, Inc. Capacitor structures, DRAM cell structures, methods of forming capacitors, methods of forming DRAM cells, and integrated circuits incorporating capacitor structures and DRAM cell structures
US6461982B2 (en) * 1997-02-27 2002-10-08 Micron Technology, Inc. Methods for forming a dielectric film
US6174377B1 (en) * 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US5855675A (en) * 1997-03-03 1999-01-05 Genus, Inc. Multipurpose processing chamber for chemical vapor deposition processes
US5828113A (en) * 1997-03-28 1998-10-27 Macronix International Co., Ltd. Double density MROM array structure
JPH10341002A (ja) * 1997-06-06 1998-12-22 Oki Electric Ind Co Ltd 強誘電体トランジスタ、半導体記憶装置、強誘電体トランジスタの取扱い方法および強誘電体トランジスタの製造方法
KR100385946B1 (ko) * 1999-12-08 2003-06-02 삼성전자주식회사 원자층 증착법을 이용한 금속층 형성방법 및 그 금속층을장벽금속층, 커패시터의 상부전극, 또는 하부전극으로구비한 반도체 소자
US6020024A (en) * 1997-08-04 2000-02-01 Motorola, Inc. Method for forming high dielectric constant metal oxides
KR100261017B1 (ko) * 1997-08-19 2000-08-01 윤종용 반도체 장치의 금속 배선층을 형성하는 방법
US6161500A (en) 1997-09-30 2000-12-19 Tokyo Electron Limited Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions
US6054768A (en) * 1997-10-02 2000-04-25 Micron Technology, Inc. Metal fill by treatment of mobility layers
US5972430A (en) * 1997-11-26 1999-10-26 Advanced Technology Materials, Inc. Digital chemical vapor deposition (CVD) method for forming a multi-component oxide layer
US6383955B1 (en) 1998-02-05 2002-05-07 Asm Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film
US6492694B2 (en) 1998-02-27 2002-12-10 Micron Technology, Inc. Highly conductive composite polysilicon gate for CMOS integrated circuits
US6360685B1 (en) 1998-05-05 2002-03-26 Applied Materials, Inc. Sub-atmospheric chemical vapor deposition system with dopant bypass
US6225168B1 (en) * 1998-06-04 2001-05-01 Advanced Micro Devices, Inc. Semiconductor device having metal gate electrode and titanium or tantalum nitride gate dielectric barrier layer and process of fabrication thereof
US6302964B1 (en) 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6027961A (en) * 1998-06-30 2000-02-22 Motorola, Inc. CMOS semiconductor devices and method of formation
US6391769B1 (en) 1998-08-19 2002-05-21 Samsung Electronics Co., Ltd. Method for forming metal interconnection in semiconductor device and interconnection structure fabricated thereby
US6271131B1 (en) 1998-08-26 2001-08-07 Micron Technology, Inc. Methods for forming rhodium-containing layers such as platinum-rhodium barrier layers
US6197628B1 (en) * 1998-08-27 2001-03-06 Micron Technology, Inc. Ruthenium silicide diffusion barrier layers and methods of forming same
US6583022B1 (en) * 1998-08-27 2003-06-24 Micron Technology, Inc. Methods of forming roughened layers of platinum and methods of forming capacitors
US6323081B1 (en) * 1998-09-03 2001-11-27 Micron Technology, Inc. Diffusion barrier layers and methods of forming same
US6204172B1 (en) * 1998-09-03 2001-03-20 Micron Technology, Inc. Low temperature deposition of barrier layers
US6338880B1 (en) * 1998-09-04 2002-01-15 Micron Technology, Inc. Chemical vapor deposition process for depositing titanium nitride films from an organometallic compound
JP2000133633A (ja) * 1998-09-09 2000-05-12 Texas Instr Inc <Ti> ハ―ドマスクおよびプラズマ活性化エッチャントを使用した材料のエッチング方法
EP0990918B1 (en) 1998-09-28 2009-01-21 NEC Electronics Corporation Device and method for nondestructive inspection on semiconductor device
US6218293B1 (en) * 1998-11-13 2001-04-17 Micron Technology, Inc. Batch processing for semiconductor wafers to form aluminum nitride and titanium aluminum nitride
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6445023B1 (en) * 1999-03-16 2002-09-03 Micron Technology, Inc. Mixed metal nitride and boride barrier layers
KR100319884B1 (ko) 1999-04-12 2002-01-10 윤종용 반도체소자의 커패시터 및 그 제조방법
US6171900B1 (en) * 1999-04-15 2001-01-09 Taiwan Semiconductor Manufacturing Company CVD Ta2O5/oxynitride stacked gate insulator with TiN gate electrode for sub-quarter micron MOSFET
US6410432B1 (en) * 1999-04-27 2002-06-25 Tokyo Electron Limited CVD of integrated Ta and TaNx films from tantalum halide precursors
US6524952B1 (en) 1999-06-25 2003-02-25 Applied Materials, Inc. Method of forming a titanium silicide layer on a substrate
US6206972B1 (en) * 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US6297539B1 (en) * 1999-07-19 2001-10-02 Sharp Laboratories Of America, Inc. Doped zirconia, or zirconia-like, dielectric film transistor structure and deposition method for same
US6630718B1 (en) * 1999-07-26 2003-10-07 Micron Technology, Inc. Transistor gate and local interconnect
KR20010017820A (ko) * 1999-08-14 2001-03-05 윤종용 반도체 소자 및 그 제조방법
US6635939B2 (en) * 1999-08-24 2003-10-21 Micron Technology, Inc. Boron incorporated diffusion barrier material
WO2001024265A1 (fr) * 1999-09-30 2001-04-05 Rohm, Co., Ltd. Memoire non volatile
US6576053B1 (en) * 1999-10-06 2003-06-10 Samsung Electronics Co., Ltd. Method of forming thin film using atomic layer deposition method
US6727169B1 (en) 1999-10-15 2004-04-27 Asm International, N.V. Method of making conformal lining layers for damascene metallization
US6203613B1 (en) * 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
KR100304714B1 (ko) * 1999-10-20 2001-11-02 윤종용 금속 할로겐 가스를 사용한 반도체 소자의 금속 박막 형성방법
KR20020063206A (ko) * 1999-12-09 2002-08-01 동경 엘렉트론 주식회사 티탄실리콘나이트라이드막의 성막방법,티탄실리콘나이트라이드막으로 이루어진 확산방지막,반도체장치 및 그 제조방법, 및티탄실리콘나이트라이드막의 성막장치
TW439212B (en) * 1999-12-16 2001-06-07 Taiwan Semiconductor Mfg Method for preventing the open source line of ETOX flash memory with self-aligned source
US6485988B2 (en) * 1999-12-22 2002-11-26 Texas Instruments Incorporated Hydrogen-free contact etch for ferroelectric capacitor formation
KR100313091B1 (ko) 1999-12-29 2001-11-07 박종섭 반도체장치의 TaON 게이트절연막 형성방법
US6551399B1 (en) * 2000-01-10 2003-04-22 Genus Inc. Fully integrated process for MIM capacitors using atomic layer deposition
FI20000099A0 (fi) * 2000-01-18 2000-01-18 Asm Microchemistry Ltd Menetelmä metalliohutkalvojen kasvattamiseksi
DE60125338T2 (de) * 2000-03-07 2007-07-05 Asm International N.V. Gradierte dünne schichten
US6444039B1 (en) 2000-03-07 2002-09-03 Simplus Systems Corporation Three-dimensional showerhead apparatus
KR100363088B1 (ko) * 2000-04-20 2002-12-02 삼성전자 주식회사 원자층 증착방법을 이용한 장벽 금속막의 제조방법
KR100640067B1 (ko) * 2000-05-02 2006-10-31 한라공조주식회사 컨트롤 박스의 스위칭 회로 냉각장치
US6482733B2 (en) * 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
US6921712B2 (en) * 2000-05-15 2005-07-26 Asm International Nv Process for producing integrated circuits including reduction using gaseous organic compounds
US6432779B1 (en) 2000-05-18 2002-08-13 Motorola, Inc. Selective removal of a metal oxide dielectric
KR100403611B1 (ko) * 2000-06-07 2003-11-01 삼성전자주식회사 금속-절연체-금속 구조의 커패시터 및 그 제조방법
KR100647442B1 (ko) * 2000-06-07 2006-11-17 주성엔지니어링(주) 원자층 증착법을 이용한 박막 형성방법
KR100368311B1 (ko) * 2000-06-27 2003-01-24 주식회사 하이닉스반도체 반도체 소자의 게이트 형성 방법
KR100569587B1 (ko) * 2000-06-30 2006-04-10 주식회사 하이닉스반도체 고유전체 캐패시터의 제조 방법
US6214662B1 (en) * 2000-07-03 2001-04-10 Taiwan Semiconductor Manufacturing Company Forming self-align source line for memory array
EP1301941A2 (en) * 2000-07-20 2003-04-16 North Carolina State University High dielectric constant metal silicates formed by controlled metal-surface reactions
US6461909B1 (en) * 2000-08-30 2002-10-08 Micron Technology, Inc. Process for fabricating RuSixOy-containing adhesion layers
US6599781B1 (en) * 2000-09-27 2003-07-29 Chou H. Li Solid state device
US7118942B1 (en) * 2000-09-27 2006-10-10 Li Chou H Method of making atomic integrated circuit device
US6784515B1 (en) * 2000-09-27 2004-08-31 Chou H Li Semiconductor integrated circuit device
US6465334B1 (en) 2000-10-05 2002-10-15 Advanced Micro Devices, Inc. Enhanced electroless deposition of dielectric precursor materials for use in in-laid gate MOS transistors
JP2002116237A (ja) * 2000-10-10 2002-04-19 Texas Instr Japan Ltd 半導体集積回路
US6368941B1 (en) 2000-11-08 2002-04-09 United Microelectronics Corp. Fabrication of a shallow trench isolation by plasma oxidation
KR100382149B1 (ko) * 2000-11-30 2003-05-09 한국전자통신연구원 스트론튬 탄탈륨 산화물 박막 형성 방법
US7871676B2 (en) * 2000-12-06 2011-01-18 Novellus Systems, Inc. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
KR100385947B1 (ko) * 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
US6800173B2 (en) * 2000-12-15 2004-10-05 Novellus Systems, Inc. Variable gas conductance control for a process chamber
US6630201B2 (en) * 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US6537901B2 (en) * 2000-12-29 2003-03-25 Hynix Semiconductor Inc. Method of manufacturing a transistor in a semiconductor device
US20020089023A1 (en) * 2001-01-05 2002-07-11 Motorola, Inc. Low leakage current metal oxide-nitrides and method of fabricating same
US6495436B2 (en) * 2001-02-09 2002-12-17 Micron Technology, Inc. Formation of metal oxide gate dielectric
US6348386B1 (en) 2001-04-16 2002-02-19 Motorola, Inc. Method for making a hafnium-based insulating film
US6448192B1 (en) * 2001-04-16 2002-09-10 Motorola, Inc. Method for forming a high dielectric constant material
US6514828B2 (en) * 2001-04-20 2003-02-04 Micron Technology, Inc. Method of fabricating a highly reliable gate oxide
KR100519376B1 (ko) * 2001-06-12 2005-10-07 주식회사 하이닉스반도체 반도체 소자의 확산 방지막 형성 방법
US7037862B2 (en) * 2001-06-13 2006-05-02 Micron Technology, Inc. Dielectric layer forming method and devices formed therewith
US6420279B1 (en) * 2001-06-28 2002-07-16 Sharp Laboratories Of America, Inc. Methods of using atomic layer deposition to deposit a high dielectric constant material on a substrate
US6534420B2 (en) * 2001-07-18 2003-03-18 Micron Technology, Inc. Methods for forming dielectric materials and methods for forming semiconductor devices
US6614079B2 (en) * 2001-07-19 2003-09-02 International Business Machines Corporation All-in-one disposable/permanent spacer elevated source/drain, self-aligned silicide CMOS
US6858904B2 (en) * 2001-08-30 2005-02-22 Micron Technology, Inc. High aspect ratio contact structure with reduced silicon consumption
US6605549B2 (en) * 2001-09-29 2003-08-12 Intel Corporation Method for improving nucleation and adhesion of CVD and ALD films deposited onto low-dielectric-constant dielectrics
US6656282B2 (en) * 2001-10-11 2003-12-02 Moohan Co., Ltd. Atomic layer deposition apparatus and process using remote plasma
US6423619B1 (en) * 2001-11-30 2002-07-23 Motorola, Inc. Transistor metal gate structure that minimizes non-planarity effects and method of formation
US6770521B2 (en) * 2001-11-30 2004-08-03 Texas Instruments Incorporated Method of making multiple work function gates by implanting metals with metallic alloying additives
US6953730B2 (en) * 2001-12-20 2005-10-11 Micron Technology, Inc. Low-temperature grown high quality ultra-thin CoTiO3 gate dielectrics
US6620670B2 (en) * 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
US6794234B2 (en) * 2002-01-30 2004-09-21 The Regents Of The University Of California Dual work function CMOS gate technology based on metal interdiffusion
US6873020B2 (en) * 2002-02-22 2005-03-29 North Carolina State University High/low work function metal alloys for integrated circuit electrodes
US6660577B2 (en) * 2002-02-23 2003-12-09 Taiwan Semiconductor Manufacturing Co. Ltd Method for fabricating metal gates in deep sub-micron devices
US20040023810A1 (en) * 2002-07-26 2004-02-05 Alex Ignatiev Superconductor material on a tape substrate
US6921702B2 (en) * 2002-07-30 2005-07-26 Micron Technology Inc. Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics
US20040036129A1 (en) * 2002-08-22 2004-02-26 Micron Technology, Inc. Atomic layer deposition of CMOS gates with variable work functions
US6967154B2 (en) * 2002-08-26 2005-11-22 Micron Technology, Inc. Enhanced atomic layer deposition
US6830983B2 (en) 2002-08-29 2004-12-14 Micron Technology, Inc. Method of making an oxygen diffusion barrier for semiconductor devices using platinum, rhodium, or iridium stuffed with silicon oxide
KR100460841B1 (ko) * 2002-10-22 2004-12-09 한국전자통신연구원 플라즈마 인가 원자층 증착법을 통한 질소첨가 산화물박막의 형성방법
US6958302B2 (en) * 2002-12-04 2005-10-25 Micron Technology, Inc. Atomic layer deposited Zr-Sn-Ti-O films using TiI4
US7473640B2 (en) * 2003-01-15 2009-01-06 Sharp Laboratories Of America, Inc. Reactive gate electrode conductive barrier
US7183186B2 (en) * 2003-04-22 2007-02-27 Micro Technology, Inc. Atomic layer deposited ZrTiO4 films
US7378129B2 (en) * 2003-08-18 2008-05-27 Micron Technology, Inc. Atomic layer deposition methods of forming conductive metal nitride comprising layers
US7183182B2 (en) * 2003-09-24 2007-02-27 International Business Machines Corporation Method and apparatus for fabricating CMOS field effect transistors
US7510942B2 (en) * 2003-11-25 2009-03-31 Arizona Board Of Regents, Acting For And On Behalf Of Arizona State University Molecular modifications of metal/dielectric interfaces
JP4651955B2 (ja) * 2004-03-03 2011-03-16 東京エレクトロン株式会社 成膜方法
JP4098746B2 (ja) * 2004-04-16 2008-06-11 株式会社東芝 半導体装置
US20060011949A1 (en) * 2004-07-18 2006-01-19 Chih-Wei Yang Metal-gate cmos device and fabrication method of making same
US7393733B2 (en) * 2004-12-01 2008-07-01 Amberwave Systems Corporation Methods of forming hybrid fin field-effect transistor structures
US20060113603A1 (en) * 2004-12-01 2006-06-01 Amberwave Systems Corporation Hybrid semiconductor-on-insulator structures and related methods
US7253050B2 (en) * 2004-12-20 2007-08-07 Infineon Technologies Ag Transistor device and method of manufacture thereof
US7598545B2 (en) * 2005-04-21 2009-10-06 International Business Machines Corporation Using metal/metal nitride bilayers as gate electrodes in self-aligned aggressively scaled CMOS devices
US7473637B2 (en) * 2005-07-20 2009-01-06 Micron Technology, Inc. ALD formed titanium nitride films
US7462538B2 (en) * 2005-11-15 2008-12-09 Infineon Technologies Ag Methods of manufacturing multiple gate CMOS transistors having different gate dielectric materials
US7897217B2 (en) * 2005-11-18 2011-03-01 Tokyo Electron Limited Method and system for performing plasma enhanced atomic layer deposition
US7510943B2 (en) * 2005-12-16 2009-03-31 Infineon Technologies Ag Semiconductor devices and methods of manufacture thereof
US20070164323A1 (en) * 2006-01-18 2007-07-19 Micron Technology, Inc. CMOS gates with intermetallic compound tunable work functions
US20070164367A1 (en) * 2006-01-18 2007-07-19 Micron Technology, Inc. CMOS gates with solid-solution alloy tunable work functions

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007533156A (ja) * 2004-04-19 2007-11-15 フリースケール セミコンダクター インコーポレイテッド 金属からなるゲート電極を形成するための方法
JP4757867B2 (ja) * 2004-04-19 2011-08-24 フリースケール セミコンダクター インコーポレイテッド 金属からなるゲート電極を形成するための方法
JP2009540123A (ja) * 2006-06-09 2009-11-19 マイクロン テクノロジー, インク. 原子層堆積法を用いる材料層の形成方法
TWI447784B (zh) * 2006-06-09 2014-08-01 Micron Technology Inc 使用原子層沈積方法形成材料層之方法
WO2008072573A1 (ja) * 2006-12-11 2008-06-19 Sony Corporation 半導体装置の製造方法および半導体装置
US8361850B2 (en) 2006-12-11 2013-01-29 Sony Corporation Metal oxide semiconductor having epitaxial source drain regions and a method of manufacturing same using dummy gate process
US11404573B2 (en) 2006-12-11 2022-08-02 Sony Group Corporation Metal oxide semiconductor having epitaxial source drain regions and a method of manufacturing same using dummy gate process
US11901454B2 (en) 2006-12-11 2024-02-13 Sony Group Corporation Metal oxide semiconductor having epitaxial source drain regions and a method of manufacturing same using dummy gate process
JP2011205057A (ja) * 2010-03-01 2011-10-13 Canon Anelva Corp 金属窒化膜、該金属窒化膜を用いた半導体装置、および半導体装置の製造方法
US8786031B2 (en) 2010-03-01 2014-07-22 Canon Anelva Corporation Metal nitride film, semiconductor device using the metal nitride film, and manufacturing method of semiconductor device
JP2012119432A (ja) * 2010-11-30 2012-06-21 Hitachi Kokusai Electric Inc 半導体デバイスの製造方法、基板処理装置および半導体デバイス

Also Published As

Publication number Publication date
KR100701542B1 (ko) 2007-03-30
US7351628B2 (en) 2008-04-01
US20040036129A1 (en) 2004-02-26
CN1689143A (zh) 2005-10-26
US20040140513A1 (en) 2004-07-22
US20050179097A1 (en) 2005-08-18
EP1532669A1 (en) 2005-05-25
AU2003260042A1 (en) 2004-03-11
WO2004019394A1 (en) 2004-03-04
CN100359640C (zh) 2008-01-02
KR20050038630A (ko) 2005-04-27
US20050032342A1 (en) 2005-02-10

Similar Documents

Publication Publication Date Title
KR100701542B1 (ko) Cmos 게이트의 원자층 퇴적
US9502256B2 (en) ZrAION films
US7709402B2 (en) Conductive layers for hafnium silicon oxynitride films
US8455959B2 (en) Apparatus containing cobalt titanium oxide
US8951880B2 (en) Dielectrics containing at least one of a refractory metal or a non-refractory metal
US7489545B2 (en) Memory utilizing oxide-nitride nanolaminates
US7405454B2 (en) Electronic apparatus with deposited dielectric layers
KR100623137B1 (ko) 원자층 퇴적된 하프늄-알루미늄 산화물 필름
US20060051925A1 (en) Atomic layer deposition of metal oxynitride layers as gate dielectrics
US20070200243A1 (en) Ald formed titanium nitride films
CN113555279A (zh) 形成含氮化钒的层的方法及包含其的结构
CN115613006A (zh) 用于形成包含过渡金属和第13族元素的层的方法和系统

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20081121

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20090220

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20090220

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20090319

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20090528