DE69740146D1 - Trägerplatte mit einer flexiblen Membran für eine chemisch-mechanische Poliervorrichtung - Google Patents

Trägerplatte mit einer flexiblen Membran für eine chemisch-mechanische Poliervorrichtung

Info

Publication number
DE69740146D1
DE69740146D1 DE69740146T DE69740146T DE69740146D1 DE 69740146 D1 DE69740146 D1 DE 69740146D1 DE 69740146 T DE69740146 T DE 69740146T DE 69740146 T DE69740146 T DE 69740146T DE 69740146 D1 DE69740146 D1 DE 69740146D1
Authority
DE
Germany
Prior art keywords
support plate
mechanical polishing
chemical mechanical
flexible membrane
polishing device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
DE69740146T
Other languages
English (en)
Inventor
Steven M Zuniga
Birang Manoocher
Hung Chen
Sen-Hou Ko
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=24997773&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=DE69740146(D1) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Application granted granted Critical
Publication of DE69740146D1 publication Critical patent/DE69740146D1/de
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/27Work carriers
    • B24B37/30Work carriers for single side lapping of plane surfaces
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/27Work carriers
    • B24B37/30Work carriers for single side lapping of plane surfaces
    • B24B37/32Retaining rings

Landscapes

  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Finish Polishing, Edge Sharpening, And Grinding By Specific Grinding Devices (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
DE69740146T 1996-11-08 1997-11-05 Trägerplatte mit einer flexiblen Membran für eine chemisch-mechanische Poliervorrichtung Expired - Lifetime DE69740146D1 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US74567996A 1996-11-08 1996-11-08
US08/861,260 US6183354B1 (en) 1996-11-08 1997-05-21 Carrier head with a flexible membrane for a chemical mechanical polishing system

Publications (1)

Publication Number Publication Date
DE69740146D1 true DE69740146D1 (de) 2011-04-21

Family

ID=24997773

Family Applications (2)

Application Number Title Priority Date Filing Date
DE69740146T Expired - Lifetime DE69740146D1 (de) 1996-11-08 1997-11-05 Trägerplatte mit einer flexiblen Membran für eine chemisch-mechanische Poliervorrichtung
DE69739521T Expired - Lifetime DE69739521D1 (de) 1996-11-08 1997-11-05 Halterring für eine chemisch-mechanische Poliervorrichtung

Family Applications After (1)

Application Number Title Priority Date Filing Date
DE69739521T Expired - Lifetime DE69739521D1 (de) 1996-11-08 1997-11-05 Halterring für eine chemisch-mechanische Poliervorrichtung

Country Status (7)

Country Link
US (5) US6183354B1 (de)
EP (3) EP1754571B1 (de)
JP (6) JP3439970B2 (de)
KR (1) KR100366425B1 (de)
DE (2) DE69740146D1 (de)
SG (2) SG87925A1 (de)
TW (1) TW344694B (de)

Families Citing this family (220)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6146259A (en) 1996-11-08 2000-11-14 Applied Materials, Inc. Carrier head with local pressure control for a chemical mechanical polishing apparatus
US6183354B1 (en) * 1996-11-08 2001-02-06 Applied Materials, Inc. Carrier head with a flexible membrane for a chemical mechanical polishing system
US6056632A (en) * 1997-02-13 2000-05-02 Speedfam-Ipec Corp. Semiconductor wafer polishing apparatus with a variable polishing force wafer carrier head
US6077385A (en) * 1997-04-08 2000-06-20 Ebara Corporation Polishing apparatus
US6244946B1 (en) 1997-04-08 2001-06-12 Lam Research Corporation Polishing head with removable subcarrier
US5957751A (en) * 1997-05-23 1999-09-28 Applied Materials, Inc. Carrier head with a substrate detection mechanism for a chemical mechanical polishing system
US6398621B1 (en) 1997-05-23 2002-06-04 Applied Materials, Inc. Carrier head with a substrate sensor
FR2778129B1 (fr) * 1998-05-04 2000-07-21 St Microelectronics Sa Disque support de membrane d'une machine de polissage et procede de fonctionnement d'une telle machine
US6106379A (en) * 1998-05-12 2000-08-22 Speedfam-Ipec Corporation Semiconductor wafer carrier with automatic ring extension
US6436228B1 (en) 1998-05-15 2002-08-20 Applied Materials, Inc. Substrate retainer
US6251215B1 (en) * 1998-06-03 2001-06-26 Applied Materials, Inc. Carrier head with a multilayer retaining ring for chemical mechanical polishing
US5961169A (en) * 1998-07-27 1999-10-05 Strasbaugh Apparatus for sensing the presence of a wafer
US6210255B1 (en) 1998-09-08 2001-04-03 Applied Materials, Inc. Carrier head for chemical mechanical polishing a substrate
US6159079A (en) * 1998-09-08 2000-12-12 Applied Materials, Inc. Carrier head for chemical mechanical polishing a substrate
US6244942B1 (en) 1998-10-09 2001-06-12 Applied Materials, Inc. Carrier head with a flexible membrane and adjustable edge pressure
US6277014B1 (en) 1998-10-09 2001-08-21 Applied Materials, Inc. Carrier head with a flexible membrane for chemical mechanical polishing
US6132298A (en) * 1998-11-25 2000-10-17 Applied Materials, Inc. Carrier head with edge control for chemical mechanical polishing
US6165058A (en) * 1998-12-09 2000-12-26 Applied Materials, Inc. Carrier head for chemical mechanical polishing
US6422927B1 (en) * 1998-12-30 2002-07-23 Applied Materials, Inc. Carrier head with controllable pressure and loading area for chemical mechanical polishing
SG82058A1 (en) * 1998-12-30 2001-07-24 Applied Materials Inc Carrier head with controllable pressure and loading area for chemical mechanical polishing
US6162116A (en) 1999-01-23 2000-12-19 Applied Materials, Inc. Carrier head for chemical mechanical polishing
US6179709B1 (en) * 1999-02-04 2001-01-30 Applied Materials, Inc. In-situ monitoring of linear substrate polishing operations
US6425809B1 (en) * 1999-02-15 2002-07-30 Ebara Corporation Polishing apparatus
US6368189B1 (en) * 1999-03-03 2002-04-09 Mitsubishi Materials Corporation Apparatus and method for chemical-mechanical polishing (CMP) head having direct pneumatic wafer polishing pressure
US6231428B1 (en) * 1999-03-03 2001-05-15 Mitsubishi Materials Corporation Chemical mechanical polishing head assembly having floating wafer carrier and retaining ring
TW436382B (en) * 1999-03-12 2001-05-28 Mitsubishi Materials Corp Wafer holding head, wafer polishing apparatus, and method for making wafers
US6527624B1 (en) 1999-03-26 2003-03-04 Applied Materials, Inc. Carrier head for providing a polishing slurry
US6431968B1 (en) * 1999-04-22 2002-08-13 Applied Materials, Inc. Carrier head with a compressible film
EP1052062A1 (de) * 1999-05-03 2000-11-15 Applied Materials, Inc. Vorbehandlung eines fixierten Schleifmittels
US6776692B1 (en) * 1999-07-09 2004-08-17 Applied Materials Inc. Closed-loop control of wafer polishing in a chemical mechanical polishing system
US6494774B1 (en) 1999-07-09 2002-12-17 Applied Materials, Inc. Carrier head with pressure transfer mechanism
US6855043B1 (en) 1999-07-09 2005-02-15 Applied Materials, Inc. Carrier head with a modified flexible membrane
US6241593B1 (en) 1999-07-09 2001-06-05 Applied Materials, Inc. Carrier head with pressurizable bladder
US6358121B1 (en) 1999-07-09 2002-03-19 Applied Materials, Inc. Carrier head with a flexible membrane and an edge load ring
US6645345B2 (en) * 1999-09-02 2003-11-11 Micron Technology, Inc. Wafer planarization using a uniform layer of material and method and apparatus for forming uniform layer of material used in semiconductor processing
JP2001121411A (ja) 1999-10-29 2001-05-08 Applied Materials Inc ウェハー研磨装置
WO2001034472A1 (en) * 1999-11-09 2001-05-17 United Packaging Plc A ring for a wrapping apparatus and a method for manufacturing the same
US6663466B2 (en) * 1999-11-17 2003-12-16 Applied Materials, Inc. Carrier head with a substrate detector
JP3683149B2 (ja) * 2000-02-01 2005-08-17 株式会社東京精密 研磨装置の研磨ヘッドの構造
US7077721B2 (en) * 2000-02-17 2006-07-18 Applied Materials, Inc. Pad assembly for electrochemical mechanical processing
US7066800B2 (en) * 2000-02-17 2006-06-27 Applied Materials Inc. Conductive polishing article for electrochemical mechanical polishing
US7374644B2 (en) * 2000-02-17 2008-05-20 Applied Materials, Inc. Conductive polishing article for electrochemical mechanical polishing
US7059948B2 (en) * 2000-12-22 2006-06-13 Applied Materials Articles for polishing semiconductor substrates
US7670468B2 (en) * 2000-02-17 2010-03-02 Applied Materials, Inc. Contact assembly and method for electrochemical mechanical processing
US7303462B2 (en) * 2000-02-17 2007-12-04 Applied Materials, Inc. Edge bead removal by an electro polishing process
US20040020789A1 (en) * 2000-02-17 2004-02-05 Applied Materials, Inc. Conductive polishing article for electrochemical mechanical polishing
US6979248B2 (en) * 2002-05-07 2005-12-27 Applied Materials, Inc. Conductive polishing article for electrochemical mechanical polishing
US20080156657A1 (en) * 2000-02-17 2008-07-03 Butterfield Paul D Conductive polishing article for electrochemical mechanical polishing
US6884153B2 (en) * 2000-02-17 2005-04-26 Applied Materials, Inc. Apparatus for electrochemical processing
US7678245B2 (en) * 2000-02-17 2010-03-16 Applied Materials, Inc. Method and apparatus for electrochemical mechanical processing
US7029365B2 (en) * 2000-02-17 2006-04-18 Applied Materials Inc. Pad assembly for electrochemical mechanical processing
US7125477B2 (en) * 2000-02-17 2006-10-24 Applied Materials, Inc. Contacts for electrochemical processing
US6991528B2 (en) * 2000-02-17 2006-01-31 Applied Materials, Inc. Conductive polishing article for electrochemical mechanical polishing
US7303662B2 (en) * 2000-02-17 2007-12-04 Applied Materials, Inc. Contacts for electrochemical processing
US20050092621A1 (en) * 2000-02-17 2005-05-05 Yongqi Hu Composite pad assembly for electrochemical mechanical processing (ECMP)
US6962524B2 (en) * 2000-02-17 2005-11-08 Applied Materials, Inc. Conductive polishing article for electrochemical mechanical polishing
US6386947B2 (en) 2000-02-29 2002-05-14 Applied Materials, Inc. Method and apparatus for detecting wafer slipouts
US6361419B1 (en) 2000-03-27 2002-03-26 Applied Materials, Inc. Carrier head with controllable edge pressure
US6450868B1 (en) * 2000-03-27 2002-09-17 Applied Materials, Inc. Carrier head with multi-part flexible membrane
US6666756B1 (en) * 2000-03-31 2003-12-23 Lam Research Corporation Wafer carrier head assembly
CN1179821C (zh) * 2000-05-12 2004-12-15 多平面技术公司 具有独立限位环和多区域压力控制结构的气动隔膜式抛光头及其使用方法
US6623343B2 (en) * 2000-05-12 2003-09-23 Multi Planar Technologies, Inc. System and method for CMP head having multi-pressure annular zone subcarrier material removal control
US6506105B1 (en) 2000-05-12 2003-01-14 Multi-Planar Technologies, Inc. System and method for pneumatic diaphragm CMP head having separate retaining ring and multi-region wafer pressure control
US6558232B1 (en) 2000-05-12 2003-05-06 Multi-Planar Technologies, Inc. System and method for CMP having multi-pressure zone loading for improved edge and annular zone material removal control
US6602114B1 (en) 2000-05-19 2003-08-05 Applied Materials Inc. Multilayer retaining ring for chemical mechanical polishing
US6540592B1 (en) 2000-06-29 2003-04-01 Speedfam-Ipec Corporation Carrier head with reduced moment wear ring
US6722965B2 (en) 2000-07-11 2004-04-20 Applied Materials Inc. Carrier head with flexible membranes to provide controllable pressure and loading area
US6857945B1 (en) 2000-07-25 2005-02-22 Applied Materials, Inc. Multi-chamber carrier head with a flexible membrane
US7198561B2 (en) * 2000-07-25 2007-04-03 Applied Materials, Inc. Flexible membrane for multi-chamber carrier head
US7101273B2 (en) * 2000-07-25 2006-09-05 Applied Materials, Inc. Carrier head with gimbal mechanism
US6543969B1 (en) * 2000-08-10 2003-04-08 Paul Adam Modular block
US6527625B1 (en) 2000-08-31 2003-03-04 Multi-Planar Technologies, Inc. Chemical mechanical polishing apparatus and method having a soft backed polishing head
US6540590B1 (en) 2000-08-31 2003-04-01 Multi-Planar Technologies, Inc. Chemical mechanical polishing apparatus and method having a rotating retaining ring
US6676497B1 (en) 2000-09-08 2004-01-13 Applied Materials Inc. Vibration damping in a chemical mechanical polishing system
US7255637B2 (en) * 2000-09-08 2007-08-14 Applied Materials, Inc. Carrier head vibration damping
US6848980B2 (en) 2001-10-10 2005-02-01 Applied Materials, Inc. Vibration damping in a carrier head
US7497767B2 (en) * 2000-09-08 2009-03-03 Applied Materials, Inc. Vibration damping during chemical mechanical polishing
JP2002187060A (ja) * 2000-10-11 2002-07-02 Ebara Corp 基板保持装置、ポリッシング装置、及び研磨方法
JP2008188767A (ja) * 2000-10-11 2008-08-21 Ebara Corp 基板保持装置
JP4620072B2 (ja) * 2000-10-11 2011-01-26 株式会社荏原製作所 ポリッシング装置
US6447368B1 (en) 2000-11-20 2002-09-10 Speedfam-Ipec Corporation Carriers with concentric balloons supporting a diaphragm
US6468131B1 (en) 2000-11-28 2002-10-22 Speedfam-Ipec Corporation Method to mathematically characterize a multizone carrier
WO2002047139A2 (en) * 2000-12-04 2002-06-13 Ebara Corporation Methode of forming a copper film on a substrate
US7344432B2 (en) * 2001-04-24 2008-03-18 Applied Materials, Inc. Conductive pad with ion exchange membrane for electrochemical mechanical polishing
US7137879B2 (en) * 2001-04-24 2006-11-21 Applied Materials, Inc. Conductive polishing article for electrochemical mechanical polishing
US6582277B2 (en) 2001-05-01 2003-06-24 Speedfam-Ipec Corporation Method for controlling a process in a multi-zonal apparatus
KR100437089B1 (ko) * 2001-05-23 2004-06-23 삼성전자주식회사 화학기계적 연마장치의 연마헤드
US6790768B2 (en) 2001-07-11 2004-09-14 Applied Materials Inc. Methods and apparatus for polishing substrates comprising conductive and dielectric materials with reduced topographical defects
US6712673B2 (en) 2001-10-04 2004-03-30 Memc Electronic Materials, Inc. Polishing apparatus, polishing head and method
US20030072639A1 (en) * 2001-10-17 2003-04-17 Applied Materials, Inc. Substrate support
US6835125B1 (en) 2001-12-27 2004-12-28 Applied Materials Inc. Retainer with a wear surface for chemical mechanical polishing
US20030124963A1 (en) * 2001-12-27 2003-07-03 Applied Materials, Inc. Carrier head with a non-stick membrane
KR100470228B1 (ko) * 2001-12-31 2005-02-05 두산디앤디 주식회사 화학기계적 연마장치의 캐리어 헤드
US7199056B2 (en) * 2002-02-08 2007-04-03 Applied Materials, Inc. Low cost and low dishing slurry for polysilicon CMP
US6739958B2 (en) 2002-03-19 2004-05-25 Applied Materials Inc. Carrier head with a vibration reduction feature for a chemical mechanical polishing system
US20050194681A1 (en) * 2002-05-07 2005-09-08 Yongqi Hu Conductive pad with high abrasion
US6790123B2 (en) 2002-05-16 2004-09-14 Speedfam-Ipec Corporation Method for processing a work piece in a multi-zonal processing apparatus
US7316602B2 (en) * 2002-05-23 2008-01-08 Novellus Systems, Inc. Constant low force wafer carrier for electrochemical mechanical processing and chemical mechanical polishing
DE10247180A1 (de) 2002-10-02 2004-04-15 Ensinger Kunststofftechnologie Gbr Haltering zum Halten von Halbleiterwafern in einer chemisch-mechanischen Poliervorrichtung
DE10247179A1 (de) 2002-10-02 2004-04-15 Ensinger Kunststofftechnologie Gbr Haltering zum Halten von Halbleiterwafern in einer chemisch-mechanischen Poliervorrichtung
AU2003300375A1 (en) * 2002-10-11 2004-05-04 Semplastics, L.L.C. Retaining ring for use on a carrier of a polishing apparatus
TWM255104U (en) 2003-02-05 2005-01-11 Applied Materials Inc Retaining ring with flange for chemical mechanical polishing
WO2004070806A1 (en) 2003-02-10 2004-08-19 Ebara Corporation Substrate holding apparatus and polishing apparatus
US7842169B2 (en) * 2003-03-04 2010-11-30 Applied Materials, Inc. Method and apparatus for local polishing control
US6945845B2 (en) * 2003-03-04 2005-09-20 Applied Materials, Inc. Chemical mechanical polishing apparatus with non-conductive elements
US7001245B2 (en) * 2003-03-07 2006-02-21 Applied Materials Inc. Substrate carrier with a textured membrane
DE10311830A1 (de) 2003-03-14 2004-09-23 Ensinger Kunststofftechnologie Gbr Abstandhalterprofil für Isolierglasscheiben
US6848981B2 (en) * 2003-03-27 2005-02-01 Taiwan Semiconductor Manufacturing Co., Ltd Dual-bulge flexure ring for CMP head
US20060180486A1 (en) * 2003-04-21 2006-08-17 Bennett David W Modular panel and storage system for flat items such as media discs and holders therefor
WO2004094105A1 (ja) * 2003-04-24 2004-11-04 Nikon Corporation 真空吸着保持装置及び保持方法と、該保持装置を用いた研磨装置及びこの研磨装置を用いたデバイス製造方法
US6974371B2 (en) 2003-04-30 2005-12-13 Applied Materials, Inc. Two part retaining ring
US20040250859A1 (en) * 2003-06-12 2004-12-16 Poulin James M. Method for protecting a pneumatic control system from ingested contamination
US7025658B2 (en) * 2003-08-18 2006-04-11 Applied Materials, Inc. Platen and head rotation rates for monitoring chemical mechanical polishing
US6821192B1 (en) 2003-09-19 2004-11-23 Applied Materials, Inc. Retaining ring for use in chemical mechanical polishing
US20050121141A1 (en) * 2003-11-13 2005-06-09 Manens Antoine P. Real time process control for a polishing process
JP5296985B2 (ja) 2003-11-13 2013-09-25 アプライド マテリアルズ インコーポレイテッド 整形面をもつリテーニングリング
US11260500B2 (en) * 2003-11-13 2022-03-01 Applied Materials, Inc. Retaining ring with shaped surface
US7186164B2 (en) * 2003-12-03 2007-03-06 Applied Materials, Inc. Processing pad assembly with zone control
US20050126708A1 (en) * 2003-12-10 2005-06-16 Applied Materials, Inc. Retaining ring with slurry transport grooves
US20050178666A1 (en) * 2004-01-13 2005-08-18 Applied Materials, Inc. Methods for fabrication of a polishing article
US7255771B2 (en) 2004-03-26 2007-08-14 Applied Materials, Inc. Multiple zone carrier head with flexible membrane
JP4583207B2 (ja) * 2004-03-31 2010-11-17 不二越機械工業株式会社 研磨装置
US7201642B2 (en) * 2004-06-17 2007-04-10 Systems On Silicon Manufacturing Co. Pte. Ltd. Process for producing improved membranes
US7081042B2 (en) * 2004-07-22 2006-07-25 Applied Materials Substrate removal from polishing tool
US20060030156A1 (en) * 2004-08-05 2006-02-09 Applied Materials, Inc. Abrasive conductive polishing article for electrochemical mechanical polishing
US7084064B2 (en) * 2004-09-14 2006-08-01 Applied Materials, Inc. Full sequence metal and barrier layer electrochemical mechanical processing
CN101023511A (zh) * 2004-09-30 2007-08-22 株式会社瑞萨科技 半导体器件的制造方法
WO2006039436A2 (en) * 2004-10-01 2006-04-13 Applied Materials, Inc. Pad design for electrochemical mechanical polishing
US7520968B2 (en) * 2004-10-05 2009-04-21 Applied Materials, Inc. Conductive pad design modification for better wafer-pad contact
US7292427B1 (en) * 2004-10-12 2007-11-06 Kla-Tencor Technologies Corporation Pin lift chuck assembly for warped substrates
US20060088976A1 (en) * 2004-10-22 2006-04-27 Applied Materials, Inc. Methods and compositions for chemical mechanical polishing substrates
US7048621B2 (en) * 2004-10-27 2006-05-23 Applied Materials Inc. Retaining ring deflection control
KR101186239B1 (ko) * 2004-11-01 2012-09-27 가부시키가이샤 에바라 세이사꾸쇼 폴리싱장치
JP5112614B2 (ja) * 2004-12-10 2013-01-09 株式会社荏原製作所 基板保持装置および研磨装置
US7101272B2 (en) * 2005-01-15 2006-09-05 Applied Materials, Inc. Carrier head for thermal drift compensation
US20060219663A1 (en) * 2005-03-31 2006-10-05 Applied Materials, Inc. Metal CMP process on one or more polishing stations using slurries with oxidizers
US7427340B2 (en) * 2005-04-08 2008-09-23 Applied Materials, Inc. Conductive pad
US7186171B2 (en) * 2005-04-22 2007-03-06 Applied Materials, Inc. Composite retaining ring
US7258599B2 (en) 2005-09-15 2007-08-21 Fujitsu Limited Polishing machine, workpiece supporting table pad, polishing method and manufacturing method of semiconductor device
AT502546B1 (de) * 2005-09-16 2007-10-15 Miba Gleitlager Gmbh Lagerelement
US7297047B2 (en) * 2005-12-01 2007-11-20 Applied Materials, Inc. Bubble suppressing flow controller with ultrasonic flow meter
US20070153453A1 (en) * 2006-01-05 2007-07-05 Applied Materials, Inc. Fully conductive pad for electrochemical mechanical processing
US20120087774A1 (en) * 2006-01-27 2012-04-12 Camtek Ltd Diced Wafer Adaptor and a Method for Transferring a Diced Wafer
US7754611B2 (en) * 2006-02-28 2010-07-13 Macronix International Co., Ltd. Chemical mechanical polishing process
US7364496B2 (en) * 2006-03-03 2008-04-29 Inopla Inc. Polishing head for polishing semiconductor wafers
US20070218587A1 (en) * 2006-03-07 2007-09-20 Applied Materials, Inc. Soft conductive polymer processing pad and method for fabricating the same
JP4814677B2 (ja) 2006-03-31 2011-11-16 株式会社荏原製作所 基板保持装置および研磨装置
US7210991B1 (en) 2006-04-03 2007-05-01 Applied Materials, Inc. Detachable retaining ring
US20070235344A1 (en) * 2006-04-06 2007-10-11 Applied Materials, Inc. Process for high copper removal rate with good planarization and surface finish
US20070251832A1 (en) * 2006-04-27 2007-11-01 Applied Materials, Inc. Method and apparatus for electrochemical mechanical polishing of cu with higher liner velocity for better surface finish and higher removal rate during clearance
US7166016B1 (en) 2006-05-18 2007-01-23 Applied Materials, Inc. Six headed carousel
US20070281589A1 (en) * 2006-06-02 2007-12-06 Applied Materials, Inc. Rotational alignment mechanism for load cups
WO2007143566A2 (en) * 2006-06-02 2007-12-13 Applied Materials, Inc. Fast substrate loading on polishing head without membrane inflation step
US7267600B1 (en) * 2006-06-12 2007-09-11 Taiwan Semiconductor Manufacturing Company Polishing apparatus
US20080171494A1 (en) * 2006-08-18 2008-07-17 Applied Materials, Inc. Apparatus and method for slurry distribution
JP5009101B2 (ja) * 2006-10-06 2012-08-22 株式会社荏原製作所 基板研磨装置
US7654888B2 (en) * 2006-11-22 2010-02-02 Applied Materials, Inc. Carrier head with retaining ring and carrier ring
US7575504B2 (en) * 2006-11-22 2009-08-18 Applied Materials, Inc. Retaining ring, flexible membrane for applying load to a retaining ring, and retaining ring assembly
US7699688B2 (en) * 2006-11-22 2010-04-20 Applied Materials, Inc. Carrier ring for carrier head
US20080125021A1 (en) * 2006-11-27 2008-05-29 United Microelectronics Corp. Disk holder and disk rotating device having the same
EP2094440B1 (de) * 2006-11-30 2010-04-21 Corning Incorporated Präzisionsschleifbearbeitung von werkstückoberflächen
US20080160462A1 (en) * 2007-01-03 2008-07-03 Sokudo Co., Ltd. Method and system for bake plate heat transfer control in track lithography tools
US8012000B2 (en) * 2007-04-02 2011-09-06 Applied Materials, Inc. Extended pad life for ECMP and barrier removal
US20080293343A1 (en) * 2007-05-22 2008-11-27 Yuchun Wang Pad with shallow cells for electrochemical mechanical processing
TWI367524B (en) * 2007-08-01 2012-07-01 Univ Nat Taiwan Science Tech Chemical mechanical polishing apparatus and chemical mechanical polishing method thereof
US20090242125A1 (en) * 2008-03-25 2009-10-01 Applied Materials, Inc. Carrier Head Membrane
US10160093B2 (en) 2008-12-12 2018-12-25 Applied Materials, Inc. Carrier head membrane roughness to control polishing rate
US8475231B2 (en) 2008-12-12 2013-07-02 Applied Materials, Inc. Carrier head membrane
KR101022277B1 (ko) * 2009-02-25 2011-03-21 그린스펙(주) 실리콘 베어 웨이퍼 연마장치용 캐리어 헤드
US8460067B2 (en) * 2009-05-14 2013-06-11 Applied Materials, Inc. Polishing head zone boundary smoothing
JP5392483B2 (ja) * 2009-08-31 2014-01-22 不二越機械工業株式会社 研磨装置
US9859141B2 (en) 2010-04-15 2018-01-02 Suss Microtec Lithography Gmbh Apparatus and method for aligning and centering wafers
US9837295B2 (en) 2010-04-15 2017-12-05 Suss Microtec Lithography Gmbh Apparatus and method for semiconductor wafer leveling, force balancing and contact sensing
EP2389992A1 (de) 2010-05-26 2011-11-30 Max-Planck-Gesellschaft zur Förderung der Wissenschaften e.V. Übungsvorrichtung mit musikalischem Feedback
JP5648954B2 (ja) * 2010-08-31 2015-01-07 不二越機械工業株式会社 研磨装置
US8939815B2 (en) * 2011-02-21 2015-01-27 Taiwan Semiconductor Manufacturing Company, Ltd. Systems providing an air zone for a chucking stage
KR101196652B1 (ko) 2011-05-31 2012-11-02 주식회사 케이씨텍 캐리어 헤드의 멤브레인 결합체 및 이를 구비한 캐리어 헤드
KR20140054178A (ko) * 2011-08-05 2014-05-08 어플라이드 머티어리얼스, 인코포레이티드 두 부분으로 이루어진 플라스틱 리테이닝 링
US10052739B2 (en) * 2011-09-12 2018-08-21 Applied Materials, Inc. Carrier head with composite plastic portions
US20130102152A1 (en) * 2011-10-20 2013-04-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor manufacturing apparatus and method of manufacturing semiconductor device
US9050700B2 (en) 2012-01-27 2015-06-09 Applied Materials, Inc. Methods and apparatus for an improved polishing head retaining ring
WO2013134075A1 (en) 2012-03-08 2013-09-12 Applied Materials, Inc. Detecting membrane breakage in a carrier head
TW201336622A (zh) * 2012-03-14 2013-09-16 Hsiu Fong Machinery Co Ltd 彈性拋光載具及應用其的拋光裝置及拋光方法
KR102236929B1 (ko) 2012-06-05 2021-04-06 어플라이드 머티어리얼스, 인코포레이티드 인터로크 피쳐들을 갖는 2-파트 리테이닝 링
US9199354B2 (en) 2012-10-29 2015-12-01 Wayne O. Duescher Flexible diaphragm post-type floating and rigid abrading workholder
US8845394B2 (en) 2012-10-29 2014-09-30 Wayne O. Duescher Bellows driven air floatation abrading workholder
US9233452B2 (en) 2012-10-29 2016-01-12 Wayne O. Duescher Vacuum-grooved membrane abrasive polishing wafer workholder
US8998678B2 (en) 2012-10-29 2015-04-07 Wayne O. Duescher Spider arm driven flexible chamber abrading workholder
US9011207B2 (en) 2012-10-29 2015-04-21 Wayne O. Duescher Flexible diaphragm combination floating and rigid abrading workholder
US9039488B2 (en) 2012-10-29 2015-05-26 Wayne O. Duescher Pin driven flexible chamber abrading workholder
US9604339B2 (en) 2012-10-29 2017-03-28 Wayne O. Duescher Vacuum-grooved membrane wafer polishing workholder
US8998677B2 (en) 2012-10-29 2015-04-07 Wayne O. Duescher Bellows driven floatation-type abrading workholder
WO2014078151A1 (en) 2012-11-16 2014-05-22 Applied Materials, Inc. Recording measurements by sensors for a carrier head
US20140174655A1 (en) * 2012-12-21 2014-06-26 HGST Netherlands B.V. Polishing tool with diaphram for uniform polishing of a wafer
US9227297B2 (en) 2013-03-20 2016-01-05 Applied Materials, Inc. Retaining ring with attachable segments
US20140357161A1 (en) * 2013-05-31 2014-12-04 Sunedison Semiconductor Limited Center flex single side polishing head
KR102211533B1 (ko) * 2013-10-23 2021-02-03 어플라이드 머티어리얼스, 인코포레이티드 국소 영역 레이트 제어를 구비하는 폴리싱 시스템
US9368371B2 (en) 2014-04-22 2016-06-14 Applied Materials, Inc. Retaining ring having inner surfaces with facets
US9434045B2 (en) * 2014-05-05 2016-09-06 Macronix International Co., Ltd. Planarization device and planarization method using the same
US9878421B2 (en) * 2014-06-16 2018-01-30 Applied Materials, Inc. Chemical mechanical polishing retaining ring with integrated sensor
KR102173323B1 (ko) 2014-06-23 2020-11-04 삼성전자주식회사 캐리어 헤드, 화학적 기계식 연마 장치 및 웨이퍼 연마 방법
US10500695B2 (en) 2015-05-29 2019-12-10 Applied Materials, Inc. Retaining ring having inner surfaces with features
US10029346B2 (en) * 2015-10-16 2018-07-24 Applied Materials, Inc. External clamp ring for a chemical mechanical polishing carrier head
US10669023B2 (en) 2016-02-19 2020-06-02 Raytheon Company Tactical aerial platform
CN109155249B (zh) * 2016-03-25 2023-06-23 应用材料公司 局部区域研磨系统以及用于研磨系统的研磨垫组件
CN109075054B (zh) * 2016-03-25 2023-06-09 应用材料公司 具有局部区域速率控制及振荡模式的研磨系统
JP7329438B2 (ja) 2016-07-25 2023-08-18 アプライド マテリアルズ インコーポレイテッド Cmp用の保持リング
KR101841364B1 (ko) 2016-11-04 2018-03-22 주식회사 씨티에스 씨엠피 해드 및 이를 포함하는 씨엠피 장치
USD839224S1 (en) * 2016-12-12 2019-01-29 Ebara Corporation Elastic membrane for semiconductor wafer polishing
JP6927560B2 (ja) * 2017-01-10 2021-09-01 不二越機械工業株式会社 ワーク研磨ヘッド
US10926378B2 (en) 2017-07-08 2021-02-23 Wayne O. Duescher Abrasive coated disk islands using magnetic font sheet
US10571069B2 (en) * 2017-09-14 2020-02-25 Applied Materials, Inc. Gimbal assembly for heater pedestal
JP7075814B2 (ja) * 2018-05-21 2022-05-26 株式会社荏原製作所 基板保持装置、基板研磨装置、弾性部材および基板保持装置の製造方法
JP7117933B2 (ja) * 2018-08-06 2022-08-15 株式会社荏原製作所 基板保持装置および基板研磨装置
WO2020167485A1 (en) * 2019-02-14 2020-08-20 Axus Technology, Llc Substrate carrier head and processing system
US11691241B1 (en) * 2019-08-05 2023-07-04 Keltech Engineering, Inc. Abrasive lapping head with floating and rigid workpiece carrier
US11325223B2 (en) 2019-08-23 2022-05-10 Applied Materials, Inc. Carrier head with segmented substrate chuck
CN113118965B (zh) * 2019-12-31 2022-09-30 清华大学 一种基板装卸控制方法
US11780049B2 (en) * 2020-06-29 2023-10-10 Applied Materials, Inc. Polishing carrier head with multiple angular pressurizable zones
CN113649945B (zh) 2021-10-20 2022-04-15 杭州众硅电子科技有限公司 一种晶圆抛光装置

Family Cites Families (72)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4373991A (en) 1982-01-28 1983-02-15 Western Electric Company, Inc. Methods and apparatus for polishing a semiconductor wafer
FR2558095B1 (fr) * 1984-03-14 1988-04-08 Ribard Pierre Perfectionnements apportes aux tetes de travail des machines de polissage et analogues
JPS6125768A (ja) 1984-07-13 1986-02-04 Nec Corp 平面研摩装置の被加工物保持機構
JPS6124150U (ja) * 1984-07-20 1986-02-13 日本電気株式会社 平面研摩装置の被加工物保持機構
DE3463227D1 (en) 1984-08-03 1987-05-27 Loh Kg Optikmaschf Supporting device for vulnerable objects, in particular optical lenses and other optical elements
NL8503217A (nl) 1985-11-22 1987-06-16 Hoogovens Groep Bv Preparaathouder.
JPS63221969A (ja) * 1987-03-09 1988-09-14 Toshiba Corp ワ−クチヤツク
JPS63300858A (ja) 1987-05-29 1988-12-08 Hitachi Ltd 空気軸受式ワ−クホルダ
JPS63114870A (ja) 1987-10-22 1988-05-19 Nippon Telegr & Teleph Corp <Ntt> ウェハの真空吸着方法
US4918869A (en) 1987-10-28 1990-04-24 Fujikoshi Machinery Corporation Method for lapping a wafer material and an apparatus therefor
JPH01188265A (ja) * 1988-01-25 1989-07-27 Hitachi Ltd ラツプ加工装置
JPH01216768A (ja) 1988-02-25 1989-08-30 Showa Denko Kk 半導体基板の研磨方法及びその装置
JPH079896B2 (ja) 1988-10-06 1995-02-01 信越半導体株式会社 研磨装置
JPH02224263A (ja) 1989-02-27 1990-09-06 Hitachi Ltd 半導体チップの冷却装置
JPH02240925A (ja) * 1989-03-15 1990-09-25 Hitachi Ltd ウエハ研磨装置
JP2527232B2 (ja) 1989-03-16 1996-08-21 株式会社日立製作所 研磨装置
JPH0797599B2 (ja) 1990-04-27 1995-10-18 株式会社芝浦製作所 基板検出装置
US5255474A (en) 1990-08-06 1993-10-26 Matsushita Electric Industrial Co., Ltd. Polishing spindle
JPH0569310A (ja) * 1991-04-23 1993-03-23 Mitsubishi Materials Corp ウエーハの鏡面研磨装置
JP3173041B2 (ja) * 1991-05-15 2001-06-04 不二越機械工業株式会社 ドレッサー付きウェハー研磨装置及びその研磨布表面のドレッシング方法
US5230184A (en) 1991-07-05 1993-07-27 Motorola, Inc. Distributed polishing head
JP3233664B2 (ja) 1991-09-13 2001-11-26 土肥 俊郎 デバイス付きウェーハのプラナリゼーションポリッシング方法及びその装置
US5193316A (en) 1991-10-29 1993-03-16 Texas Instruments Incorporated Semiconductor wafer polishing using a hydrostatic medium
US5205082A (en) * 1991-12-20 1993-04-27 Cybeq Systems, Inc. Wafer polisher head having floating retainer ring
JPH05277929A (ja) 1992-04-01 1993-10-26 Mitsubishi Materials Corp ポリッシング装置の上軸機構
US5498199A (en) 1992-06-15 1996-03-12 Speedfam Corporation Wafer polishing method and apparatus
EP0911115B1 (de) 1992-09-24 2003-11-26 Ebara Corporation Poliergerät
JP3370112B2 (ja) 1992-10-12 2003-01-27 不二越機械工業株式会社 ウエハーの研磨装置
US5635083A (en) 1993-08-06 1997-06-03 Intel Corporation Method and apparatus for chemical-mechanical polishing using pneumatic pressure applied to the backside of a substrate
US5443416A (en) 1993-09-09 1995-08-22 Cybeq Systems Incorporated Rotary union for coupling fluids in a wafer polishing apparatus
JP2757112B2 (ja) 1993-10-27 1998-05-25 信越半導体株式会社 ウエーハ研磨装置
US5584746A (en) 1993-10-18 1996-12-17 Shin-Etsu Handotai Co., Ltd. Method of polishing semiconductor wafers and apparatus therefor
JP3311116B2 (ja) 1993-10-28 2002-08-05 株式会社東芝 半導体製造装置
US5820448A (en) * 1993-12-27 1998-10-13 Applied Materials, Inc. Carrier head with a layer of conformable material for a chemical mechanical polishing system
US5643053A (en) * 1993-12-27 1997-07-01 Applied Materials, Inc. Chemical mechanical polishing apparatus with improved polishing control
US5624299A (en) * 1993-12-27 1997-04-29 Applied Materials, Inc. Chemical mechanical polishing apparatus with improved carrier and method of use
US5449316A (en) 1994-01-05 1995-09-12 Strasbaugh; Alan Wafer carrier for film planarization
US5423716A (en) 1994-01-05 1995-06-13 Strasbaugh; Alan Wafer-handling apparatus having a resilient membrane which holds wafer when a vacuum is applied
JP3595011B2 (ja) 1994-03-02 2004-12-02 アプライド マテリアルズ インコーポレイテッド 研磨制御を改善した化学的機械的研磨装置
JPH07241764A (ja) 1994-03-04 1995-09-19 Fujitsu Ltd 研磨装置と研磨方法
US5423558A (en) * 1994-03-24 1995-06-13 Ipec/Westech Systems, Inc. Semiconductor wafer carrier and method
JP3158934B2 (ja) * 1995-02-28 2001-04-23 三菱マテリアル株式会社 ウェーハ研磨装置
US5597346A (en) * 1995-03-09 1997-01-28 Texas Instruments Incorporated Method and apparatus for holding a semiconductor wafer during a chemical mechanical polish (CMP) process
JP3704175B2 (ja) 1995-04-17 2005-10-05 不二越機械工業株式会社 研磨装置のワーク押圧機構
US5908530A (en) 1995-05-18 1999-06-01 Obsidian, Inc. Apparatus for chemical mechanical polishing
US5681215A (en) 1995-10-27 1997-10-28 Applied Materials, Inc. Carrier head design for a chemical mechanical polishing apparatus
US5795215A (en) 1995-06-09 1998-08-18 Applied Materials, Inc. Method and apparatus for using a retaining ring to control the edge effect
US5643061A (en) 1995-07-20 1997-07-01 Integrated Process Equipment Corporation Pneumatic polishing head for CMP apparatus
US5695392A (en) * 1995-08-09 1997-12-09 Speedfam Corporation Polishing device with improved handling of fluid polishing media
US5738574A (en) * 1995-10-27 1998-04-14 Applied Materials, Inc. Continuous processing system for chemical mechanical polishing
US5762544A (en) * 1995-10-27 1998-06-09 Applied Materials, Inc. Carrier head design for a chemical mechanical polishing apparatus
DE69717510T2 (de) 1996-01-24 2003-10-02 Lam Res Corp Halbleiterscheiben-Polierkopf
US5762539A (en) * 1996-02-27 1998-06-09 Ebara Corporation Apparatus for and method for polishing workpiece
JP3106418B2 (ja) * 1996-07-30 2000-11-06 株式会社東京精密 研磨装置
JP3663767B2 (ja) 1996-09-04 2005-06-22 信越半導体株式会社 薄板の鏡面研磨装置
US6183354B1 (en) 1996-11-08 2001-02-06 Applied Materials, Inc. Carrier head with a flexible membrane for a chemical mechanical polishing system
US6146259A (en) 1996-11-08 2000-11-14 Applied Materials, Inc. Carrier head with local pressure control for a chemical mechanical polishing apparatus
US5851140A (en) 1997-02-13 1998-12-22 Integrated Process Equipment Corp. Semiconductor wafer polishing apparatus with a flexible carrier plate
US5957751A (en) 1997-05-23 1999-09-28 Applied Materials, Inc. Carrier head with a substrate detection mechanism for a chemical mechanical polishing system
US5964653A (en) 1997-07-11 1999-10-12 Applied Materials, Inc. Carrier head with a flexible membrane for a chemical mechanical polishing system
US6080050A (en) 1997-12-31 2000-06-27 Applied Materials, Inc. Carrier head including a flexible membrane and a compliant backing member for a chemical mechanical polishing apparatus
US6210255B1 (en) 1998-09-08 2001-04-03 Applied Materials, Inc. Carrier head for chemical mechanical polishing a substrate
US6159079A (en) 1998-09-08 2000-12-12 Applied Materials, Inc. Carrier head for chemical mechanical polishing a substrate
US6132298A (en) 1998-11-25 2000-10-17 Applied Materials, Inc. Carrier head with edge control for chemical mechanical polishing
JP3019849B1 (ja) 1998-11-18 2000-03-13 日本電気株式会社 化学的機械的研磨装置
US6165058A (en) 1998-12-09 2000-12-26 Applied Materials, Inc. Carrier head for chemical mechanical polishing
US6263605B1 (en) 1998-12-21 2001-07-24 Motorola, Inc. Pad conditioner coupling and end effector for a chemical mechanical planarization system and method therefor
US6162116A (en) 1999-01-23 2000-12-19 Applied Materials, Inc. Carrier head for chemical mechanical polishing
US6371833B1 (en) 1999-09-13 2002-04-16 Infineon Technologies Ag Backing film for chemical mechanical planarization (CMP) of a semiconductor wafer
US6287173B1 (en) 2000-01-11 2001-09-11 Lucent Technologies, Inc. Longer lifetime warm-up wafers for polishing systems
US6375550B1 (en) 2000-06-05 2002-04-23 Lsi Logic Corporation Method and apparatus for enhancing uniformity during polishing of a semiconductor wafer
US6676497B1 (en) * 2000-09-08 2004-01-13 Applied Materials Inc. Vibration damping in a chemical mechanical polishing system

Also Published As

Publication number Publication date
JP2003264162A (ja) 2003-09-19
EP1258317B1 (de) 2011-03-09
JPH10180627A (ja) 1998-07-07
JP2007335895A (ja) 2007-12-27
JP4233339B2 (ja) 2009-03-04
US20040033769A1 (en) 2004-02-19
JP2005328103A (ja) 2005-11-24
US20050037698A1 (en) 2005-02-17
DE69739521D1 (de) 2009-09-10
EP0841123B1 (de) 2003-01-29
US6857946B2 (en) 2005-02-22
US6540594B2 (en) 2003-04-01
US6386955B2 (en) 2002-05-14
TW344694B (en) 1998-11-11
US20020086624A1 (en) 2002-07-04
JP3439970B2 (ja) 2003-08-25
JP4368341B2 (ja) 2009-11-18
SG70042A1 (en) 2000-01-25
JP2009033197A (ja) 2009-02-12
US6183354B1 (en) 2001-02-06
SG87925A1 (en) 2002-04-16
KR19980042123A (ko) 1998-08-17
EP1754571B1 (de) 2009-07-29
US7040971B2 (en) 2006-05-09
JP4940061B2 (ja) 2012-05-30
JP5216542B2 (ja) 2013-06-19
JP2009065195A (ja) 2009-03-26
KR100366425B1 (ko) 2003-02-19
US20010000775A1 (en) 2001-05-03
EP1754571A1 (de) 2007-02-21
EP0841123A1 (de) 1998-05-13
EP1258317A1 (de) 2002-11-20
JP5068723B2 (ja) 2012-11-07

Similar Documents

Publication Publication Date Title
DE69740146D1 (de) Trägerplatte mit einer flexiblen Membran für eine chemisch-mechanische Poliervorrichtung
DE68913224T2 (de) Rohrboden für Membranvorrichtungen.
DE69504885T2 (de) Membranschalter-Einheit mit starrem Tragglied für eine Fahrer-Airbaganordnung
DE59805523D1 (de) Membranmodul mit einseitig eingebetteten hohlfasermembranen
FR2753253B1 (fr) Valve a membranes
DE69738986D1 (de) Mikrosystem mit flexibler Membran zur Erfassung von Drücken
DE69321943D1 (de) Flüssigkeitsauftragegerät mit poröser Membran
DE69736557D1 (de) Flüssigkeitsdruck-messvorrichtung mit einer fühlermatrix für die membrandurchbiegung
DE69932496D1 (de) Trägerplatte mit einstellbarem Druck und einstellbarer Oberfläche für eine chemisch-mechanische Poliervorrichtung
DE59802844D1 (de) Reaktionsgefässhaltevorrichtung
DE3766267D1 (de) Rohrplatte fuer permeationsvorrichtung mit spiralfoermig gewickelten hohlfasern.
DE69716673D1 (de) Dünner, flexibler flächenförmiger Sensor
DE59708833D1 (de) Membrane für eine membranpumpe
ATA193291A (de) Membran für elektrodynamische wandler
DE69705185T2 (de) Protonen leitende Membran
DE59703823D1 (de) Wendeanordnung für blattförmiges material
DE9416106U1 (de) Bogenleitblech für eine Wendeeinrichtung
DE29518223U1 (de) Trinkvorrichtung für Taucher
EP0674937A3 (de) Nicht-poröse Polymermembran auf einem anorganischen porösen Träger.
DE69714494T2 (de) Wendevorrichtung für Bögen
DE69718750D1 (de) Trägerplatte mit einer flexiblen Membran für eine chemisch-mechanische Poliervorrichtung
BR9706139A (pt) Membrana para um dispositivo de membrana
DE69502422D1 (de) Elastisches Gewebe für Stützvorrichtungen
NO963690A (no) Båtavstøttingsanordning
DE69932406D1 (de) Reinigungsvorrichtung für Membranen