JP2009033197A - 化学的機械研磨システムのための可撓膜を有する支持ヘッド - Google Patents

化学的機械研磨システムのための可撓膜を有する支持ヘッド Download PDF

Info

Publication number
JP2009033197A
JP2009033197A JP2008258585A JP2008258585A JP2009033197A JP 2009033197 A JP2009033197 A JP 2009033197A JP 2008258585 A JP2008258585 A JP 2008258585A JP 2008258585 A JP2008258585 A JP 2008258585A JP 2009033197 A JP2009033197 A JP 2009033197A
Authority
JP
Japan
Prior art keywords
substrate
base
chamber
support head
membrane
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2008258585A
Other languages
English (en)
Other versions
JP5068723B2 (ja
Inventor
Steven M Zuniga
エム. ズニガ スティーヴン
Manoocher Birang
ビラング マヌーシェア
Hung Chen
チャン ハング
Sen-Hou Ko
コ センーホウ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=24997773&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=JP2009033197(A) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2009033197A publication Critical patent/JP2009033197A/ja
Application granted granted Critical
Publication of JP5068723B2 publication Critical patent/JP5068723B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/27Work carriers
    • B24B37/30Work carriers for single side lapping of plane surfaces
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/27Work carriers
    • B24B37/30Work carriers for single side lapping of plane surfaces
    • B24B37/32Retaining rings

Landscapes

  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Finish Polishing, Edge Sharpening, And Grinding By Specific Grinding Devices (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)

Abstract

【課題】 新規な化学的機械研磨装置用の支持ヘッドを提供する。
【解決手段】 支持ヘッドは、研磨面上に基板を配置するための支持ヘッドであって、共に回転すべく駆動シャフトに連結可能なハウジングと、ベースと、ハウジングをベースに枢動可能に連結して、ベースがハウジングに対して回転できるようにするジンバル機構と、基板用の取付け面を有する可撓膜と、を備え、可撓膜はベースに連結されると共にベースの下に延びてチャンバを画成する。
【選択図】 図4

Description

本発明は、一般的には基板の化学的機械研磨に関し、より詳細には化学的機械研磨システム用のキャリアヘッドないしは支持ヘッドに関する。
集積回路は通常、導体層、半導体層、または絶縁層の連続的な堆積によって、基板、特にシリコンウェーハ上に形成される。各層が堆積した後、その層がエッチングされて回路構造が作成される。一連の層が連続して堆積、エッチングされるので、基板の外面または最上面、すなわち基板の露出面は次第に非平坦になる。この非平坦外面は集積回路メーカーに問題を提起する。基板の外面が非平坦である場合、その上に塗布されるフォトレジスト層も非平坦になる。フォトレジスト層は通常、光画像をフォトレジスト上に集束させるフォトリソグラフ装置によってパターン加工される。基板の外面が充分に非平坦状の場合、外面の山と谷の間の最大高度差が画像装置の焦点深度を超えることがあり、光画像を基板の外面に正しく集束させることが不可能になる。
焦点深度を改良した新しいフォトリソグラフ装置を設計するには極めて費用がかかると考えられる。その上、集積回路に使用される表面構造が小さくなるに従って、より短い波長の光を使用しなければならず、利用可能な焦点深度の更なる縮小を招く。
従って、基板表面を定期的に平坦化して、実質的に平らな表面層を形成することが必要である。
化学的機械研磨(CMP)は容認される平坦化の一方法である。この平坦化方法は通常、基板をキャリヤまたは研磨ヘッドに取り付ける必要がある。次に、基板の露出面を回転研磨パッドに接触させる。キャリヤは制御可能な荷重、すなわち圧力を基板に加えて、基板を研磨パッドに押し付ける。更に、キャリヤの回転によって基板と研磨パッドの間に運動を追加してもよい。研磨剤と、少なくとも一つの化学反応剤とを含む研磨スラリが研磨パッドに塗布されて、パッドと基板の間の境界に研磨性化学溶液を供給する。
CMPプロセスはかなり複雑な工程で、単純な湿式サンディングとは異なる。CMPプロセスでは、スラリの中の反応剤が基板の外面と反応して反応サイトを形成する。研磨パッドと研磨粒子の、反応サイトとの相互作用の結果、研磨が行なわれる。
効果的なCMPプロセスは研磨速度が高く、仕上げられた(小規模の粗さがない)、平坦な(大規模の表面形態(topography)がない)基板表面を作り出す。研磨速度、仕上り、および平坦度は、パッドとスラリの組合せ、基板とパッドの間の相対速度、および基板をパッドに押し付ける力によって決まる。不十分な平坦度と仕上りは不良基板を作ることがあるので、研磨パッドとスラリの組合せの選択は通常、要求される仕上りと平坦度に支配される。これらの制約の上で、研磨速度が研磨装置の最大処理能力を決める。
研磨速度は、基板をパッドに押し付ける力に依存する。具体的には、この力が大きい程、研磨速度は高くなる。支持ヘッドが不均一な荷重を加えた場合、すなわち支持ヘッドが基板の一部分に他より大きな力を加えると、高い圧力部分は低い圧力部分よりも早く研磨される。従って、不均一な荷重は基板の不均一な研磨をもたらすだろう。
集積回路の生産で更に考慮すべきことはプロセスと製品の安定性である。高い歩留り、すなわち低い不良率を達成するために、連続生産される各基板を実質的に同じ状態で研磨しなければならない。各基板を、各集積回路が事実上同一になるように、ほぼ同じ量だけ研磨しなければならない。
上記に鑑みて、研磨処理能力を最適にすると同時に、希望の平坦度と仕上りを提供する化学的機械研磨装置が必要である。具体的には、化学的機械研磨装置は、基板全体に実質的に均一な荷重を加える支持ヘッドを持たなければならない。
一つの局面では、本発明は化学的機械研磨装置用の支持ヘッドに関する。支持ヘッドはベース、フレクシャ(flexture)によってベースに連結される支持構造体、および支持構造体に連結される可撓膜を備えている。可撓膜は基板用の取付け面を有し、支持構造体の下に延びてチャンバを画成する。
本発明の実施態様は下記を含む。フレクシャを上部クランプと下部クランプの間に固定してもよいし、膜を下部クランプと支持構造体の間に固定してもよい。フレクシャは実質的に水平で環状をなし、外周部分はベースに取り付けられると共に内周部分は支持構造体に取り付けられる。支持構造体は環状リングまたは円板を含んでもよい。プレート上のチャンバの部分はプレートを貫通する開口部によって下の部分に連結される。支持構造体の外端部は下方に突出したリップを持つ。
支持ヘッドは下記の一つ以上を含んでもよい。すなわち、共に回転すべく駆動シャフトに連結可能なハウジング、ハウジングをベースに枢動可能に連結してベースがハウジングに対して枢動できるようにするジンバル(gimbal)機構、ベースに連結されると共に可撓膜を囲む保持リング、およびハウジングをベースに連結してベースに下向圧力を加える負荷機構である。ハウジングは実質的に垂直な通路を有し、またジンバル機構は、通路に摺動可能に配置される上端を持つロッドを含む。ジンバル機構は、ロッドの下端に連結される球状外面を持つベアリングベースと、ベアリングベースに係合する球状内面を持つジンバルレースとを含む。
支持構造体、フレクシャ、および膜は、フレクシャに対する下向圧力が支持構造体に対する上向圧力によって実質的にバランスされることによって膜の端部における下向圧力が膜の他の部分における下向圧力と実質的に同一になるように構成される。支持構造体の下面の表面積はフレクシャの上面の表面積にほぼ等しい。クランプの外径は支持構造体の外径よりも小さい。
支持構造体とフレクシャの間に隙間が存在してもよいし、また流体を隙間に流入させてスラリを隙間から強制排出するための、支持構造体を貫通する通路が存在してもよい。
もう一つの局面では、支持ヘッドは、ハウジング、ベース、負荷機構、およびジンバル機構を含む。ジンバル機構は、ハウジング内の通路に摺動可能に配置される上端を持つロッドと、ロッドの下端をベースに連結するわずかに可撓性の部材とを含む。
本発明の態様は下記を含む。該部材は、ロッドに連結される円周部分とベースに連結される外周部分とを持つリングでよい。部材は、垂直方向に曲げられるが、半径方向には剛性がある。ベースの下向の移動を制限するために、ストッパをロッドの上端に連結する。
もう一つの局面では、支持ヘッドは、ハウジング、ベース、ハウジングをベースに連結してハウジングに対するベースの垂直位置を制御する負荷機構、およびハウジングの下面に取り付けられてベースの上向きの動きを止めるクッションを含む。
もう一つの局面では、支持ヘッドは、ベース、第1可撓膜、および第2可撓膜を含む。第1膜は基板用の取付け面を持ち、第1チャンバを画成する。第2膜はベースに連結されると共に第1膜の上に配置されて第2チャンバを画成する。第2膜は、流体が第2チャンバ内に強制供給されるときに、第1膜に対して下向圧力を加えるように配置される。
本発明の実施態様は下記を含む。第1膜は、フレクシャによってベースに連結される支持構造体に取り付けられる。第2膜は、支持構造体か第1膜のいずれかに接触するように配置される。支持構造体はフレクシャによってベースに連結され、また第1膜は支持構造体に取り付けられると共にベースの下に延びて第1チャンバを画成する。支持構造体は支持リングを含み、また第2膜は、支持リングの中心を通って延びて第1膜に接するように配置される。支持ヘッドは、第1チャンバに連結される第1流体源と、第2通路に連結される第2流体源と、第2チャンバ内の圧力を測定するセンサとを持つ研磨装置の中で使用される。
もう一つの局面では、支持ヘッドはベース、フレクシャによってベースに連結される支持構造体、第1膜部分、および第2膜部分を含む。第1膜部分はベースに連結されると共にベースの下に延びて、第1の実質的に円形のチャンバを画成する。第2膜部分は支持構造体に連結されると共に支持構造体の下に延びて、第1チャンバを囲む第2の実質的に環状のチャンバを画成する。
本発明の実施態様は下記を含む。第1膜部分の下面は第2膜部分の上面に接触するか、それに取り付けられる。
もう一つの局面では、支持ヘッドは、底面、チャンバを画成する可撓膜、およびチャンバに真空を加えるポートを持つ支持構造体を有する。支持構造体の底面には凹部がある。膜は、チャンバが減圧排出されて可撓膜と基板の上面の間に減圧部分を生じた場合、凹部に引き込まれるように構成される。凹部は非対称形に分布する。
もう一つの局面では、本発明は支持ヘッド内の基板の存在を感知する方法に関する。基板用の取付け面を持つ第1可撓膜によって形成される第1チャンバが加圧される。第2可撓膜によって形成される第2チャンバも第1圧力まで加圧される。第2膜は取付け面の上の第1膜に接触するように配置される。第2チャンバがシールされる。基板は取付け面に接して置かれ、流体が第1チャンバから強制排出されて、基板を取付け面にチャックするための減圧区域を作り出す。次に、第2チャンバ内の圧力が2回測定される。
実施態様は下記を含む。第2圧力が第1圧力よりも大きい場合、基板は存在するものとして表示される。第2圧力が第1圧力と等しい場合、基板は存在しないものとして表示される。
もう一つの局面では、本発明は、支持ヘッドの取付け面に基板をチャックする方法に関する。基板は支持ヘッドの取付け面に接して配置される。流体は、第1可撓膜によって画成される第1チャンバ内に強制供給されて、基板の環状部分に下向圧力を加え、また流体は、第2膜によって画成される第2チャンバから強制排出されて第2膜を上方に引き上げ、基板を取付け面にチャックするための、環状部分によって境界を定められた減圧区域を作り出す。
本発明の実施態様は下記を含む。第1膜は基板、支持構造体、または第2膜のいずれかに接触する。第1チャンバは環状の容積空間部を含む。
本発明の利点は下記を含む。支持ヘッドは均一な荷重を基板に加える。支持ヘッドは基板を研磨パッドから引き離すために、基板を真空チャックすることができる。
本発明の更なる利点は下記の説明に明記され、一部はその説明によって明らかとなるか、本発明の実施態様によって理解されるだろう。本発明の利点は、特許請求の範囲に詳しく指摘される手段と組合せによって充分理解されるであろう。
本明細書に組み込まれてその一部を構成する添付の図面は本発明を概略的に図示するものであり、上記の一般説明および下記の詳細説明と共に本発明の原理の説明に役立つ。
図1について説明すると、化学的機械研磨(CMP)装置20によって一つ以上の基板10が研磨される。CMP装置20の完全な説明は、 Perlov 他による米国特許出願第08/549,336号(1995年10月27日出願、発明の名称「化学的機械研磨用の連続処理装置」、本発明の譲受人に譲渡)に開示され、その全内容は引用によって本明細書に記載されたこととする。
本発明によれば、CPM装置20は、テーブルトップ23が設けられた下部マシンベース22と、取り外し可能な上部外側カバー(図示せず)とを含む。テーブルトップ23は一連の研磨ステーション25a、25b、25cとトランスファステーション27とを支持している。トランスファステーション27は、3つの研磨ステーション25a、25b、25cと共働してほぼ正方形の配置を形成する。トランスファステーション27は多数の機能を持ち、装填装置(図示せず)からの個々の基板10の受取り、基板の洗浄、支持ヘッドへの基板の装填(以下に説明)、支持ヘッドからの基板の受取り、基板の再洗浄、および最後に装填装置への基板の戻しが含まれる。
各研磨ステーション25a〜25cは、上に研磨パッド32が置かれる回転可能なプラテン30を含む。基板10が直径8インチ(200mm)の円板の場合、プラテン30と研磨パッド32の直径は約20インチになる。プラテン30は、ステンレス鋼のプラテン駆動シャフト(図示せず)によってプラテン駆動モータ(これも図示せず)に連結される回転可能なアルミニウムまたはステンレス鋼プレートであることが望ましい。大抵の研磨プロセスでは、駆動モータがプラテン30を約30〜200rpmで回転させるが、それより低いか高い回転速度も使用できる。
研磨パッド32は、目の粗い研磨面34を持つ複合材料から構成される。研磨パッド32は感圧接着層によってプラテン30に取り付けられてもよい。研磨パッド32は厚さ50milの硬い上部層と、厚さ50milの軟らかい下部層とを持つ。上部層は、他のフィラーを混入したポリウレタンから成る材料が望ましい。下部層は、ウレタンを混入したフェルト繊維から成る材料が望ましい。上部層が IC-1000 から成り、下部層が SUBA-4 から成る通常の2層研磨パッドは、デラウェア州ニューアークの Rodel,Inc.から入手できる(IC-1000 と SUBA-4は
Rodel,Inc.の商品名)。
各研磨ステーション25a〜25cは更に、関連するパッド調整装置40を含んでもよい。各パッド調整装置40は、独立して回転する調整器ヘッド44を保持する回転式アーム42と、関連する洗浄容器46とを持つ。調整装置は、パッドがその回転時にパッドに押し付けられた基板を効果的に研磨するように、研磨パッドの状態を保全する。
反応剤(例えば、酸化物研磨用の脱イオン化水)と、研磨粒子(例えば、酸化物研磨用の二酸化珪素)と、化学反応触媒(例えば、酸化物研磨用の水酸化カリウム)とを含むスラリ50が、スラリ供給チューブ52によって研磨パッド32の表面に供給される。研磨パッド32全体を覆って湿らせるだけのスラリが供給される。2つ以上の中間洗浄ステーション55a、55bが、隣接する研磨ステーション25a、25b、25cの間に配置される。洗浄ステーションは、基板が研磨ステーションからステーションへと通過すると同時に基板をリンスする。
回転可能なマルチヘッドカラセル(multi-head carousel) 60が下部マシンベース22の上方に配置されている。カラセル60はセンターポスト62で支持され、そのポスト上で、ベース22内に配置されるカラセルモータアセンブリによってカラセル軸64まわりに回転される。センターポスト62はカラセル支持プレート66とカバー68とを支持する。マルチヘッドカラセル60は、4つの支持ヘッドシステム70a、70b、70c、70dを含む。支持ヘッドシステムの中の3つが基板を受け取って保持し、それらを研磨ステーション25a〜25cのプラテン30上の研磨パッド32に押し付けて研磨する。支持ヘッドシステムの中の1つは、トランスファステーション27からの基板を受け取ると共に、そのステーションに基板を引き渡す。
4つの支持システム70a〜70dが、カラセル軸64のまわりに等角度間隔で、カラセル支持プレート66上に取り付けられている。センターポスト62によって、カラセルモータがカラセル支持プレート66を回転させると共に、支持ヘッドシステム70a〜70dと、それに取り付けられた基板とを、カラセル軸64まわりに旋回させる。
各支持ヘッドシステム70a〜70dは、研磨ヘッドつまり支持ヘッド100を含む。各支持ヘッド100はそれ自体の軸まわりに、独立して回転し、カラセル支持プレート66に形成されたラジアルスロット72の中で、独立して横方向に振動ないしは往復運動する。支持体(キャリヤ)駆動シャフト74は、支持ヘッド回転モータ76を支持ヘッド100に連結する(カバー68の1/4を取り外して図示)。各ヘッド毎に一つずつのキャリヤ駆動シャフトとモータがある。
図2について説明すると、図はカラセル60のカバー68を取り外してあるが、カラセル支持プレート66が4つの支持ヘッド装置70a〜70dを支持している。カラセル支持プレートは、ほぼ半径方向に延びる90°間隔の4つのラジアルスロット72を含む。ラジアルスロット72は閉鎖端(図示)でも開放端でもよい。支持プレートの上面は4つの溝付き支持ヘッド支持スライド80を支持する。各スライド80はラジアルスロット72の一つに沿って配置され、カラセル支持プレート66に対して半径方向に沿って自由に移動する。2つのリニア・ベアリングアセンブリが各スライド80を支持するために各ラジアルスロット72に取り付けられている。
図2と図3に示すように、各リニア・ベアリングアセンブリは、カラセル支持プレート66に固定されたレール82と、レールを掴むためにスライド80に固定された2つのハンド83(その内一つだけを図3に示す)とを含む。2つのベアリング84は各ハンド83をレール82から分離して、両者間の自由で円滑な動きを提供する。かくして、リニア・ベアリングアセンブリによってスライド80はラジアルスロット72沿いに自由に移動できる。
レール82の一方の外端部に固定されたベアリングストッパ85は、スライド80がレールの端部から偶発的に抜け落ちるのを防止する。各スライド80のアームの一方は、スライドの末端近くに固定された不図示のねじ付き受容キャビティまたはナットを含む。ねじ付きキャビティまたはナットは、カラセル支持プレート66に取り付けられたスライド・ラジアルオシレータモータ87によって駆動されるウォームギヤ・リードスクリュ86を支承する。モータ87がリードスクリュ86を回転させると、スライド80は半径方向に移動する。4つのスライドをカラセル支持プレート66内のラジアルスロット72に沿って独立して移動させるように、4つのモータ87は独立して作動できる。
支持ヘッドアセンブリないしはシステムは、各々、支持ヘッド100、キャリヤ駆動シャフト74、キャリヤモータ76、および周囲の非回転シャフトハウジングを含み、4つのスライドの各々に固定される。駆動シャフトハウジング78は、ペアセットの下部リングベアリング88と一対の上部リングベアリング89とによって駆動シャフト74を保持している。各支持ヘッドアセンブリは、研磨装置20から離れて組み立てられ、カラセル支持プレート66のラジアルスロット72内のスライド80のアームの間に遊びのある状態で滑入され、そこでスライドを掴むように締め付けられる。
駆動モータ76の頂部の回転継手90が、2つ以上の流体ラインまたは電気ライン92a〜92cを、駆動シャフト74の中の3つ以上のチャンネル94a〜94c内に連結している。3台のポンプ93a〜93cを流体ライン92a〜92cにそれぞれ接続してもよい。チャンネル94a〜94cは、以下で更に詳しく説明するように、支持ヘッド100を空気圧駆動して基板を支持ヘッドの底部に真空チャックするために使用される。下記に説明する支持ヘッドの各種実施形態で、ポンプ93a〜93cは同一流体ラインに連結されているが、ポンプの目的と機能を変更してもよい。
実際の研磨時には、支持ヘッドの中の3つ、すなわち支持ヘッド装置70a〜70cの支持ヘッドがそれぞれの研磨ステーション25a〜25cの上方に配置される。支持ヘッド100は、基板を下げて研磨パッド32に接触させ、スラリ50は基板またはウェーハの化学的機械研磨用媒体として働く。支持ヘッド100は、研磨パッドに接して基板に均一な荷重を加える。
基板は通常、主研磨ステップと最終研磨ステップを含む多段研磨ステップを受ける。主研磨ステップでは、一般にステーション25aで行なわれ、支持ヘッド100により、平方インチ当たり約4〜10ポンド(psi)の力が基板10に加えられる。後続ステーションで支持ヘッド100は、ほぼ同様の力を加えるのがよい。例えば、最終研磨ステップは一般にステーション25cで行なわれ、支持ヘッド100は約3psiの力を加えるのがよい。キャリヤモータ76は、支持ヘッド100を約30〜200rpmで回転させる。プラテン30と支持ヘッド100は実質的に同一速度で回転する。
一般に支持ヘッド100は、基板を、研磨パッドに接した状態で保持し、下向圧力を基板の裏面全体に均一に分配する。支持ヘッドは駆動シャフトから基板にトルクも伝達して、研磨中に基板が支持ヘッドの下から脱落しないようにする。
図4〜図6に従って説明すると、支持ヘッド100は、ハウジング102、ベース104、ジンバル機構106、負荷機構108、保持リング110、および基板バッキングアセンブリ112を含む。ハウジング102は、駆動シャフト74に連結され、それと一緒に、研磨パッドの表面に実質的に直角な回転軸107のまわりに回転する。負荷機構108は、ハウジング102とベース104の間に配置され、負荷ないしは荷重すなわち下向圧力をベース104に加える。ベース104は、負荷機構108によって研磨パッド32に対して固定される。ベース104と基板バッキングアセンブリ112の間に配置されたチャンバ290の加圧は、ベースに対して上向きの力を発生させると共に、基板バッキングアセンブリに対して下向の力を発生させる。基板バッキングアセンブリに対する下向の力が、基板を研磨パッドに押し付ける。基板バッキングアセンブリ112は、支持構造体114、支持構造体114とベース104の間に連結されるフレクシャ116、および支持構造体114に連結された可撓膜118、を含む。可撓膜118は支持構造体114の下に延びて基板用の取付け面を提供する。これらの各要素について以下に更に詳しく説明する。
ハウジング102は、研磨すべき基板の丸い形状に対応するように、形がほぼ円形である。ハウジングは環状ハウジングプレート120と、ほぼ円筒形のハウジングハブ122とを含む。ハウジングハブ122は、上部ハブ部分124と下部ハブ部分126とを含んでもよい。下部ハブ部分は上部ハブ部分より直径が小さい。ハウジングプレート120は下部ハブ部分126を囲み、上部ハブ部分122にボルト128によって固定される。ハウジングプレート120とハウジングハブ122はステンレス鋼かアルミニウムで形成される。
環状クッション121は、例えば接着剤でハウジングプレート120の下面に取り付けてられている。クッション121は、その底面がハウジングプレートの下面と面一になるようにハウジングプレートの凹部125に嵌合する。以下に記載するように、クッションは、ベース104の上方移動を制限する軟質ストッパとして働く。クッション121は連続気泡のパッド、例えばデラウェア州ニューアークの Rodel,Inc.から入手可能な厚さ50milの POLYTEXTM がよい。
ハウジングハブ122は、上部ハブ部分124の上面134を下部ハブ部分126の下面136に連結する2つの通路130、132を含む。通路132を可撓性のチューブ(図示せず)へ流体封止状態に接続するためのフレクシャ133は、下部ハブ部分126の下面136に取り付けられている。更に、中央垂直ボア138は、ハウジングハブの中心軸に沿って延びる。Oリング140は、両通路130、132と中央ボア138とを囲み、支持ヘッドが駆動シャフトに取り付けられたときに流体封止シールを提供する。円筒形ブッシュ142は中央ボア138に圧入され、下部ハブ部分126に形成された棚144によって支持される。3つのスロット146(断面図のためにその中の一つだけを示す)がブッシュ142の内部円筒面に等角度間隔で形成される。ブッシュ142は硬質プラスチック材料、例えば TEFLONTM と DELRINTM の混合体がよい。
ハウジング102を駆動シャフト74に連結するには、支持ヘッド100を持ち上げて、2本の合わせピン(図示せず)が上部ハブ部分124の上面134の2つの合わせピン穴(図示せず)と、駆動シャフトフランジ96の二対の合わせピン穴とに嵌合するようにする。これが通路130、132をチャンネル94a、94bに円周方向に整合させる(図3参照)。中央ボア138は中央チャンネル94と整合する。フランジ148は、ハウジング102の上部ハブ部分124から外方に突き出る。フランジ148は駆動シャフト74のフランジ96と接合する。円形クランプ(図示せず)がフランジ148をフランジ96にクランプして、支持ヘッド100を駆動シャフト74に確実に取り付ける。
ベース104は、ハウジング102の下に配置されたほぼリング状の物体である。ベース104の外径はハウジングプレート120の外径とほぼ同一で、ベース104の内径は下部ハブ部分の直径よりも多少大きい。ベースの上面151は環状リム152を含み、ベース104の下面150は環状凹部154を含む。環状凹所156は環状凹部154内に形成される。ベース104はアルミニウム、ステンレス鋼、またはFRP等の剛性材料から形成されるのがよい。
ブラダー160はベース104の下面150に取り付けられる。ブラダー160は膜162とクランプリング166とを含む。膜162は、突出端164を有するシリコンゴム等の可撓材料の薄い環状シートでよい。クランプリング166は、T形断面を持つと共にウイング167を含む環状の物体でよい。等角度間隔の複数の孔がクランプリングを垂直方向に貫通する。以下に検討するように、これらの孔の一つ(左側部分を示す図4の(A))は、ブラダー160の空気圧制御用通路172として使用される。残りの孔は、クランプリングをベースに固定するためのボルトを保持する。ブラダー160を組み立てるには、膜162の突出端164をクランプリング166のウイング167に嵌合させる。アセンブリ全体が環状凹所156内に配置される。クランプリング166はねじ168によってベース104に固定される(他の孔は通路172として使用されるので、一つのねじだけをこの断面図の右側に示す)。クランプリング166はベース104に対して膜162をシールして、容積空間部170を画成する。垂直通路172はクランプリング166を貫通して延びて、ベース104の垂直通路158と整合する。取付け具174が通路158に挿入され、可撓チューブ(図示せず)が取付け具133を取付け具174に連結する。
ポンプ93b(図3参照)は流体ライン92b、回転継手90、駆動シャフト74内のチャンネル94b、ハウジング102内の通路132、可撓チューブ(図示せず)、ベース104内の通路158、およびクランプリング166内の通路172を介して、ブラダー160に連結される。ポンプ93bが流体、好ましくは空気等の気体を容積空間部170内に強制供給すると、ブラダー160が下方に膨張する。他方、ポンプ93bが容積空間部170から流体を減圧排出すると、ブラダー160は収縮する。以下に検討するように、ブラダー160を使用して支持構造体114と可撓膜118とに下向圧力を加えることができる。ジンバル機構106は、ベースが研磨パッドの表面と実質的に平行に保たれるように、ハウジング102に対するベース104の移動を許す。詳細には、ジンバル機構はベースが垂直に、すなわち回転軸107に沿って移動できるように、また研磨パッドの表面に平行な軸まわりにハウジング102に対してピボット回転できるようにする。しかしながら、ジンバル機構106は、ベース104が研磨パッドに平行な軸に沿ってハウジングに対して横方向に動くことを防ぐ。ジンバル機構106は無負荷、すなわち、いかなる下向圧力も、ハウジングからジンバル機構を介してベースに加わらない。しかしながら、ジンバル機構は、側方荷重、例えば基板と研磨パッドの間の摩擦によって生じる剪断力はすべて、ハウジングに伝達できる。
ジンバル機構106は、ジンバルロッド180、フレクシャリング182、上部クランプ184、および下部クランプ186を含む。ジンバルロッド180の上端は円筒形ブッシング142を通って通路188に嵌合している。ジンバルロッド180の下端は上部クランプ184に取り付けられている。その外に、上部クランプ184をジンバルロッド180の一体部品として形成してもよい。フレクシャリング182の内端部は、下部クランプ186と上部クランプ184の間に保持され、フレクシャリング182の外端部は、ベース104の下面150に固定される。ねじ187を使って下部クランプ186を上部クランプ184に固定してもよいし、ねじ187を使ってフレクシャリング182をベース104に固定してもよい。ジンバルロッド180は、ベース104がハウジング102に対して垂直方向に動くように、通路188に沿って垂直に摺動する。しかしながら、ジンバルロッド180はハウジング102に対するベース104の横方向の動きを、すべて阻止する。
ジンバルロッド180、上部クランプ184、および下部クランプ186は、ステンレス鋼かアルミニウム等の剛性材料から形成される。しかしながら、フレクシャリング182は、その名が示すように、適度に撓みやすい材料から形成される。フレクシャリング材料は、ハウジングに対するベースの回転によって生じる大きな歪みに破壊せずに耐え得るように、また適度な弾性係数を持つように、選別される。フレクシャリング182は、キャリヤ(支持体)が保持リングに対する荷重分布を実質的に変更せずに小さな回転動作に耐え得るだけの弾性がある。しかしながら、フレクシャは、ベースからハウジングへの側方荷重を効果的に伝達するだけの剛性を持つ。フレクシャリングは膜162や膜118ほど撓みやすくない。詳細には、フレクシャリング182は、ベースの一端が反対側のベースの端より約5〜10mil高くなるように、ベース104のピボット回転を許すだけの可撓性がなければならない。フレクシャリングは、デラウェア州ウィルミントンの DuPon から入手可能な DELRINTM 等の硬質プラスチックから形成される。その外に、フレクシャリングはG10等の、ガラス繊維とエポキシ樹脂の積層体から形成してもよい。フレクシャリング182は垂直方向にわずかに曲げられるが、半径方向には剛性がある。
ストッパ190は3つのねじ192(断面図のために、その中の一つだけを図示する)によって、ジンバルロッドの上面191に固定される。3つのピン194(これも一つのピンだけを示す)はストッパ190から水平に突き出して、ブッシング142の3つのスロット146に嵌合している。ピン194はスロット146内で垂直方向に自由に摺動するが、横方向には摺動しない。かくして、ベース104は、支持ヘッドの回転に影響することなく、ハウジング102に対して垂直に移動できる。更に、ジンバルロッド180は通路188内で自由に摺動するので、ジンバル機構によってハウジング102からベース104に圧力を加えることはできない。ストッパ190もベース104の下向きの動きを制限して、支持ヘッドの伸び過ぎを防止する。ピン194は垂直スロット146の底部棚195に引っ掛かって、ベースの下向きの動きを止める。
ジンバル機構106は、ストッパの中心軸沿いに形成される垂直通路196、上部クランプ、および下部クランプを含んでもよい。通路196はハウジングハブ122の上面134を下部クランプ186の下面に連絡する。ジンバルロッド180とブッシング142の間のシールを提供するために、Oリング198をブッシング142内の凹部にセットする。
ハウジング102に対するベース104の垂直位置は負荷機構108によって制御される。負荷機構は、ハウジング102とベース104の間に配置されたチャンバ200を含む。
チャンバ200は、ベース104をハウジング102にシールすることによって形成される。シールはダイヤフラム202、内部クランプリング204、および外部クランプリング206を含む。ダイヤフラム202は、厚さ60milのシリコンシートから形成されるが、ほぼリング形の平らな中央セクション、突出した内端部210、および突出した外端部212を持つ。ダイヤフラム202の内端部210はベース104のリム152上に着座し、内端部210はリム152の外端部に沿って走る隆起214の上に嵌合している。
内部クランプリング204を使用して、ダイヤフラム202をベース104にシールする。内部クランプリングは主としてリム152上に着座し、隆起214の上に突出した外部リップ216を持つ。内部クランプリング204は、例えばボルト218によってベース104に固定され、ダイヤフラム202の内端部をベース104に接してしっかり保持する。
外部クランプリング206を使用して、ダイヤフラム202をハウジング102にシールする。ダイヤフラム202の突出した外端部212は外部クランプリング206の上面の溝220内に着座する。外部クランプリング206はハウジングプレート120に、例えばボルト222によって固定され、ダイヤフラム202の外端部をハウジングプレート120の底面に接して保持する。かくして、ハウジング102とベース104の間の間隔がシールされてチャンバ200を形成する。
ポンプ93a(図3参照)は流体ライン92a、回転継手90、駆動シャフト74内のチャンネル94a、およびハウジング102内の通路130を介して、チャンバ200に連結される。流体、好ましくは空気等の気体がポンプでチャンバ200に供給、またはチャンバ200から排出されて、ベース104に加えられる荷重を制御する。ポンプ93aが流体をチャンバ200に供給する場合、チャンバの容積が増加してベース104が下方に押し下げられる。他方、ポンプ93aが流体をチャンバ200から排出する場合、チャンバ200の容積が減少してベース104は上方に引き上げられる。
オプションのクッション121は、内部クランプリング204のすぐ上のハウジングプレート120内に配置してもよい。クッション121は、ベース104の上方の動きを止める柔らかいストッパとして働く。詳細には、チャンバ200が減圧排出されてベース104が上方に動いたときに、内部クランプリング204がクッション121に当接する。これが、真空チャックされた基板を支持ヘッドから分離させるような急激な衝撃動作を防ぐ。
駆動シャフト74がハウジング102を回転させると、ダイヤフラム202も回転する。ダイヤフラム202は内部クランプ204によってベース104に連結されているのでベースが回転する。更に、支持構造体114はフレクシャ116によってベース104に連結されているので、支持構造体と、取り付けられた可撓膜も回転する。
保持リング110はベース104の外端部で固定される。保持リング110は実質的に平らな底面230を持つほぼ環状のリングである。流体がチャンバ200にポンプ供給されてベース104が下方に押し下げられると、保持リング110も下方に押されて荷重を研磨パッド32に加える。保持リング110の内面232は、可撓膜118の取付け面274に関連して、基板受容凹部234を画成する。保持リング110は基板が受容凹部から外れるのを防ぎ、横荷重をウェーハからベースへ伝達する。
保持リング110は硬質プラスチックかセラミック材料で作られる。保持リング110は、例えばボルト240によってベース104に固定される。更に、保持リング110は、内面232を外面238に連絡する一つ以上の通路236を含む。以下に検討するように、通路236は、支持構造体の垂直方向の自由な動きを保証するために、支持ヘッドの外側と、フレクシャと支持構造体間の隙間の間の圧力平衡を提供する。
また、保持リング110は、ベース104の外周まわりに嵌合する環状リム242を含む。シールド244は、保持リング110のリム242の上に着座すると共にハウジングプレート120の上に延びるように、支持ヘッド100の上に配置される。シールド244は、ダイヤフラム202等の支持ヘッド100内のコンポーネントをスラリ50による汚染から保護する。
基板バッキングアセンブリ112はベース104の下に配置される。基板バッキングアセンブリ112は支持構造体114、フレクシャ116、および可撓膜118を含む。可撓膜118は支持構造体114に連結されてその下に延びる。ベース104、支持構造体114、フレクシャ116、およびジンバル機構106に関連して、可撓膜118がチャンバ290を画成する。支持構造体114と、取付けられた可撓膜118とは、フレクシャ116によってベース104から吊り下げられる。支持構造体114は、ベース104内に形成された環状凹部154と保持リング110とによって形成された空間内に嵌合する。
支持構造体114は支持リング250、環状下部クランプ280、および環状上部クランプ282を含む。支持リング250は、環状外側部分252と厚い環状内側部分254とを持つ剛性部材である。支持リング250はその外端部に下方に突出したリップ258を持つほぼ平らな下面256を有する。一つ以上の通路260が、支持リング250の内側部分254を通って垂直に延びて、下面256を内側部分の凹面266に連絡する。環状溝262が支持リングの外側部分252の上面264に形成される。支持リング250はアルミニウムかステンレス鋼で形成されるとよい。
可撓膜118は、強力シリコンゴム等の撓みやすい弾性材料で形成された円形シートである。膜118は突出した外端部270を有する。膜118の部分272はリップ258の位置で支持リング250の下部コーナーを回り、外側部分252の外面268のまわりに上方に、かつ外側部分252の上面264に沿って内方に延びる。膜118の突出端270は溝262に嵌合している。可撓膜118の端部は下部クランプ280と支持リング250の間にクランプされる。
フレクシャ116はほぼ平面の環状リングである。フレクシャ116は垂直方向に撓みやすく、半径方向と接線方向には撓みやすいか、または剛体である。フレクシャ116の材料は、ショアAスケールで30とショアDスケールで70との間のデュロメータ硬度を持つ。フレクシャ116の材料は、ネオプレン等のゴム、NYLONTM やNOMEXTM 等のゴム状弾性被覆繊維、プラスチック、またはガラス繊維等の複合材料である。フレクシャ116はフレクシャリング182より多少撓みやすくなければならないが、膜118とほぼ同じ可撓性を持つ。詳細には、フレクシャ116は、支持構造体114が約1/10インチだけ垂直方向に動き得るようにしなければならない。フレクシャ116の外端部は、ベース104の下面150と保持リング110の間に固定される。フレクシャ116の内端部は、下部クランプ280と上部クランプ282の間に固定される。フレクシャ116は、その取付け位置から凹部154内に内方に突出する。環状上部クランプ282、環状下部クランプ280、および支持リング250は、ねじ284によって互いに固定されて支持構造体114を構成する。
可撓膜118、支持構造体114、フレクシャ116、ベース104、およびジンバル機構106の間の空間がチャンバ290を画成する。ジンバルロッド180を貫通する通路196は、チャンバ290をハウジング102の上面に連絡する。ポンプ93c(図3参照)は流体ライン92c、回転継手90、駆動シャフト74内のチャンネル94c、およびジンバルロッド180内の通路196を介して、チャンバ290に連結される。ポンプ93cが流体、好ましくは空気等の気体をチャンバ290内に強制供給すると、チャンバの容積が増加して可撓膜118が下方に押し下げられる。他方、ポンプ93cが流体チャンバ290から空気を排気すると、チャンバの容積は減少して膜が上方に押し上げられる。気体の方が圧縮性が大きいので、液体よりも気体を使用する方が望ましい。
可撓膜118の下面は取付け面274を提供する。研磨中、基板10は基板の裏側が取付け面に接した状態で、基板受容凹部234内に配置される。基板の端部は可撓膜を介して支持リング114の盛上ったリップ258に接触する。
チャンバ290から流体をポンプ排出することによって、可撓膜118の中央部は内側に曲げられて、リップ258の上に引っ張られる。基板が取付け面274に接して配置されている場合、可撓膜118の上向きの撓みが膜と基板の間に低圧のポケットを作り出す。この低圧ポケットが基板を支持ヘッドに真空チャックする。
支持ヘッド100は、独立して制御可能な荷重を、基板と保持リングに提供する。基板10に対する可撓膜118の下向圧力は、チャンバ290内の圧力によって制御される。研磨パッド32に対する保持リング110の下向圧力は、チャンバ200内の圧力とチャンバ290内の圧力の双方によって制御される。詳細には、保持リング110に対する荷重は、チャンバ内の圧力からチャンバ290内の圧力を差し引いたものに等しい。チャンバ290内の圧力がチャンバ200内の圧力より大きい場合、保持リング110には荷重が加わらない。独立して制御可能な荷重が、エッジ効果を最小にするために支持リングの荷重を最適化するが、これは1996年6月19日出願の Guthrie 他による米国特許出願第 08/667,221 号、発明の名称「保持リングを使用してエッジ効果を制御するための方法と装置」(本発明の譲受人に譲渡)に記載され、その全内容は引用によって本明細書に組み込まれている。
フレクシャ116は、可撓膜116によって基板10に加えられる荷重の均一性を改良する。詳細には、支持構造体114はベース104とハウジング102に対して回転すると共に垂直方向に動き得るので、支持構造体は研磨パッドの面に実質的に平行に保たれる。可撓膜118は支持構造体114に連結されるので、可撓膜118も研磨パッドの面に実質的に平行に保たれる。従って、可撓膜は、基板の端部近くの膜の部分を変形させることなく、傾斜した研磨パッドに順応する。その結果、基板に対する荷重は、研磨パッドが支持ヘッドに対して傾斜している場合でも均一に保たれる。可撓膜118は基板10の裏側に一致するように変形する。例えば、基板10が反っている場合、可撓膜118は事実上、反った基板の輪郭に一致する。かくして、基板に対する荷重は、基板の裏側に表面凹凸がある場合でも均一に保たれる。
更に、基板10への荷重は、圧力変化のある場合でも実質的に均一に保たれる。詳細には、フレクシャ116により、支持構造体114と可撓膜118がベース104に対して垂直方向に動くことが可能である。流体がチャンバ290に圧送されると、フレクシャ116は下方に撓み、チャンバの容積を増加させる。可撓膜は支持構造体114と共に動くので、この垂直方向の動きは可撓膜の端部を変形させない。従って、支持リングの下端における可撓膜118のコーナーは、可撓膜の残部と実質的に同一の荷重が加わることになる。
フレクシャ116は、支持構造体114と可撓膜118とがベース104に対して回転するのを防止する。フレクシャ116はすべてのトルク負荷、例えば回転する研磨パッド32からの摩擦力をベース104に伝達し、ベース104が次に、その負荷をジンバル機構106を介してハウジング102に伝達する。ベース104が回転すると、フレクシャ116も回転し、支持構造体114と可撓膜118とを回転させて、基板10をキャリヤ駆動シャフト74と共に回転させる。
更に、フレクシャ116、支持構造体114、および可撓膜118は、フレクシャ116の存在が可撓膜の端部において下向圧力を追加しないように構成、配置される。ベース104の下面150の取付け位置から、フレクシャ116は環状凹部154内に内方に突出する。構造114の一部は、フレクシャの取付け位置を超えてフレクシャ116の下を外方に延びる。支持構造体114とフレクシャ116とは、支持リング250の下面256の表面積が支持リング250の上面266、環状上部クランプ282、およびフレクシャ116の全表面積にほぼ等しいように構成される。チャンバ290は上面266と下面256の両者の近くに延在するので、チャンバによって同一の圧力が上、下面に加えられる。かくして、フレクシャへの下向圧力プラス支持構造体の重量は、支持リングに対する上向き圧力によって実質的にバランスされる。支持リング250を貫通する通路260は、支持構造体の上に配置されたチャンバ290の部分294と、チャンバ290の残部の間の圧力平衡を提供する。
支持構造体114とフレクシャ116の下面との間に隙間298が存在する。環状下部クランプ280は、隙間296が、なるべく支持構造体の外端部近くで広い部分を持つように構成される。例えば、下部クランプは、支持リング250の外面268まで延ばす必要はない。この構成によって、研磨中にチャンバ290が加圧されたとき、フレクシャ116は支持構造体114に接触することなく、隙間296の広い部分の中に膨張できる。フレクシャの自由部分が支持構造体に接触しないので、フレクシャへの下向圧力の少なくとも一部が、支持構造体114ではなくて保持リング110に伝達される。これが支持構造体114に対する荷重を充分に減少させ、その結果、上述のように、フレクシャへの下向圧力プラス支持構造体の重量が、支持リングへの上向き圧力によって実質的にバランスされる。
保持リング110を貫通する通路236は、隙間296と研磨パッド100の外側大気の間の圧力平衡を提供する。これによって、確実に空気を隙間から通気できるので、支持構造体の垂直方向の動きを自由にする。
研磨装置20の支持ヘッドは下記のように作動する。基板10は、その裏側を可撓膜118の取付け面274に当接させた状態で基板受容凹部234に装填される。ポンプ93bが流体をブラダー160に供給する。これによって、ブラダー160が膨張して支持構造体114を下方に押し下げる。支持構造体114の下向きの動きによって、リップ258が可撓膜118の端部を基板10の端部に押し付け、基板の端部に流体封止シールを作り出す。次にポンプ93cが、先に記載したように、チャンバ290を減圧排出して、可撓膜118と基板10の裏側との間に低圧ポケットを作り出す。最後に、ポンプ93aが流体をチャンバ200から排出してベース104、基板バッキングアセンブリ112、および基板10を持ち上げ、研磨パッドから外すか、トランスファステーションから取り外す。次にカラセル60が、例えば支持ヘッドを研磨ステーションまで回転させる。次に、ポンプ93aが流体をチャンバ200に供給して、基板10を研磨パッドの上におろす。ポンプ93bは、ブラダー160が支持構造体114と可撓膜118とに最早、下向圧力を加えないように、容積空間部170を減圧排出する。最後に、ポンプ93cは気体をチャンバ290内に供給して、研磨ステップのために基板10に下向荷重を加える。
下記の支持ヘッドの別の実施形態では、機能や動作が修正された要素をダッシュ付き符号を使って示す。単に形状寸法を変更した要素はダッシュなしの符号を使って表示する。例えば、下記の支持ヘッドのいくつかは、直径6インチ(150mm)の基板の研磨用に構成されている。6インチ基板の研磨に適合させるための要素の形状寸法の変更は詳細に説明しないし、またその目的で変更された要素もダッシュ付き符号を使って表示しない。
更に、上記と同様、下記の実施形態では、ポンプ93a〜93cは、それぞれ流体ライン92a〜92cに接続されたままだが、ポンプの目的や機能は変化する。特に、ポンプは、異なる実施形態の支持ヘッドでは異なる圧力チャンバに連結される。
図7に従って説明すると、類似部品をダッシュ付き符号で示す別の実施形態では、ブラダー160′は、膜162′が可撓膜118の上面300に直接に接触するように、ベース104の下に配置される。
支持ヘッド100′は、図4の支持ヘッドと同様の方式で基板を真空チャックする。詳細には、基板10は、その裏側を可撓膜118の取付け面274に当接させた状態で、基板受容凹部234に挿入される。ポンプ93bは容積空間部170′に空気を供給してブラダー160′を膨張させる。これによって、膜162′は可撓膜118′の上面300の環状部分に直接に下向圧力を加える。これが可撓膜と基板の間に流体風封止シールを作り出す。次にポンプ93cがチャンバ290から流体を排出して、低圧ポケットを作ると共に基板を支持ヘッドに真空チャックする。
ブラダー160′を使用する利益はいくつもある。ブラダー160′は可撓膜118′のための柔らかくて変形可能なバッキングを提供する。従って、チャンバが減圧排出され、可撓膜118′が内側に引かれて低圧ポケットを形成すると、ポケットの端部は緩やかな傾斜を持つことになる。基板上に応力を発生させる硬いエッジが存在しないので、チャック工程で基板が破壊する可能性がより小さくなる。その上、サクションカップの深さを制御できる。一旦、基板10が支持ヘッドにチャックされると、ブラダー160′は膨張または収縮する。ブラダー160′が膨張する場合、膜118′と基板10とは下方に押し下げられるが、ブラダー160′が収縮する場合は、膜118′と基板10とは上方に引き上げられる。
化学的機械研磨で遭遇した一つの問題は、支持ヘッドへの基板の取付けが失敗して基板が支持ヘッドから外れることである。この問題が発生しても、オペレータは、支持ヘッドが最早、基板を装填していないことを目視で観察できない。この状態で、基板が最早、研磨されていないにもかかわらず、CMP装置は作動を継続する。これは時間の無駄であると同時に処理能力を減少させる。更に、外れた基板、すなわち支持ヘッドに取り付けられていない基板は、CMP装置の可動部品にあちこち突き当てられて、CMP装置自体を潜在的に損傷させるか、あるいは他の基板を損傷させるような異物を残す。
支持ヘッド100′に利用されるCMP装置は、基板の存在を感知するように作動される。CMP装置が、支持ヘッドから基板が失われた旨検出すると、装置はオペレータに警告して、時間の無駄と潜在的損傷を避けるために研磨作業を自動的に停止させる。
図3に従って説明すると、装置20は、回転継手90とポンプ93bの間の流体ライン92bに置かれたバルブ302および圧力計304を含む。バルブ302と圧力形304は、これらの要素が前記の支持ヘッドの実施形態に関連して使用されないので点線で示す。バルブ302が閉じられると、容積空間部170′がポンプ93bからシールされ、圧力計304がブラダー160′内の圧力を測定する。
図7に戻って説明すると、装置20は、支持ヘッドがしっかりと基板をチャックしたか否かを感知する。基板は、その裏側が取付け面274に接触するように基板受容凹部234に装填される。ポンプ93bはブラダー160′を膨張させ、可撓膜118と基板10の間にシールを形成する。次に、容積空間部170′をシールするためにバルブ302が閉じられる。圧力計304を使用してブラダー160内の圧力を測定する。次に、ポンプ93cはチャンバ290′を減圧排出して、可撓膜と基板の間に低圧ポケットを作り出す。最後に、ポンプ93aはチャンバ200を減圧排出して基板を持ち上げ、研磨パッドから外す。圧力計304は、次にブラダー160′内の圧力をもう一度測定して、基板が支持ヘッドにしっかりと真空チャックされたか否か決定する。
一方では、基板が存在する場合は可撓膜と基板の間に生じた低圧ポケットが基板に対して上向きの力を発生させる。この上向きの力は、基板を膜162′に対して上方に押し付ける。これがブラダー160′の容積を減少させて容積空間部170′の圧力を増加させる。他方、基板が支持ヘッドに存在しない場合は上向きの力が膜に加わらず、容積空間部170′内の圧力は一定を保つ。従って、圧力計304が、ポンプ93cによるチャンバ290′からの空気排出に伴う圧力増加を測定した場合は、CMP装置が基板を支持ヘッドにしっかり真空チャックしたのである。圧力計304を使って、支持ヘッド内の基板の圧力を検出するために容積空間部170′内の圧力を連続的に監視することもできる。例えば、研磨ステーション間で、または研磨ステーションとトランスファステーションとの間で基板を運搬しているときに圧力計304が容積空間部170′の圧力の減少を検出した場合は、基板が支持ヘッドから外れたことを示す。この状態では運転が停止され、CMPオペレータはその問題について警告を受ける。
支持ヘッド100′は、保持リングをベースに取り付けるために別の方法も利用している。保持リング110′は、保持ピース310によってベース104に固定される。保持ピース310は、ねじ312によってベース104に固定される。保持ピースは、環状リング316によって保持リング110′の突出棚314に係合する。
図8に従って説明すると、類似部品を二重ダッシュ付き符号で示す別の実施形態では、支持ヘッド100″はほぼ円形の内部チャンバ320と、内部チャンバ320を囲むほぼ環状の外部チャンバ322とを含む。
図8の支持ヘッドでは、基板バッキングアセンブリ112″は、支持構造体114、フレクシャ116、および可撓膜118″を含む。可撓膜118″は上部膜また膜部分324と、下部膜または膜部分326とを含む。下部膜326は支持構造体114に連結される一方、上部膜324は直接にベース104に連結される。上部膜324は内部チャンバ320を画成するが、外部膜326は内部チャンバ320を画成する。可撓膜118″は、強力シリコンゴム等の可撓性の弾性材料から形成される。
上部膜324は、強力シリコンゴム等の材料から成る円形シートでよい。内部膜324は突出した外端部328を持つ。上部膜324の外端部328は、環状クランプリング330と、フレクシャリング182″上のリム334との間に保持される。クランプリング330は、フレクシャリング182″とベース104の間の凹部336内にボルト168″で固定される。クランプリングは内部膜をフレクシャリングに押し付けて流体封止シールを形成する。上部膜324とジンバル機構106の間の空間は、ほぼ円形の上部チャンバ320を画成する。
また、下部膜326は円形シートの材料でよい。下部膜326は突出した下端338を持つ。支持構造体114に対する外部膜326の取付けは、図4の支持構造体114に対する可撓膜118の取付けと同様である。詳細には、外端部338が溝262に固定されて、下部クランプ280と支持リング250の間にクランプされる。下部膜326、内部膜324、ベース104、フレクシャ116、および支持構造体114の間の空間は、ほぼ環状の外部チャンバ322を画成する。
チャンバ320の下の膜118″の部分は基板取付け面の円形内側部分を提供し、チャンバ322の下の膜118″の部分は基板取付け面の環状外側部分を提供する。内部膜324の底面340は、例えば接着剤によって、外部膜326の上面342に取り付けられる。その他に、上部膜324と下部膜326は、単一の下部膜の異なる部分でもよい。
ポンプ93cは流体ライン92c、回転継手90、駆動シャフト74内のチャンネル94c、およびジンバル機構106内の通路196によって、内部チャンバ320に連結される。同様に、ポンプ93bは流体ライン92b、回転継手90、駆動シャフト74内のチャンネル94b、ハウジング102内の通路132、可撓性の流体コネクタ(図示せず)、ベース104内の通路158、およびクランプリング330内の通路334によって、外部チャンバ322に連結される。
支持ヘッド100″は、図7の支持ヘッドと同様な方式で、真空チャックされると共に、支持ヘッド内の基板10の存在を感知する。詳細には、真空チャック工程で、ポンプ93bが流体を外部チャンバ322に供給することによって、膜118″の外部環状部分を基板10に直接に押し付けて、流体封止シールを形成する。次にバルブ302(図3参照)が閉じられて、外部チャンバ322内の圧力の最初の測定が圧力計によって行なわれる。次にポンプ93cは内部チャンバ320を減圧排出して、基板を真空チャックするための低圧ポケットを作り出す。基板がしっかり真空チャックされれば、圧力計304で測定された圧力は増加する。
化学的機械研磨で遭遇した別の問題は、基板の端部がしばしば基板の中央部と異なる速度(通常は早くなるが、場合によっては遅くなる)で研磨されることである。この問題は、荷重が基板に均一に加えられた場合でも起こる。この効果を補償するために、内部チャンバ320と外部チャンバ322とが、研磨中に異なる荷重を基板に加える。例えば、基板の端部が中央部よりも遅く研磨される場合、外部チャンバ322内の圧力を内部チャンバ320内の圧力より大きくすることによって、基板端部での研磨速度を増加させる。相対荷重を選択することによって、より均一な基板の研磨が達成される。
図7の支持ヘッド100′を使用して、基板の端部と中心部に異なる荷重を加えてもよい。基板の中心部と端部の間に圧力差を発生させるために、ブラダー160′が収縮した状態で開始して、チャンバ290を希望の圧力に加圧する。次にブラダー160′を、膜162′が可撓膜118の上面300に接触するように膨張させる。これによって、チャンバ290の環状外側部分304をチャンバ290の円形内側部分302から効果的にシールする。基板の中心部の圧力をその端部に対して増加させるために、ポンプ93cが円形内側部分302に流体を供給する。外側部分304はブラダー160′でシールされているのでその圧力は変化しない。ポンプ93cは、ブラダー160′がシールを形成した後、内側部分302の流体を排出する。
膜162′は、可撓膜118に接着またはクランプされていないので、ブラダー160′によって作られるシールは必ずしも完全に流体封止ではない。従って、流体は、部分302と304が同一圧力になるまで、膜の間で徐々に漏洩する。かくして、上記の手順を定期的に実行することが必要である。
図9に従って説明すると、類似部品が三重ダッシュ付き参照番号で示される別の実施形態では、基板バッキングアセンブリ112″′は、支持リングではなくて支持プレート350を含む。
支持プレート350はほぼ円板形の物体である。支持構造体114″′の一部として支持プレート全体は垂直方向に動くと共に、ベース104に対してピボット回転する。環状下部クランプ280と環状上部クランプ282は、ボルト284″′によって支持プレートの縁部分362に固定される。
支持プレート350はほぼ平面の下面352を持つ。支持プレート350は、フレクシャ116によってチャンバ290″′内に吊り下げられる。複数の開口部354が支持プレートの中央部分364を貫通して垂直方向に延びて下面352を上面360に連絡する。開口部354は、支持プレートの上に配置されたチャンバ290″′の部分356を、支持プレートの直ぐ下に配置されたチャンバ290″′の部分358に連絡する。その外に、支持プレート350の下面352に凹部を設けて単一開口部でチャンバ部分356をチャンバ部分358に連絡してもよい。
可撓膜118は支持プレート350と下部クランプ280の間にクランプされて、支持プレートの下面の直ぐ下に延びる。ポンプ93cがチャンバ290″′の流体を排出すると、可撓膜118は支持プレート350に接して開口部354内に上方に引っ張られる。基板の裏側が取付け面274に接して置かれると、開口部内への可撓膜の膨張が基板と可撓膜の間に複数の低圧ポケット360を作り出す(図13参照)。これらの低圧ポケットが基板を支持ヘッドに真空チャックする。
CMPプロセスで遭遇する一つの問題は、基板を研磨パッドから外す場合の困難さである。先に説明したように、研磨パッドの表面にはスラリの薄層が供給される。基板が研磨パッドに接触すると、スラリの表面張力は、基板を研磨パッドに結合する接着力を発生させる。基板を研磨パッドに保持するこの表面張力が、基板を支持ヘッドに保持する力よりも大きい場合、支持ヘッドが引っ込んだときに基板は研磨パッド上に残る。
基板を研磨パッドから確実に外すための一つの構成を図12に示す。図12で示すように、下面352を横切る開口部354の分布は半径方向に対称ではなく、非対称である。すなわち、支持プレートは、開口部を持つ領域370と開口部を持たない領域372とを含む。領域370はほぼ楔形で、45°と180°の間の角度αを持つ。また、領域370は支持プレートの中心部に延びるのではなく、支持プレート350の部分364の端部近くだけに配置してもよい。
基板の真空チャック時に、開口部354の非対称分布によって、基板に対する上向きの力が非対称に加わることになる。非対称の力は基板上にトルクを発生させ、それが基板の一端を選択的に持ち上げて研磨パッドから外そうとする。これがスラリの表面張力による接着力を減少させて支持ヘッドに対する基板の真空チャックの信頼性を向上させるのである。
図14に従って説明すると、類似部品が四重ダッシュ付き参照番号で示される別の実施形態では、支持ヘッドは、支持構造体114″″の下向きの動きを制限するストップピンアセンブリを含む。
図14の支持ヘッドでは、支持リング250″″の内側部分254″″はほぼ楔形の断面を持つ。楔形内側部分の内面381は内部に環状凹部382が形成される。等角度間隔で配置された3つ以上のストップピン384(断面図のためにその内一つだけを示す)が、ベース104″″の穴356に嵌合している。ストップピン384は、水平方向に外方に、支持リング250″″の角張った(angular)凹部382内に突出する。流体がチャンバ290内にポンプ供給されることによって、支持構造体114を下方に押し下げると、支持リング250″″の上部リム388がストップピン384に引っ掛かって、支持構造体の下向きの動きを制限する。
環状上部クランプ282″″は上面391に一つ以上の半径方向溝390(一つだけを図示)を含む。ブラダー160が膨張して膜162が環状上部クランプ282″″に接触すると、半径方向溝390は、ブラダーの両側に配置されたチャンバ290の容積空間部294の各部の間にチャンネルを形成する。容積空間部294の、2つの独立部分への分離は図14に示していないが(研磨のための下降位置で基板バッキングアセンブリ112を図示するため)、図4で見ることができる。これらのチャンネルが、均一な研磨を保証する圧力平衡を可能にする。
保持リング110″″の上面239は一連の同心円形隆起394を持つ。ベース104″″の下面150の外部環状部分も一連の同心円形隆起394を含む。保持リング110″″がベース104″″に取り付けられた状態で支持ヘッドが組み立てられると、隆起392は隆起394に接合して、フレクシャ116の外部円周部分をその間に挟む。これが、フレクシャの脱落を防止する改良クランプを提供する。
ジンバル機構は、3つのアーム194″″を持つY形ストッパ190″″を含む。ストッパ190″″は、単一の中央ボルト396によってジンバルロッド180の上面191に連結される。中央ボルト396は貫通する垂直通路397を持ち、ハウジング102の上面134とジンバルロッド180内の通路196の間の流体の連絡を可能にする。
C形断面のシール396を使って、保持リング110″″のリム242上のシールド244を保持する。
図10と図11に従って説明すると、別の実施形態では、支持ヘッド400は、フレクシャリングではなく、ジンバル本体460とジンバルレース462とを含むジンバル機構406を含む。ハウジング、ベース、およびジンバル機構の実質的な変化のために、これらの部品は新しい参照番号で表示される。対照的に、下記を除いて、負荷機構、保持リング、および基板バッキングアセンブリは、図4に関して説明したコンポーネントと類似しているので、ダッシュなしの参照番号で示す。
支持ヘッド400はハウジング402、ベース404、ジンバル機構406、負荷機構108、保持リング110、および基板バッキングアセンブリ112を含む。
ハウジング402はハウジングプレート420と、一体取付けのハウジングハブ422とを含む。円筒形キャビティ426がハウジング402の底面426に形成される。円筒形プラスチックブッシング520は、その外面をハウジングに当接させて円筒キャビティ426に嵌合している。内側に曲がったリップ430を持つ円形フランジ428がハウジングハブ422の上面432からキャビティ426内に下方に突出する。ハウジングハブ422はねじ付きネック434と、2つの垂直合わせピン穴436とを有してもよい。ねじ付き周辺ナット98(図3参照)がフランジ96にはまり、ハウジングハブ432のねじ付きネック434にねじ込まれて支持ヘッド400を駆動シャフト74に固定する。
ハウジング402は、その底面424に形成されてハウジングハブ422内に上方に突出する2つのトルクピンを含んでもよい。更に、2つの通路(この断面図には図示せず)もハウジングハブ422の上面432を底面424に連絡する。
ベース404はほぼ円板形で、その上面442に盆状部分440が形成される。盆状部分440は、平底凹所446を囲む平らな環状面444を持つ。盆状部分440を囲むベース404の上面442に、2つのトルクピン穴448を見ることができる。
2つの垂直トルクピン450を使って、ハウジング402からベース404にトルクを伝達する。トルクピン450はハウジング402のトルクピン穴438にしっかりはめられて、ベース404のトルクピン受け穴448内に下方に突出する。トルクピン450はトルクピン受け穴448内で垂直方向に自由に摺動できるが、Oリング452は各トルクピン450を横方向に適切に保持する。かくして、ベース404はハウジング402に対して垂直方向に自由に移動できるが、ハウジング402が回転すると、トルクピンがベースも回転させる。Oリング452は、ハウジング402に対するベース404のわずかな回転を許すだけの弾性を持つ。
ジンバル機構406は、ベース404が、研磨パッドの表面に平行で回転軸107に直角な軸まわりにハウジング402に対して回転できるように設計される。詳細には、ベース404は、研磨パッド32の表面上に配置された或る点のまわりにピボット回転する。ジンバル機構402はジンバル本体460、ジンバルレース462、ガイドピン464、ばね466、バイアス部材468、およびストッパ470を含む。
ジンバル本体460はベアリングベース474から上方に突き出す円筒形ジンバルロッド472を含む。ベアリングベース474は、外面476の端部からジンバルロッド472まで延びる、3つのラジアルスロット478(図10の断面図では一つだけを図示)を持つ球状外面476を含む。ベアリングベース474の下面は、ジンバル機構が完全に組み立てられたときにバイアス部材468を収容するY形の凹所(図示せず)を持つ。円筒形凹部480がジンバル本体460の底面に形成されると共に、別の円筒形凹部482がジンバルロッド472の上面484に形成される。凹部480と482は垂直通路486によって連絡される。
ガイドピン464はガイドロッド490、ガイドロッド490の下端から半径方向外方に突出する円板492、および円板492の底部の球状突起494を含む。ばね466はジンバルロッド472の底の凹部480にはまり、ガイドピン464のガイドロッド490は内部ばね466に嵌合している。ジンバル機構が組み立てられると、ばねは円板492の上面と凹部480の上部496の間で圧縮される。
ジンバルレース462はジンバル本体460のまわりにはまってベース404に着座する。ジンバルレース462は、環状面444に着座する平らな外側部分500と、凹所446に嵌合する楔形内側部分502とを含む。楔形部分502の球状内面504はベアリングベース474の球状外面476に係合する。3つのノッチ506がジンバルレース462の内面504に加工される。ジンバルレース462は、外部ピース500を通ってベースのねじ付き凹所にねじ込まれるねじ(図示せず)によってベース404に固定される。
偏倚部材ないしはバイアス部材468はほぼY形で、中央セクション512から外方に突出する3つのアーム510を含む。中央セクション512の上面514は円形凹部515と、その凹部の中心に円錐形凹所516とを有する。バイアス部材468はベアリングベース474の下側のY形凹所(図示せず)に嵌合している。ガイドピン464の円板492は、その球状突起494をバイアス部材468の円錐形凹所516に係合する状態で、凹所515に嵌合している。バイアス部材468のアーム510はベアリングベース474内のスロット478を通って、ジンバルレース462のノッチ506内に延びる。ボルトまたはねじ518を使って、アーム510をジンバルレース462に固定する。
ジンバル機構406が組み立てられると、ジンバルレース462がベース404に固定され、バイアス部材468がジンバルレース462に固定される。ガイドピン464はバイアス部材468に接触し、ばね466はジンバル本体460をバイアス部材から離すように上方に付勢するので、ベアリングベース474の球状外面476はジンバルレース462の球状内面504に押し付けられる。ジンバル機構406のジンバルロッド472はブッシング520の内面521と係合する。ジンバル本体460は、ハウジング402に対してキャビティ426内で垂直方向に自由に摺動できると共に、ジンバルレース462に対して2つの次元で自由にピボット回転できる。ジンバルがピボット回転すると、アーム510はスロット478内で摺動する。しかしながら、バイアス部材468はジンバルレース462に固定されているので、ばね466からの下向きの力はキャリヤ(支持体)ベース404に伝達されない。ばね466によるベース中心部への外向き圧力が存在しないので、ジンバル機構406が取り付けられたとき、ベースの下面は実質的に平面のままである。
ねじ付き下部528を有するストップピン470は、下方に突出するフランジ428によって画成されるストップピン穴522に嵌合している。ストップピンはストップピン穴の底部の開口部523を通って延びて、ジンバルロッド472の通路486にねじ込まれる。ジンバルロッド472の凹部482はフランジ428のまわりに嵌合している。ストップピン470の頭部524はフランジ428のリップ430に引っ掛かって、ハウジング402に対するジンバル機構406とベース404の下向きの動きを制限する。ストップピン470は、ハウジング422の上面432をジンバルロッド472内の通路486に連絡する垂直通路526も含む。ポンプ93c(図3参照)は流体ライン92c、回転継手90、駆動シャフト74内の中央コンジット94c、ストップピン47内の通路526、ジンバル本体460内の通路486と凹部480、およびスロット478を介して、チャンバ200に連結される。かくして、図10の実施形態では、ポンプ93cを使って支持ヘッドの垂直方向の作動を制御する。
支持ヘッド400は、フレクシャ116と支持構造体114の間の隙間296からスラリを排出するためのスラリ排出機構を含んでもよい。スラリ排出機構は通路530を含み、該通路は支持リング250の内側部分254の上面258から垂直方向に延びて半径方向外方に外側部分252内に入り、下部クランプ280を通って隙間296の上方に延びる。
スラリ排出機構は、ベース404を通って延びる垂直通路532も含む。取付け具536はベース404の上面442において通路532に接続される。フィッテイング534は、ベース404の通路532を支持リング250の通路530に連絡する。フィッテイング534はベース404に固定され、チャンバ290の容積空間部294を通って下方に突出し、支持リング114の通路530内に摺動可能に配置される。フィッテイング534はOリング538によって通路530内でシールされる。
ポンプ93bは流体ライン92b、回転継手90、駆動シャフト74内のチャンネル94b、ハウジング402を通る通路(図示せず)、プラスチックチューブ等の可撓流体継手(図示せず)、ベース404内の通路532、およびフィッテイング534を介して、通路530に連結される。ポンプ93bは流体、例えば脱イオン化水を通路530を介して強制供給して、隙間296からスラリを排出する。
ポンプ93aは流体ライン92a、回転継手90、駆動シャフト74内のチャンネル94a、ハウジング402を通る通路(図示せず)、可撓流体継手(図示せず)、およびベース404を通る通路(これも図示せず)を介して、チャンバ290に連結される。ポンプ93aを使ってチャンバ290内の圧力を制御できる。
要約すると、本発明の支持ヘッドは、フレクシャによって、支持ヘッドのベースから支持構造体を吊り下げる。可撓膜が支持構造体に連結されると共にその下に延びてチャンバを画成する。チャンバを加圧することによって、均一な荷重を基板全体に加えることができる。更に、フレクシャは、支持構造体と、従って可撓膜全体が、ベースに対してピボット回転可能で、垂直方向に移動できるようにする。かくして、荷重が基板の裏側全体にわたってより均一に加えられる。
本発明を好ましい実施形態に関して説明した。しかしながら、本発明は図示、説明された実施形態に限定されるものではなく、本発明の範囲は、添付の特許請求の範囲によって定義される。
化学的機械研磨装置の分解斜視図である。 カラセルの概略上面図で、上部ハウジングを取り外した状態を示す図である。 一部が図2のカラセルの線3−3に沿った断面図であり、一部がCMP装置によって使用されるポンプの概略図である。 本発明による支持ヘッドの概略断面図である。 図4の支持ヘッドの線5−5に沿った断面図である。 図4の支持ヘッドの概略分解・一部断面斜視図である。 ブラダーが可撓膜に直接に接触するように配置された支持ヘッドの概略断面図である。 2つのチャンバを含む支持ヘッドの概略断面図である。 支持リングの代わりに支持プレートを使用した支持ヘッドの概略断面図である。 支持ヘッドの概略断面図で、ジンバル本体とジンバルレースとを含むジンバル機構を示す図である。 図10のジンバル機構の分解・一部断面斜視図である。 図9に示す支持ヘッドの支持プレートの底面図である。 支持ヘッドの概略断面図で、基板の真空チャックを示す図である。 ストップピンアセンブリを含む支持ヘッドの概略断面図である。

Claims (11)

  1. 研磨面上に基板を配置するための支持ヘッドであって、
    共に回転すべく駆動シャフトに連結可能なハウジングと、
    ベースと、
    前記ハウジングを前記ベースに枢動可能に連結して、前記ベースが前記ハウジングに対して回転できるようにするジンバル機構と、
    基板用の取付け面を有する可撓膜と、
    を備え、
    前記可撓膜は前記ベースに連結されると共に前記ベースの下に延びてチャンバを画成する、
    支持ヘッド。
  2. 前記ハウジングは垂直な通路を含み、前記ジンバル機構は、前記通路内へ摺動可能に配設される上端を有するロッドを含む、請求項1に記載の支持ヘッド。
  3. 前記ジンバル機構は、前記ロッドの下端に連結される球状外面を持つベアリングベースを含み、前記ジンバル機構は更に、前記ベースに連結される球状内面を持つジンバルレースを含み、前記ベアリングベースの外面は、前記ジンバルレースの内面と係合する、請求項2に記載の支持ヘッド。
  4. 前記ジンバル機構は、前記ロッドの下端を前記ベースに連結する可撓性のリングを含む、請求項2に記載の支持ヘッド。
  5. 支持ヘッド内の基板の存在を感知する方法であって、
    前記基板用の取付け面を有する第1可撓膜によって形成される第1チャンバを加圧するステップと、
    前記取付け面の上側で前記第1可撓膜に接触するように配置される第2可撓可撓膜によって形成される第2チャンバを、第1圧力まで加圧するステップと、
    前記第2チャンバを加圧した後、前記第2チャンバをシールするステップと、
    前記取付け面に接して基板を置くステップと、
    前記第1チャンバから流体を強制排出して、前記基板を前記取付け面にチャックするための減圧区域を作り出すステップと、
    前記第2チャンバ内の第2圧力を測定するステップと、
    を有する方法。
  6. 前記第2圧力が前記第1圧力より大きい場合、前記基板が前記支持ヘッド内に存在する旨表示するステップを更に有する、請求項5に記載の方法。
  7. 支持ヘッドの取付け面に基板をチャックする方法であって、
    支持ヘッドの取付け面に接して基板を配置するステップと、
    第1膜によって画成される第1チャンバ内に流体を強制供給して、基板の環状部分に下向圧力を加えるステップと、
    第2膜によって画成される第2チャンバから流体を強制排出して前記第2膜を上方に引き上げて、前記環状部分によって境界を定められた減圧区域を作り出し、前記基板を前記取付け面にチャックするステップと、
    を有する方法。
  8. 前記第1膜が、前記第2膜の環状部分に下向の力を加える、請求項7に記載の方法。
  9. 前記第1膜が前記第2膜に直に接触する、請求項8に記載の方法。
  10. ベースと、
    基板用の取付け面を有し、前記ベースに連結されると共に前記ベースの下に延びて、加圧可能な第1チャンバを画成する第1可撓膜と、
    前記ベースに連結されると共に前記第1可撓膜の上に配置されて第2チャンバを画成し、流体が前記第2チャンバ内に強制供給されるときに、前記第1可撓膜に対して下向圧力を加えるように配置される第2可撓膜と、
    を備える化学的機械研磨装置用の支持ヘッド。
  11. ベースと、
    前記ベースに連結されて第1チャンバと第2チャンバとを画成する可撓部材と、
    を備え、
    前記可撓部材の下面は、基板受容面を提供し、
    前記可撓部材は、前記基板受容面から上方へ延びる部分を有し、
    前記可撓部材の内側部分は、前記第1チャンバに連結され、
    前記内側部分と前記上方へ延びる部分の間にある前記可撓部材の環状の外側部分は、前記第2チャンバに連結される、
    化学的機械研磨装置用の支持ヘッド。
JP2008258585A 1996-11-08 2008-10-03 化学的機械研磨システムのための可撓膜を有する支持ヘッド Expired - Lifetime JP5068723B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US74567996A 1996-11-08 1996-11-08
US08/745679 1996-11-08
US08/861260 1997-05-21
US08/861,260 US6183354B1 (en) 1996-11-08 1997-05-21 Carrier head with a flexible membrane for a chemical mechanical polishing system

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2003028833A Division JP4233339B2 (ja) 1996-11-08 2003-02-05 化学的機械研磨システムのための可撓膜を有する支持ヘッド

Publications (2)

Publication Number Publication Date
JP2009033197A true JP2009033197A (ja) 2009-02-12
JP5068723B2 JP5068723B2 (ja) 2012-11-07

Family

ID=24997773

Family Applications (6)

Application Number Title Priority Date Filing Date
JP34566897A Expired - Fee Related JP3439970B2 (ja) 1996-11-08 1997-11-10 化学的機械研磨システムのための可撓膜を有する支持ヘッド
JP2003028833A Expired - Lifetime JP4233339B2 (ja) 1996-11-08 2003-02-05 化学的機械研磨システムのための可撓膜を有する支持ヘッド
JP2005234735A Expired - Fee Related JP4368341B2 (ja) 1996-11-08 2005-08-12 化学機械研磨支持ヘッド用保持リング
JP2007218692A Expired - Lifetime JP4940061B2 (ja) 1996-11-08 2007-08-24 化学的機械研磨用の膜
JP2008258585A Expired - Lifetime JP5068723B2 (ja) 1996-11-08 2008-10-03 化学的機械研磨システムのための可撓膜を有する支持ヘッド
JP2008290041A Expired - Lifetime JP5216542B2 (ja) 1996-11-08 2008-11-12 化学機械研磨ヘッド用保持リング

Family Applications Before (4)

Application Number Title Priority Date Filing Date
JP34566897A Expired - Fee Related JP3439970B2 (ja) 1996-11-08 1997-11-10 化学的機械研磨システムのための可撓膜を有する支持ヘッド
JP2003028833A Expired - Lifetime JP4233339B2 (ja) 1996-11-08 2003-02-05 化学的機械研磨システムのための可撓膜を有する支持ヘッド
JP2005234735A Expired - Fee Related JP4368341B2 (ja) 1996-11-08 2005-08-12 化学機械研磨支持ヘッド用保持リング
JP2007218692A Expired - Lifetime JP4940061B2 (ja) 1996-11-08 2007-08-24 化学的機械研磨用の膜

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2008290041A Expired - Lifetime JP5216542B2 (ja) 1996-11-08 2008-11-12 化学機械研磨ヘッド用保持リング

Country Status (7)

Country Link
US (5) US6183354B1 (ja)
EP (3) EP0841123B1 (ja)
JP (6) JP3439970B2 (ja)
KR (1) KR100366425B1 (ja)
DE (2) DE69739521D1 (ja)
SG (2) SG87925A1 (ja)
TW (1) TW344694B (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012518904A (ja) * 2009-02-25 2012-08-16 グリーンスペック カンパニー、インコーポレイテッド シリコン・ベアー・ウエハー研磨装置用キャリア・ヘッド
KR101841364B1 (ko) 2016-11-04 2018-03-22 주식회사 씨티에스 씨엠피 해드 및 이를 포함하는 씨엠피 장치
JP2019202361A (ja) * 2018-05-21 2019-11-28 株式会社荏原製作所 基板保持装置、基板研磨装置、弾性部材および基板保持装置の製造方法
JP2020023005A (ja) * 2018-08-06 2020-02-13 株式会社荏原製作所 基板保持装置、基板吸着判定方法、基板研磨装置および基板研磨方法

Families Citing this family (217)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6183354B1 (en) * 1996-11-08 2001-02-06 Applied Materials, Inc. Carrier head with a flexible membrane for a chemical mechanical polishing system
US6146259A (en) 1996-11-08 2000-11-14 Applied Materials, Inc. Carrier head with local pressure control for a chemical mechanical polishing apparatus
US6056632A (en) * 1997-02-13 2000-05-02 Speedfam-Ipec Corp. Semiconductor wafer polishing apparatus with a variable polishing force wafer carrier head
US6244946B1 (en) 1997-04-08 2001-06-12 Lam Research Corporation Polishing head with removable subcarrier
EP1327498B1 (en) 1997-04-08 2013-06-12 Ebara Corporation Polishing apparatus
US6398621B1 (en) 1997-05-23 2002-06-04 Applied Materials, Inc. Carrier head with a substrate sensor
US5957751A (en) * 1997-05-23 1999-09-28 Applied Materials, Inc. Carrier head with a substrate detection mechanism for a chemical mechanical polishing system
FR2778129B1 (fr) * 1998-05-04 2000-07-21 St Microelectronics Sa Disque support de membrane d'une machine de polissage et procede de fonctionnement d'une telle machine
US6106379A (en) * 1998-05-12 2000-08-22 Speedfam-Ipec Corporation Semiconductor wafer carrier with automatic ring extension
US6436228B1 (en) * 1998-05-15 2002-08-20 Applied Materials, Inc. Substrate retainer
US6251215B1 (en) 1998-06-03 2001-06-26 Applied Materials, Inc. Carrier head with a multilayer retaining ring for chemical mechanical polishing
US5961169A (en) * 1998-07-27 1999-10-05 Strasbaugh Apparatus for sensing the presence of a wafer
US6159079A (en) * 1998-09-08 2000-12-12 Applied Materials, Inc. Carrier head for chemical mechanical polishing a substrate
US6210255B1 (en) 1998-09-08 2001-04-03 Applied Materials, Inc. Carrier head for chemical mechanical polishing a substrate
US6277014B1 (en) 1998-10-09 2001-08-21 Applied Materials, Inc. Carrier head with a flexible membrane for chemical mechanical polishing
US6132298A (en) * 1998-11-25 2000-10-17 Applied Materials, Inc. Carrier head with edge control for chemical mechanical polishing
US6244942B1 (en) 1998-10-09 2001-06-12 Applied Materials, Inc. Carrier head with a flexible membrane and adjustable edge pressure
US6165058A (en) 1998-12-09 2000-12-26 Applied Materials, Inc. Carrier head for chemical mechanical polishing
US6422927B1 (en) 1998-12-30 2002-07-23 Applied Materials, Inc. Carrier head with controllable pressure and loading area for chemical mechanical polishing
SG82058A1 (en) * 1998-12-30 2001-07-24 Applied Materials Inc Carrier head with controllable pressure and loading area for chemical mechanical polishing
US6162116A (en) 1999-01-23 2000-12-19 Applied Materials, Inc. Carrier head for chemical mechanical polishing
US6179709B1 (en) * 1999-02-04 2001-01-30 Applied Materials, Inc. In-situ monitoring of linear substrate polishing operations
US6425809B1 (en) * 1999-02-15 2002-07-30 Ebara Corporation Polishing apparatus
US6368189B1 (en) 1999-03-03 2002-04-09 Mitsubishi Materials Corporation Apparatus and method for chemical-mechanical polishing (CMP) head having direct pneumatic wafer polishing pressure
US6231428B1 (en) * 1999-03-03 2001-05-15 Mitsubishi Materials Corporation Chemical mechanical polishing head assembly having floating wafer carrier and retaining ring
TW436382B (en) * 1999-03-12 2001-05-28 Mitsubishi Materials Corp Wafer holding head, wafer polishing apparatus, and method for making wafers
US6527624B1 (en) 1999-03-26 2003-03-04 Applied Materials, Inc. Carrier head for providing a polishing slurry
US6431968B1 (en) * 1999-04-22 2002-08-13 Applied Materials, Inc. Carrier head with a compressible film
KR20010020807A (ko) * 1999-05-03 2001-03-15 조셉 제이. 스위니 고정 연마재 제품을 사전-조절하는 방법
US6855043B1 (en) 1999-07-09 2005-02-15 Applied Materials, Inc. Carrier head with a modified flexible membrane
US6241593B1 (en) 1999-07-09 2001-06-05 Applied Materials, Inc. Carrier head with pressurizable bladder
US6776692B1 (en) * 1999-07-09 2004-08-17 Applied Materials Inc. Closed-loop control of wafer polishing in a chemical mechanical polishing system
US6358121B1 (en) 1999-07-09 2002-03-19 Applied Materials, Inc. Carrier head with a flexible membrane and an edge load ring
US6494774B1 (en) 1999-07-09 2002-12-17 Applied Materials, Inc. Carrier head with pressure transfer mechanism
US6645345B2 (en) * 1999-09-02 2003-11-11 Micron Technology, Inc. Wafer planarization using a uniform layer of material and method and apparatus for forming uniform layer of material used in semiconductor processing
JP2001121411A (ja) 1999-10-29 2001-05-08 Applied Materials Inc ウェハー研磨装置
WO2001034472A1 (en) * 1999-11-09 2001-05-17 United Packaging Plc A ring for a wrapping apparatus and a method for manufacturing the same
US6663466B2 (en) * 1999-11-17 2003-12-16 Applied Materials, Inc. Carrier head with a substrate detector
JP3683149B2 (ja) * 2000-02-01 2005-08-17 株式会社東京精密 研磨装置の研磨ヘッドの構造
US7029365B2 (en) * 2000-02-17 2006-04-18 Applied Materials Inc. Pad assembly for electrochemical mechanical processing
US6979248B2 (en) * 2002-05-07 2005-12-27 Applied Materials, Inc. Conductive polishing article for electrochemical mechanical polishing
US7125477B2 (en) * 2000-02-17 2006-10-24 Applied Materials, Inc. Contacts for electrochemical processing
US7678245B2 (en) * 2000-02-17 2010-03-16 Applied Materials, Inc. Method and apparatus for electrochemical mechanical processing
US7670468B2 (en) * 2000-02-17 2010-03-02 Applied Materials, Inc. Contact assembly and method for electrochemical mechanical processing
US7059948B2 (en) * 2000-12-22 2006-06-13 Applied Materials Articles for polishing semiconductor substrates
US6991528B2 (en) * 2000-02-17 2006-01-31 Applied Materials, Inc. Conductive polishing article for electrochemical mechanical polishing
US20080156657A1 (en) * 2000-02-17 2008-07-03 Butterfield Paul D Conductive polishing article for electrochemical mechanical polishing
US7303662B2 (en) * 2000-02-17 2007-12-04 Applied Materials, Inc. Contacts for electrochemical processing
US6962524B2 (en) * 2000-02-17 2005-11-08 Applied Materials, Inc. Conductive polishing article for electrochemical mechanical polishing
US6884153B2 (en) * 2000-02-17 2005-04-26 Applied Materials, Inc. Apparatus for electrochemical processing
US20050092621A1 (en) * 2000-02-17 2005-05-05 Yongqi Hu Composite pad assembly for electrochemical mechanical processing (ECMP)
US7374644B2 (en) * 2000-02-17 2008-05-20 Applied Materials, Inc. Conductive polishing article for electrochemical mechanical polishing
US7066800B2 (en) * 2000-02-17 2006-06-27 Applied Materials Inc. Conductive polishing article for electrochemical mechanical polishing
US20040020789A1 (en) * 2000-02-17 2004-02-05 Applied Materials, Inc. Conductive polishing article for electrochemical mechanical polishing
US7077721B2 (en) * 2000-02-17 2006-07-18 Applied Materials, Inc. Pad assembly for electrochemical mechanical processing
US7303462B2 (en) * 2000-02-17 2007-12-04 Applied Materials, Inc. Edge bead removal by an electro polishing process
US6386947B2 (en) 2000-02-29 2002-05-14 Applied Materials, Inc. Method and apparatus for detecting wafer slipouts
US6361419B1 (en) 2000-03-27 2002-03-26 Applied Materials, Inc. Carrier head with controllable edge pressure
US6450868B1 (en) 2000-03-27 2002-09-17 Applied Materials, Inc. Carrier head with multi-part flexible membrane
US6666756B1 (en) 2000-03-31 2003-12-23 Lam Research Corporation Wafer carrier head assembly
US6506105B1 (en) 2000-05-12 2003-01-14 Multi-Planar Technologies, Inc. System and method for pneumatic diaphragm CMP head having separate retaining ring and multi-region wafer pressure control
WO2001087541A2 (en) * 2000-05-12 2001-11-22 Multi-Planar Technologies, Inc. Pneumatic diaphragm head having an independent retaining ring and multi-region pressure control, and method to use the same
US6623343B2 (en) * 2000-05-12 2003-09-23 Multi Planar Technologies, Inc. System and method for CMP head having multi-pressure annular zone subcarrier material removal control
US6558232B1 (en) 2000-05-12 2003-05-06 Multi-Planar Technologies, Inc. System and method for CMP having multi-pressure zone loading for improved edge and annular zone material removal control
US6602114B1 (en) 2000-05-19 2003-08-05 Applied Materials Inc. Multilayer retaining ring for chemical mechanical polishing
US6540592B1 (en) 2000-06-29 2003-04-01 Speedfam-Ipec Corporation Carrier head with reduced moment wear ring
US6722965B2 (en) 2000-07-11 2004-04-20 Applied Materials Inc. Carrier head with flexible membranes to provide controllable pressure and loading area
US7101273B2 (en) * 2000-07-25 2006-09-05 Applied Materials, Inc. Carrier head with gimbal mechanism
US7198561B2 (en) * 2000-07-25 2007-04-03 Applied Materials, Inc. Flexible membrane for multi-chamber carrier head
US6857945B1 (en) * 2000-07-25 2005-02-22 Applied Materials, Inc. Multi-chamber carrier head with a flexible membrane
US6543969B1 (en) * 2000-08-10 2003-04-08 Paul Adam Modular block
US6540590B1 (en) 2000-08-31 2003-04-01 Multi-Planar Technologies, Inc. Chemical mechanical polishing apparatus and method having a rotating retaining ring
US6527625B1 (en) 2000-08-31 2003-03-04 Multi-Planar Technologies, Inc. Chemical mechanical polishing apparatus and method having a soft backed polishing head
US7255637B2 (en) * 2000-09-08 2007-08-14 Applied Materials, Inc. Carrier head vibration damping
US6676497B1 (en) * 2000-09-08 2004-01-13 Applied Materials Inc. Vibration damping in a chemical mechanical polishing system
US6848980B2 (en) 2001-10-10 2005-02-01 Applied Materials, Inc. Vibration damping in a carrier head
US7497767B2 (en) * 2000-09-08 2009-03-03 Applied Materials, Inc. Vibration damping during chemical mechanical polishing
JP4620072B2 (ja) * 2000-10-11 2011-01-26 株式会社荏原製作所 ポリッシング装置
JP2008188767A (ja) * 2000-10-11 2008-08-21 Ebara Corp 基板保持装置
JP2002187060A (ja) * 2000-10-11 2002-07-02 Ebara Corp 基板保持装置、ポリッシング装置、及び研磨方法
US6447368B1 (en) 2000-11-20 2002-09-10 Speedfam-Ipec Corporation Carriers with concentric balloons supporting a diaphragm
US6468131B1 (en) 2000-11-28 2002-10-22 Speedfam-Ipec Corporation Method to mathematically characterize a multizone carrier
JP2004515918A (ja) * 2000-12-04 2004-05-27 株式会社荏原製作所 基板処理装置及びその方法
US7344432B2 (en) * 2001-04-24 2008-03-18 Applied Materials, Inc. Conductive pad with ion exchange membrane for electrochemical mechanical polishing
US7137879B2 (en) * 2001-04-24 2006-11-21 Applied Materials, Inc. Conductive polishing article for electrochemical mechanical polishing
US6582277B2 (en) 2001-05-01 2003-06-24 Speedfam-Ipec Corporation Method for controlling a process in a multi-zonal apparatus
KR100437089B1 (ko) * 2001-05-23 2004-06-23 삼성전자주식회사 화학기계적 연마장치의 연마헤드
US6790768B2 (en) 2001-07-11 2004-09-14 Applied Materials Inc. Methods and apparatus for polishing substrates comprising conductive and dielectric materials with reduced topographical defects
US6712673B2 (en) 2001-10-04 2004-03-30 Memc Electronic Materials, Inc. Polishing apparatus, polishing head and method
US20030072639A1 (en) * 2001-10-17 2003-04-17 Applied Materials, Inc. Substrate support
US6835125B1 (en) 2001-12-27 2004-12-28 Applied Materials Inc. Retainer with a wear surface for chemical mechanical polishing
US20030124963A1 (en) * 2001-12-27 2003-07-03 Applied Materials, Inc. Carrier head with a non-stick membrane
KR100470228B1 (ko) * 2001-12-31 2005-02-05 두산디앤디 주식회사 화학기계적 연마장치의 캐리어 헤드
US7199056B2 (en) * 2002-02-08 2007-04-03 Applied Materials, Inc. Low cost and low dishing slurry for polysilicon CMP
US6739958B2 (en) 2002-03-19 2004-05-25 Applied Materials Inc. Carrier head with a vibration reduction feature for a chemical mechanical polishing system
US20050194681A1 (en) * 2002-05-07 2005-09-08 Yongqi Hu Conductive pad with high abrasion
US6790123B2 (en) 2002-05-16 2004-09-14 Speedfam-Ipec Corporation Method for processing a work piece in a multi-zonal processing apparatus
US7316602B2 (en) * 2002-05-23 2008-01-08 Novellus Systems, Inc. Constant low force wafer carrier for electrochemical mechanical processing and chemical mechanical polishing
DE10247180A1 (de) 2002-10-02 2004-04-15 Ensinger Kunststofftechnologie Gbr Haltering zum Halten von Halbleiterwafern in einer chemisch-mechanischen Poliervorrichtung
DE10247179A1 (de) * 2002-10-02 2004-04-15 Ensinger Kunststofftechnologie Gbr Haltering zum Halten von Halbleiterwafern in einer chemisch-mechanischen Poliervorrichtung
WO2004033152A1 (en) * 2002-10-11 2004-04-22 Semplastics, L.L.C. Retaining ring for use on a carrier of a polishing apparatus
TWM255104U (en) 2003-02-05 2005-01-11 Applied Materials Inc Retaining ring with flange for chemical mechanical polishing
KR101063432B1 (ko) 2003-02-10 2011-09-07 가부시키가이샤 에바라 세이사꾸쇼 기판 유지 장치 및 폴리싱 장치
US7842169B2 (en) * 2003-03-04 2010-11-30 Applied Materials, Inc. Method and apparatus for local polishing control
US6945845B2 (en) * 2003-03-04 2005-09-20 Applied Materials, Inc. Chemical mechanical polishing apparatus with non-conductive elements
US7001245B2 (en) * 2003-03-07 2006-02-21 Applied Materials Inc. Substrate carrier with a textured membrane
DE10311830A1 (de) 2003-03-14 2004-09-23 Ensinger Kunststofftechnologie Gbr Abstandhalterprofil für Isolierglasscheiben
US6848981B2 (en) * 2003-03-27 2005-02-01 Taiwan Semiconductor Manufacturing Co., Ltd Dual-bulge flexure ring for CMP head
US20060180486A1 (en) * 2003-04-21 2006-08-17 Bennett David W Modular panel and storage system for flat items such as media discs and holders therefor
JP4505822B2 (ja) * 2003-04-24 2010-07-21 株式会社ニコン 研磨装置、研磨方法及び研磨装置を用いたデバイス製造方法
US6974371B2 (en) 2003-04-30 2005-12-13 Applied Materials, Inc. Two part retaining ring
US20040250859A1 (en) * 2003-06-12 2004-12-16 Poulin James M. Method for protecting a pneumatic control system from ingested contamination
US7025658B2 (en) * 2003-08-18 2006-04-11 Applied Materials, Inc. Platen and head rotation rates for monitoring chemical mechanical polishing
US6821192B1 (en) 2003-09-19 2004-11-23 Applied Materials, Inc. Retaining ring for use in chemical mechanical polishing
US11260500B2 (en) * 2003-11-13 2022-03-01 Applied Materials, Inc. Retaining ring with shaped surface
US20050121141A1 (en) * 2003-11-13 2005-06-09 Manens Antoine P. Real time process control for a polishing process
DE602004027412D1 (de) * 2003-11-13 2010-07-08 Applied Materials Inc Haltering mit geformter fläche
US7186164B2 (en) * 2003-12-03 2007-03-06 Applied Materials, Inc. Processing pad assembly with zone control
US20050126708A1 (en) * 2003-12-10 2005-06-16 Applied Materials, Inc. Retaining ring with slurry transport grooves
US20050178666A1 (en) * 2004-01-13 2005-08-18 Applied Materials, Inc. Methods for fabrication of a polishing article
US7255771B2 (en) 2004-03-26 2007-08-14 Applied Materials, Inc. Multiple zone carrier head with flexible membrane
JP4583207B2 (ja) * 2004-03-31 2010-11-17 不二越機械工業株式会社 研磨装置
US7201642B2 (en) * 2004-06-17 2007-04-10 Systems On Silicon Manufacturing Co. Pte. Ltd. Process for producing improved membranes
US7081042B2 (en) * 2004-07-22 2006-07-25 Applied Materials Substrate removal from polishing tool
US20060030156A1 (en) * 2004-08-05 2006-02-09 Applied Materials, Inc. Abrasive conductive polishing article for electrochemical mechanical polishing
US7084064B2 (en) * 2004-09-14 2006-08-01 Applied Materials, Inc. Full sequence metal and barrier layer electrochemical mechanical processing
US20080076253A1 (en) * 2004-09-30 2008-03-27 Hiroshi Fukada Adhesive Sheet,Semiconductor Device,and Process for Producing Semiconductor Device
WO2006039436A2 (en) * 2004-10-01 2006-04-13 Applied Materials, Inc. Pad design for electrochemical mechanical polishing
US7520968B2 (en) * 2004-10-05 2009-04-21 Applied Materials, Inc. Conductive pad design modification for better wafer-pad contact
US7292427B1 (en) * 2004-10-12 2007-11-06 Kla-Tencor Technologies Corporation Pin lift chuck assembly for warped substrates
US20060088976A1 (en) * 2004-10-22 2006-04-27 Applied Materials, Inc. Methods and compositions for chemical mechanical polishing substrates
US7048621B2 (en) * 2004-10-27 2006-05-23 Applied Materials Inc. Retaining ring deflection control
TWI368555B (en) 2004-11-01 2012-07-21 Ebara Corp Polishing apparatus
JP5112614B2 (ja) * 2004-12-10 2013-01-09 株式会社荏原製作所 基板保持装置および研磨装置
US7101272B2 (en) * 2005-01-15 2006-09-05 Applied Materials, Inc. Carrier head for thermal drift compensation
US20060219663A1 (en) * 2005-03-31 2006-10-05 Applied Materials, Inc. Metal CMP process on one or more polishing stations using slurries with oxidizers
US7427340B2 (en) * 2005-04-08 2008-09-23 Applied Materials, Inc. Conductive pad
US7186171B2 (en) * 2005-04-22 2007-03-06 Applied Materials, Inc. Composite retaining ring
US7258599B2 (en) 2005-09-15 2007-08-21 Fujitsu Limited Polishing machine, workpiece supporting table pad, polishing method and manufacturing method of semiconductor device
AT502546B1 (de) * 2005-09-16 2007-10-15 Miba Gleitlager Gmbh Lagerelement
US7297047B2 (en) * 2005-12-01 2007-11-20 Applied Materials, Inc. Bubble suppressing flow controller with ultrasonic flow meter
US20070153453A1 (en) * 2006-01-05 2007-07-05 Applied Materials, Inc. Fully conductive pad for electrochemical mechanical processing
US20120087774A1 (en) * 2006-01-27 2012-04-12 Camtek Ltd Diced Wafer Adaptor and a Method for Transferring a Diced Wafer
US7754611B2 (en) * 2006-02-28 2010-07-13 Macronix International Co., Ltd. Chemical mechanical polishing process
US7364496B2 (en) * 2006-03-03 2008-04-29 Inopla Inc. Polishing head for polishing semiconductor wafers
US20070218587A1 (en) * 2006-03-07 2007-09-20 Applied Materials, Inc. Soft conductive polymer processing pad and method for fabricating the same
JP4814677B2 (ja) * 2006-03-31 2011-11-16 株式会社荏原製作所 基板保持装置および研磨装置
US7210991B1 (en) 2006-04-03 2007-05-01 Applied Materials, Inc. Detachable retaining ring
US20070235344A1 (en) * 2006-04-06 2007-10-11 Applied Materials, Inc. Process for high copper removal rate with good planarization and surface finish
US20070251832A1 (en) * 2006-04-27 2007-11-01 Applied Materials, Inc. Method and apparatus for electrochemical mechanical polishing of cu with higher liner velocity for better surface finish and higher removal rate during clearance
US7166016B1 (en) 2006-05-18 2007-01-23 Applied Materials, Inc. Six headed carousel
US20070281589A1 (en) * 2006-06-02 2007-12-06 Applied Materials, Inc. Rotational alignment mechanism for load cups
TWI354347B (en) * 2006-06-02 2011-12-11 Applied Materials Inc Fast substrate loading on polishing head without m
US7267600B1 (en) * 2006-06-12 2007-09-11 Taiwan Semiconductor Manufacturing Company Polishing apparatus
US20080171494A1 (en) * 2006-08-18 2008-07-17 Applied Materials, Inc. Apparatus and method for slurry distribution
JP5009101B2 (ja) * 2006-10-06 2012-08-22 株式会社荏原製作所 基板研磨装置
US7654888B2 (en) * 2006-11-22 2010-02-02 Applied Materials, Inc. Carrier head with retaining ring and carrier ring
US7699688B2 (en) * 2006-11-22 2010-04-20 Applied Materials, Inc. Carrier ring for carrier head
US7575504B2 (en) * 2006-11-22 2009-08-18 Applied Materials, Inc. Retaining ring, flexible membrane for applying load to a retaining ring, and retaining ring assembly
US20080125021A1 (en) * 2006-11-27 2008-05-29 United Microelectronics Corp. Disk holder and disk rotating device having the same
JP5469461B2 (ja) * 2006-11-30 2014-04-16 コーニング インコーポレイテッド 被加工物の表面の精密研磨加工
US20080160462A1 (en) * 2007-01-03 2008-07-03 Sokudo Co., Ltd. Method and system for bake plate heat transfer control in track lithography tools
US8012000B2 (en) * 2007-04-02 2011-09-06 Applied Materials, Inc. Extended pad life for ECMP and barrier removal
US20080293343A1 (en) * 2007-05-22 2008-11-27 Yuchun Wang Pad with shallow cells for electrochemical mechanical processing
TWI367524B (en) * 2007-08-01 2012-07-01 Univ Nat Taiwan Science Tech Chemical mechanical polishing apparatus and chemical mechanical polishing method thereof
KR101617716B1 (ko) * 2008-03-25 2016-05-03 어플라이드 머티어리얼스, 인코포레이티드 개량된 캐리어 헤드 멤브레인
US10160093B2 (en) * 2008-12-12 2018-12-25 Applied Materials, Inc. Carrier head membrane roughness to control polishing rate
US8475231B2 (en) 2008-12-12 2013-07-02 Applied Materials, Inc. Carrier head membrane
US8460067B2 (en) 2009-05-14 2013-06-11 Applied Materials, Inc. Polishing head zone boundary smoothing
JP5392483B2 (ja) * 2009-08-31 2014-01-22 不二越機械工業株式会社 研磨装置
US9859141B2 (en) 2010-04-15 2018-01-02 Suss Microtec Lithography Gmbh Apparatus and method for aligning and centering wafers
US9837295B2 (en) 2010-04-15 2017-12-05 Suss Microtec Lithography Gmbh Apparatus and method for semiconductor wafer leveling, force balancing and contact sensing
EP2389992A1 (en) 2010-05-26 2011-11-30 Max-Planck-Gesellschaft zur Förderung der Wissenschaften e.V. Training apparatus with musical feedback
JP5648954B2 (ja) * 2010-08-31 2015-01-07 不二越機械工業株式会社 研磨装置
US8939815B2 (en) * 2011-02-21 2015-01-27 Taiwan Semiconductor Manufacturing Company, Ltd. Systems providing an air zone for a chucking stage
KR101196652B1 (ko) 2011-05-31 2012-11-02 주식회사 케이씨텍 캐리어 헤드의 멤브레인 결합체 및 이를 구비한 캐리어 헤드
KR20140054178A (ko) * 2011-08-05 2014-05-08 어플라이드 머티어리얼스, 인코포레이티드 두 부분으로 이루어진 플라스틱 리테이닝 링
CN103889656B (zh) * 2011-09-12 2017-03-15 应用材料公司 具有复合塑胶部分的载体头部
US20130102152A1 (en) * 2011-10-20 2013-04-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor manufacturing apparatus and method of manufacturing semiconductor device
US9050700B2 (en) * 2012-01-27 2015-06-09 Applied Materials, Inc. Methods and apparatus for an improved polishing head retaining ring
WO2013134075A1 (en) 2012-03-08 2013-09-12 Applied Materials, Inc. Detecting membrane breakage in a carrier head
TW201336622A (zh) * 2012-03-14 2013-09-16 Hsiu Fong Machinery Co Ltd 彈性拋光載具及應用其的拋光裝置及拋光方法
KR101938706B1 (ko) 2012-06-05 2019-01-15 어플라이드 머티어리얼스, 인코포레이티드 인터로크 피쳐들을 갖는 2-파트 리테이닝 링
US8998678B2 (en) 2012-10-29 2015-04-07 Wayne O. Duescher Spider arm driven flexible chamber abrading workholder
US9604339B2 (en) 2012-10-29 2017-03-28 Wayne O. Duescher Vacuum-grooved membrane wafer polishing workholder
US9233452B2 (en) 2012-10-29 2016-01-12 Wayne O. Duescher Vacuum-grooved membrane abrasive polishing wafer workholder
US9011207B2 (en) 2012-10-29 2015-04-21 Wayne O. Duescher Flexible diaphragm combination floating and rigid abrading workholder
US8845394B2 (en) 2012-10-29 2014-09-30 Wayne O. Duescher Bellows driven air floatation abrading workholder
US8998677B2 (en) 2012-10-29 2015-04-07 Wayne O. Duescher Bellows driven floatation-type abrading workholder
US9039488B2 (en) 2012-10-29 2015-05-26 Wayne O. Duescher Pin driven flexible chamber abrading workholder
US9199354B2 (en) 2012-10-29 2015-12-01 Wayne O. Duescher Flexible diaphragm post-type floating and rigid abrading workholder
WO2014078151A1 (en) 2012-11-16 2014-05-22 Applied Materials, Inc. Recording measurements by sensors for a carrier head
US20140174655A1 (en) * 2012-12-21 2014-06-26 HGST Netherlands B.V. Polishing tool with diaphram for uniform polishing of a wafer
US9227297B2 (en) 2013-03-20 2016-01-05 Applied Materials, Inc. Retaining ring with attachable segments
US20140357161A1 (en) * 2013-05-31 2014-12-04 Sunedison Semiconductor Limited Center flex single side polishing head
US20150111478A1 (en) * 2013-10-23 2015-04-23 Applied Materials, Inc. Polishing system with local area rate control
US9368371B2 (en) 2014-04-22 2016-06-14 Applied Materials, Inc. Retaining ring having inner surfaces with facets
US9434045B2 (en) * 2014-05-05 2016-09-06 Macronix International Co., Ltd. Planarization device and planarization method using the same
US9878421B2 (en) * 2014-06-16 2018-01-30 Applied Materials, Inc. Chemical mechanical polishing retaining ring with integrated sensor
KR102173323B1 (ko) 2014-06-23 2020-11-04 삼성전자주식회사 캐리어 헤드, 화학적 기계식 연마 장치 및 웨이퍼 연마 방법
US10500695B2 (en) 2015-05-29 2019-12-10 Applied Materials, Inc. Retaining ring having inner surfaces with features
US10029346B2 (en) * 2015-10-16 2018-07-24 Applied Materials, Inc. External clamp ring for a chemical mechanical polishing carrier head
US10669023B2 (en) 2016-02-19 2020-06-02 Raytheon Company Tactical aerial platform
WO2017165068A1 (en) * 2016-03-25 2017-09-28 Applied Materials, Inc. Local area polishing system and polishing pad assemblies for a polishing system
KR20180120280A (ko) * 2016-03-25 2018-11-05 어플라이드 머티어리얼스, 인코포레이티드 국부 영역 속도 제어 및 진동 모드를 갖는 연마 시스템
SG11201900152SA (en) 2016-07-25 2019-02-27 Applied Materials Inc Retaining ring for cmp
USD839224S1 (en) * 2016-12-12 2019-01-29 Ebara Corporation Elastic membrane for semiconductor wafer polishing
JP6927560B2 (ja) * 2017-01-10 2021-09-01 不二越機械工業株式会社 ワーク研磨ヘッド
US10926378B2 (en) 2017-07-08 2021-02-23 Wayne O. Duescher Abrasive coated disk islands using magnetic font sheet
US10571069B2 (en) * 2017-09-14 2020-02-25 Applied Materials, Inc. Gimbal assembly for heater pedestal
US12023778B2 (en) 2019-02-14 2024-07-02 Axus Technology, Llc Substrate carrier head and processing system
KR102673907B1 (ko) * 2019-04-01 2024-06-10 주식회사 케이씨텍 연마 헤드용 격벽 멤브레인
US11691241B1 (en) * 2019-08-05 2023-07-04 Keltech Engineering, Inc. Abrasive lapping head with floating and rigid workpiece carrier
US11325223B2 (en) 2019-08-23 2022-05-10 Applied Materials, Inc. Carrier head with segmented substrate chuck
CN113118965B (zh) * 2019-12-31 2022-09-30 清华大学 一种基板装卸控制方法
KR20220116315A (ko) * 2020-06-29 2022-08-22 어플라이드 머티어리얼스, 인코포레이티드 다수의 각도적 가압 가능한 구역들을 갖는 연마 캐리어 헤드
CN113649945B (zh) * 2021-10-20 2022-04-15 杭州众硅电子科技有限公司 一种晶圆抛光装置

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6124150U (ja) * 1984-07-20 1986-02-13 日本電気株式会社 平面研摩装置の被加工物保持機構
JPS63221969A (ja) * 1987-03-09 1988-09-14 Toshiba Corp ワ−クチヤツク
JPH0569310A (ja) * 1991-04-23 1993-03-23 Mitsubishi Materials Corp ウエーハの鏡面研磨装置
JPH06126615A (ja) * 1992-10-12 1994-05-10 Fujikoshi Mach Corp ウエハーの研磨装置
JPH07124862A (ja) * 1993-10-27 1995-05-16 Shin Etsu Handotai Co Ltd ウエーハ研磨装置
JPH07241764A (ja) * 1994-03-04 1995-09-19 Fujitsu Ltd 研磨装置と研磨方法
JPH0839422A (ja) * 1994-03-02 1996-02-13 Applied Materials Inc 研磨制御を改善した化学的機械的研磨装置
JPH08229808A (ja) * 1995-02-28 1996-09-10 Mitsubishi Materials Corp ウェーハ研磨装置

Family Cites Families (64)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4373991A (en) 1982-01-28 1983-02-15 Western Electric Company, Inc. Methods and apparatus for polishing a semiconductor wafer
FR2558095B1 (fr) 1984-03-14 1988-04-08 Ribard Pierre Perfectionnements apportes aux tetes de travail des machines de polissage et analogues
JPS6125768A (ja) 1984-07-13 1986-02-04 Nec Corp 平面研摩装置の被加工物保持機構
EP0169932B1 (de) * 1984-08-03 1987-04-22 Wilhelm Loh Wetzlar Optikmaschinen GmbH & Co. KG Vorrichtung zum Halten von empfindlichen Werkstücken, insbesondere von optischen Linsen und anderen optischen Bauelementen
NL8503217A (nl) 1985-11-22 1987-06-16 Hoogovens Groep Bv Preparaathouder.
JPS63300858A (ja) 1987-05-29 1988-12-08 Hitachi Ltd 空気軸受式ワ−クホルダ
JPS63114870A (ja) 1987-10-22 1988-05-19 Nippon Telegr & Teleph Corp <Ntt> ウェハの真空吸着方法
US4918869A (en) 1987-10-28 1990-04-24 Fujikoshi Machinery Corporation Method for lapping a wafer material and an apparatus therefor
JPH01188265A (ja) * 1988-01-25 1989-07-27 Hitachi Ltd ラツプ加工装置
JPH01216768A (ja) 1988-02-25 1989-08-30 Showa Denko Kk 半導体基板の研磨方法及びその装置
JPH079896B2 (ja) 1988-10-06 1995-02-01 信越半導体株式会社 研磨装置
JPH02224263A (ja) 1989-02-27 1990-09-06 Hitachi Ltd 半導体チップの冷却装置
JPH02240925A (ja) * 1989-03-15 1990-09-25 Hitachi Ltd ウエハ研磨装置
JP2527232B2 (ja) 1989-03-16 1996-08-21 株式会社日立製作所 研磨装置
JPH0797599B2 (ja) 1990-04-27 1995-10-18 株式会社芝浦製作所 基板検出装置
US5255474A (en) * 1990-08-06 1993-10-26 Matsushita Electric Industrial Co., Ltd. Polishing spindle
JP3173041B2 (ja) * 1991-05-15 2001-06-04 不二越機械工業株式会社 ドレッサー付きウェハー研磨装置及びその研磨布表面のドレッシング方法
US5230184A (en) 1991-07-05 1993-07-27 Motorola, Inc. Distributed polishing head
JP3233664B2 (ja) 1991-09-13 2001-11-26 土肥 俊郎 デバイス付きウェーハのプラナリゼーションポリッシング方法及びその装置
US5193316A (en) 1991-10-29 1993-03-16 Texas Instruments Incorporated Semiconductor wafer polishing using a hydrostatic medium
US5205082A (en) * 1991-12-20 1993-04-27 Cybeq Systems, Inc. Wafer polisher head having floating retainer ring
JPH05277929A (ja) 1992-04-01 1993-10-26 Mitsubishi Materials Corp ポリッシング装置の上軸機構
US5498199A (en) 1992-06-15 1996-03-12 Speedfam Corporation Wafer polishing method and apparatus
DE69333322T2 (de) 1992-09-24 2004-09-30 Ebara Corp. Poliergerät
US5635083A (en) * 1993-08-06 1997-06-03 Intel Corporation Method and apparatus for chemical-mechanical polishing using pneumatic pressure applied to the backside of a substrate
US5443416A (en) 1993-09-09 1995-08-22 Cybeq Systems Incorporated Rotary union for coupling fluids in a wafer polishing apparatus
US5584746A (en) * 1993-10-18 1996-12-17 Shin-Etsu Handotai Co., Ltd. Method of polishing semiconductor wafers and apparatus therefor
JP3311116B2 (ja) * 1993-10-28 2002-08-05 株式会社東芝 半導体製造装置
US5643053A (en) * 1993-12-27 1997-07-01 Applied Materials, Inc. Chemical mechanical polishing apparatus with improved polishing control
US5624299A (en) * 1993-12-27 1997-04-29 Applied Materials, Inc. Chemical mechanical polishing apparatus with improved carrier and method of use
US5820448A (en) * 1993-12-27 1998-10-13 Applied Materials, Inc. Carrier head with a layer of conformable material for a chemical mechanical polishing system
US5449316A (en) 1994-01-05 1995-09-12 Strasbaugh; Alan Wafer carrier for film planarization
US5423716A (en) 1994-01-05 1995-06-13 Strasbaugh; Alan Wafer-handling apparatus having a resilient membrane which holds wafer when a vacuum is applied
US5423558A (en) * 1994-03-24 1995-06-13 Ipec/Westech Systems, Inc. Semiconductor wafer carrier and method
US5597346A (en) * 1995-03-09 1997-01-28 Texas Instruments Incorporated Method and apparatus for holding a semiconductor wafer during a chemical mechanical polish (CMP) process
JP3704175B2 (ja) 1995-04-17 2005-10-05 不二越機械工業株式会社 研磨装置のワーク押圧機構
US5908530A (en) 1995-05-18 1999-06-01 Obsidian, Inc. Apparatus for chemical mechanical polishing
US5681215A (en) * 1995-10-27 1997-10-28 Applied Materials, Inc. Carrier head design for a chemical mechanical polishing apparatus
US5795215A (en) * 1995-06-09 1998-08-18 Applied Materials, Inc. Method and apparatus for using a retaining ring to control the edge effect
US5643061A (en) 1995-07-20 1997-07-01 Integrated Process Equipment Corporation Pneumatic polishing head for CMP apparatus
US5695392A (en) * 1995-08-09 1997-12-09 Speedfam Corporation Polishing device with improved handling of fluid polishing media
US5762544A (en) * 1995-10-27 1998-06-09 Applied Materials, Inc. Carrier head design for a chemical mechanical polishing apparatus
US5738574A (en) * 1995-10-27 1998-04-14 Applied Materials, Inc. Continuous processing system for chemical mechanical polishing
EP0786310B1 (en) 1996-01-24 2002-12-04 Lam Research Corporation Wafer polishing head
US5762539A (en) * 1996-02-27 1998-06-09 Ebara Corporation Apparatus for and method for polishing workpiece
JP3106418B2 (ja) * 1996-07-30 2000-11-06 株式会社東京精密 研磨装置
JP3663767B2 (ja) 1996-09-04 2005-06-22 信越半導体株式会社 薄板の鏡面研磨装置
US6146259A (en) 1996-11-08 2000-11-14 Applied Materials, Inc. Carrier head with local pressure control for a chemical mechanical polishing apparatus
US6183354B1 (en) * 1996-11-08 2001-02-06 Applied Materials, Inc. Carrier head with a flexible membrane for a chemical mechanical polishing system
US5851140A (en) 1997-02-13 1998-12-22 Integrated Process Equipment Corp. Semiconductor wafer polishing apparatus with a flexible carrier plate
US5957751A (en) 1997-05-23 1999-09-28 Applied Materials, Inc. Carrier head with a substrate detection mechanism for a chemical mechanical polishing system
US5964653A (en) 1997-07-11 1999-10-12 Applied Materials, Inc. Carrier head with a flexible membrane for a chemical mechanical polishing system
US6080050A (en) 1997-12-31 2000-06-27 Applied Materials, Inc. Carrier head including a flexible membrane and a compliant backing member for a chemical mechanical polishing apparatus
US6159079A (en) 1998-09-08 2000-12-12 Applied Materials, Inc. Carrier head for chemical mechanical polishing a substrate
US6210255B1 (en) 1998-09-08 2001-04-03 Applied Materials, Inc. Carrier head for chemical mechanical polishing a substrate
US6132298A (en) 1998-11-25 2000-10-17 Applied Materials, Inc. Carrier head with edge control for chemical mechanical polishing
JP3019849B1 (ja) * 1998-11-18 2000-03-13 日本電気株式会社 化学的機械的研磨装置
US6165058A (en) 1998-12-09 2000-12-26 Applied Materials, Inc. Carrier head for chemical mechanical polishing
US6263605B1 (en) * 1998-12-21 2001-07-24 Motorola, Inc. Pad conditioner coupling and end effector for a chemical mechanical planarization system and method therefor
US6162116A (en) 1999-01-23 2000-12-19 Applied Materials, Inc. Carrier head for chemical mechanical polishing
US6371833B1 (en) * 1999-09-13 2002-04-16 Infineon Technologies Ag Backing film for chemical mechanical planarization (CMP) of a semiconductor wafer
US6287173B1 (en) * 2000-01-11 2001-09-11 Lucent Technologies, Inc. Longer lifetime warm-up wafers for polishing systems
US6375550B1 (en) * 2000-06-05 2002-04-23 Lsi Logic Corporation Method and apparatus for enhancing uniformity during polishing of a semiconductor wafer
US6676497B1 (en) * 2000-09-08 2004-01-13 Applied Materials Inc. Vibration damping in a chemical mechanical polishing system

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6124150U (ja) * 1984-07-20 1986-02-13 日本電気株式会社 平面研摩装置の被加工物保持機構
JPS63221969A (ja) * 1987-03-09 1988-09-14 Toshiba Corp ワ−クチヤツク
JPH0569310A (ja) * 1991-04-23 1993-03-23 Mitsubishi Materials Corp ウエーハの鏡面研磨装置
JPH06126615A (ja) * 1992-10-12 1994-05-10 Fujikoshi Mach Corp ウエハーの研磨装置
JPH07124862A (ja) * 1993-10-27 1995-05-16 Shin Etsu Handotai Co Ltd ウエーハ研磨装置
JPH0839422A (ja) * 1994-03-02 1996-02-13 Applied Materials Inc 研磨制御を改善した化学的機械的研磨装置
JPH07241764A (ja) * 1994-03-04 1995-09-19 Fujitsu Ltd 研磨装置と研磨方法
JPH08229808A (ja) * 1995-02-28 1996-09-10 Mitsubishi Materials Corp ウェーハ研磨装置

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012518904A (ja) * 2009-02-25 2012-08-16 グリーンスペック カンパニー、インコーポレイテッド シリコン・ベアー・ウエハー研磨装置用キャリア・ヘッド
KR101841364B1 (ko) 2016-11-04 2018-03-22 주식회사 씨티에스 씨엠피 해드 및 이를 포함하는 씨엠피 장치
JP2019202361A (ja) * 2018-05-21 2019-11-28 株式会社荏原製作所 基板保持装置、基板研磨装置、弾性部材および基板保持装置の製造方法
CN110509179A (zh) * 2018-05-21 2019-11-29 株式会社荏原制作所 基板保持装置、基板研磨装置、弹性构件以及基板保持装置的制造方法
JP7075814B2 (ja) 2018-05-21 2022-05-26 株式会社荏原製作所 基板保持装置、基板研磨装置、弾性部材および基板保持装置の製造方法
CN110509179B (zh) * 2018-05-21 2023-03-10 株式会社荏原制作所 基板保持装置、基板研磨装置、弹性构件以及基板保持装置的制造方法
JP2020023005A (ja) * 2018-08-06 2020-02-13 株式会社荏原製作所 基板保持装置、基板吸着判定方法、基板研磨装置および基板研磨方法
JP7117933B2 (ja) 2018-08-06 2022-08-15 株式会社荏原製作所 基板保持装置および基板研磨装置

Also Published As

Publication number Publication date
US6386955B2 (en) 2002-05-14
US20020086624A1 (en) 2002-07-04
SG70042A1 (en) 2000-01-25
US6540594B2 (en) 2003-04-01
JP4368341B2 (ja) 2009-11-18
KR100366425B1 (ko) 2003-02-19
EP1258317A1 (en) 2002-11-20
DE69740146D1 (de) 2011-04-21
JP3439970B2 (ja) 2003-08-25
JP5216542B2 (ja) 2013-06-19
JP4233339B2 (ja) 2009-03-04
TW344694B (en) 1998-11-11
JPH10180627A (ja) 1998-07-07
JP2003264162A (ja) 2003-09-19
JP2007335895A (ja) 2007-12-27
JP4940061B2 (ja) 2012-05-30
JP2005328103A (ja) 2005-11-24
EP1258317B1 (en) 2011-03-09
KR19980042123A (ko) 1998-08-17
EP1754571B1 (en) 2009-07-29
US7040971B2 (en) 2006-05-09
US6857946B2 (en) 2005-02-22
DE69739521D1 (de) 2009-09-10
EP0841123A1 (en) 1998-05-13
EP1754571A1 (en) 2007-02-21
SG87925A1 (en) 2002-04-16
US20010000775A1 (en) 2001-05-03
US20040033769A1 (en) 2004-02-19
EP0841123B1 (en) 2003-01-29
US6183354B1 (en) 2001-02-06
JP2009065195A (ja) 2009-03-26
JP5068723B2 (ja) 2012-11-07
US20050037698A1 (en) 2005-02-17

Similar Documents

Publication Publication Date Title
JP5068723B2 (ja) 化学的機械研磨システムのための可撓膜を有する支持ヘッド
US6506104B2 (en) Carrier head with a flexible membrane
US6957998B2 (en) Polishing apparatus
US6244932B1 (en) Method for detecting the presence of a substrate in a carrier head
EP0835723A1 (en) A carrier head with a layer of conformable material for a chemical mechanical polishing system

Legal Events

Date Code Title Description
RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20101130

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20101210

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110920

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20111220

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20111226

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120113

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120717

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120815

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150824

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term