DE4417205A1 - Herstellungsgerät für Halbleiter-Vorrichtungen und Reinigungsverfahren für das Gerät - Google Patents

Herstellungsgerät für Halbleiter-Vorrichtungen und Reinigungsverfahren für das Gerät

Info

Publication number
DE4417205A1
DE4417205A1 DE4417205A DE4417205A DE4417205A1 DE 4417205 A1 DE4417205 A1 DE 4417205A1 DE 4417205 A DE4417205 A DE 4417205A DE 4417205 A DE4417205 A DE 4417205A DE 4417205 A1 DE4417205 A1 DE 4417205A1
Authority
DE
Germany
Prior art keywords
gas
vacuum evacuation
reaction chamber
vacuum
evacuation tube
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE4417205A
Other languages
English (en)
Other versions
DE4417205C2 (de
Inventor
Hironari Takahashi
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Mitsubishi Electric Corp
Original Assignee
Mitsubishi Electric Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Mitsubishi Electric Corp filed Critical Mitsubishi Electric Corp
Publication of DE4417205A1 publication Critical patent/DE4417205A1/de
Application granted granted Critical
Publication of DE4417205C2 publication Critical patent/DE4417205C2/de
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/905Cleaning of reaction chamber

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Description

Die vorliegende Erfindung bezieht sich auf ein Herstel­ lungsgerät für Halbleiter-Vorrichtungen und auf ein Reini­ gungsverfahren für das Gerät und insbesondere auf ein Siliziumoxid-Filmbildungsgerät zur Verwendung im Herstel­ lungsprozeß von Halbleiter-Vorrichtungen und auf ein Ver­ fahren zur Reinigung des Geräts.
In herkömmlichen Herstellungsgeräten für Halbleiter-Vor­ richtungen, z. B. CVD-Geräten mit reduziertem Druck, sind bespielsweise Oxidfilme auf Halbleiter-Wafern bei Tempera­ turen von ungefähr 850°C unter Verwendung von SiH₄-Gas und N₂O(Distickstoffmonoxid)-Gas gebildet worden. Für einen hö­ heren Integrationsgrad bei VLSI (höchstintegrierte Schalt­ kreise) und für eine Verbesserung der Produktivität wird jedoch das Verfahren unter Verwendung von TEOS(Tetraethoxysilan)-Gas populärer, um eine Verringerung der Temperatur zur Wärmebehandlung zu erreichen und mit ei­ ner größeren Anzahl von Halbleiter-Wafern umzugehen, die gleichzeitig in dem Schritt zur Bildung der Oxidfilme ver­ arbeitet werden.
Fig. 6 ist ein Blockdiagramm, das ein herkömmliches CVD- Gerät mit verringertem Druck zur Bildung von Oxidfilmen un­ ter Verwendung von TEOS-Gas zeigt. In der Figur befindet sich eine Vielzahl von Halbleiter-Wafern, zum Beispiel Silizium-Wafer 1, auf denen Oxidfilme zu bilden sind, auf einem Quarzschiffchen 2, und das Quarzschiffchen 2 ist in einer Reaktionskammer, z. B. einer Quarzröhre 3, unterge­ bracht. Eine Heizvorrichtung 4 zum Heizen ist um die Quarz­ röhre 3 herum angeordnet.
TEOS-Gas wird von einer TEOS-Gas-Zuführquelle 5 zugeführt und wird in die Quarzröhre 3 von einem Vakummflansch 8 an einem Ende durch eine Steuerungsvorrichtung des Massenflus­ ses 6 zur Steuerung der Flußrate und ein Ventil 7, z. B. ein Druckluftventil, eingeleitet.
Als Trägergas für das TEOS-Gas wird z. B. Stickstoff-Gas verwendet. Das Stickstoff-Gas wird von einer Stickstoffgas- Zuführquelle 9, z. B. einer Stickstoff-Bombe, zugeführt und wird in die Quarzröhre 3 zusammen mit dem TEOS-Gas durch eine Steuerungsvorrichtung für den Massenfluß 10 und ein Ventil 11 eingeleitet. Ein Vakuumflansch 12 ist an dem an­ deren Ende der Quarzröhre 3 zur Verfügung gestellt, und eine Vakuumpumpe, z. B. eine Rotationspumpe 14, ist mit dem Vakuumflansch 12 durch ein Vakuum-Evakuationsrohr 13 ver­ bunden. Ferner ist das Vakuum-Evakuationsrohr 13 mit einem Vakuum-Evakuations-Hauptventil 15 und einem Vakuum-Evakua­ tions-Unterventil 16 ausgestattet.
In dem herkömmlichen CVD-Gerät mit reduziertem Druck, das wie vorstehend aufgebaut ist, wird, wenn Oxidfilme auf den Silizium-Wafern 1 durch das CVD-Verfahren mit reduziertem Druck unter Verwendung von TEOS-Gas gebildet werden, das Quarzschiffchen 2, auf dem die Silizium-Wafer 1 gehalten werden, zuerst unter atmosphärischen Druck in die Quarz­ röhre 3 eingesetzt. Dann wird, um eine Vakuumbedingung in der Quarzröhre 3 zu schaffen, die Rotationspumpe 14 in Be­ trieb genommen, und das Vakuum-Evakuations-Unterventil 16 wird geöffnet, wobei schrittweise Luft aus dem Inneren der Quarzröhre 3 bis zu einem Druck von 20 Torr abgepumpt wird. Dies ist, weil, wenn ein Vakuum schnell gezogen wird, Teil­ chen, die sich in der Quarzröhre 3 aufhalten, aufgewirbelt würden und an den Silizium-Wafern 1 anhaften würden, so daß auf den Silizium-Wafern 1 gebildete Muster geschädigt wer­ den können.
Sobald das Vakuum-Niveau in der Quarzröhre 3 den Wert 20 Torr überschreitet, wird das Vakuum-Evakuations- Hauptventil 15 geöffnet. Dann werden, sobald das Vakuum- Niveau in der Quarzröhre 3 den Wert 10-3 Torr erreicht, das TEOS-Gas und das Stickstoff-Gas in die Quarzröhre 3 eingeleitet. Das TEOS-Gas wird von der TEOS-Gas- Zuführquelle 5 bei einer Flußrate von z. B. 80 cm³/Min. durch die Steuerungsvorrichtung des Massenflusses 6 zugeführt, und das Stickstoff-Gas wird von der Stickstoff- Gas-Zuführquelle 9 bei einer Flußrate von beispielsweise 100 cm³/Min. durch die Steuerungsvorrichtung des Massenflusses 10 zugeführt, wobei beide Gase in die Quarzröhre 3 über den Vakuumflansch 8 eingeleitet werden. Das Innere der Quarzröhre 3 wird so geregelt, daß ein Druck von 0,8 Torr durch die Rotationspumpe 14 und eine Temperatur von 700°C durch die Heizvorrichtung 4 aufrecht erhalten wird. Unter dieser Bedingung werden Silizium­ oxidfilme auf den Silizium-Wafern 1 mit Pyrolyse des TEOS- Gases gebildet.
Das vorstehende CVD-Gerät mit reduziertem Druck hat das Problem, daß sich das TEOS-Gas unter Erzeugung von Nieder­ schlägen wie Siliziumoxid-Filmen zersetzt, die an der inne­ ren Wandoberfläche der Quarzröhre 3 anhaften und für die Erzeugung von Teilchen verantwortlich sind. Ein großer Teil des eingeleiteten TEOS-Gases wird als nicht-umgesetztes Gas durch das Vakuum-Evakuationsrohr 13 abgeführt. Daher wird das TEOS-Gas, das durch die Quarzröhre 3, die bei einer ho­ hen Temperatur gehalten wird, durchgeleitet wurde, in dem Vakuumflansch 12 und dem Vakuum-Evakuationsrohr 13 abge­ kühlt, die nicht erhitzt werden, wodurch Niederschläge auf den Oberflächen der inneren Wand des Vakuumflansches 12 und dem Vakuum-Evakuationsrohr 13 gebildet werden. Diese Nie­ derschläge, von denen gedacht wird, daß sie beispielsweise eine Substanz sind, die durch CH3,8Si₂O1,7 ausgedrückt wird, werden in der Form von Teilchen aufgewirbelt und kön­ nen an Oberflächen der Silizium-Wafer 1 anhaften, wenn der Druck in dem Gerät von dem atmosphärischen Druck zu einem Vakuum oder umgekehrt in dem Verfahren zur Bildung von Oxidfilmen auf den Silizium-Wafern 1 variiert wird. Die Teilchen der Niederschläge, die an den Oberflächen der Silizium-Wafer 1 anhaften, können die Verläßlichkeit von VLSI beeinträchtigen.
Ferner sind die Niederschläge, die sich in dem Vakuum-Eva­ kuationsrohr 13 angesammelt haben, herkömmlich durch Ab­ bauen des Vakuum-Evakuationsrohrs 13 von dem Gerät und Wa­ schen des Vakuum-Evakuationsrohrs 13 mit Wasser, Flußsäure oder dergleichen entfernt worden. Jedoch wird dieses von den Problemen begleitet, daß die Niederschläge unter Erzeu­ gung von Teilchen von dem Rohr abgeschält werden, wenn das Vakuum-Evakuationsrohr 13 abgebaut wird, und daß der Vor­ gang zur Reinigung des Vakuum-Evakuationsrohrs 13 oft durchgeführt werden muß, z. B. einmal die Woche, und daher erfordert der Reinigungsvorgang Mühe und Zeit.
In Hinsicht auf die Lösung der vorstehend beschriebenen Probleme im Stand der Technik ist es Aufgabe der vorliegen­ den Erfindung, ein Herstellungsgerät für Halbleiter-Vor­ richtungen zur Verfügung zu stellen, bei dem die Nieder­ schläge, die an den Innenwänden einer Reaktionskammer und eines Vakuum-Evakuationsrohrs des Geräts festhaften, ent­ fernt werden können und mit dem Halbleiter-Vorrichtungen mit hoher Zuverlässigkeit hergestellt werden können, und ein Verfahren zur Reinigung des Herstellungsgeräts für Halbleiter-Vorrichtungen zur Verfügung zu stellen.
Um die vorstehende Aufgabe zu lösen, wird gemäß der vorlie­ genden Erfindung ein Herstellungsgerät für Halbleiter-Vor­ richtungen zur Verfügung gestellt, das eine Reaktionskammer zum Unterbringen von Halbleiter-Wafern, Einleitungseinrich­ tungen für reaktive Gase, die mit der Reaktionskammer ver­ bunden sind, zum Einleiten reaktiver Gase in die Reaktions­ kammer, ein Vakuum-Evakuationsrohr, das mit der Reaktions­ kammer verbunden ist, Vakuum-Evakuationseinrichtungen, die mit dem Vakuum-Evakuationsrohr verbunden sind, zum Evakuie­ ren der Reaktionskammer und des Vakuum-Evakuationsrohrs, Einleitungseinrichtungen für wasserfreies HF-Gas, die mit der Reaktionskammer und/oder dem Vakuum-Evakuationsrohr verbunden sind, zum Einleiten von wasserfreiem HF-Gas in die Reaktionskammer oder das Vakuum-Evakuationsrohr, Ein­ leitungseinrichtungen für Interhalogenverbindungsgase, die mit der Reaktionskammer und/oder dem Vakuum-Evakuationsrohr verbunden sind, zum Einleiten von Interhalogenverbindungs­ gasen in die Reaktionskammer oder das Vakuum-Evakuations­ rohr, und Einleitungseinrichtungen für Trägergase, die mit der Reaktionskammer und/oder dem Vakuum-Evakuationsrohr verbunden sind, zum Einleiten von Trägergasen in die Reak­ tionskammer oder das Vakuum-Evakuationsrohr, umfaßt.
Um die vorstehende Aufgaben zu lösen, wird gemäß der vor­ liegenden Erfindung auch ein Verfahren zur Reinigung eines Herstellungsgeräts von Halbleitervorrichtungen zur Verfü­ gung gestellt, wobei wasserfreies HF-Gas, Interhalogenver­ bindungs-Gas und Trägergas in eine Reaktionskammer zum Un­ terbringen von Halbleiter-Wafern oder in ein Vakuum-Evakua­ tionsrohr, das mit der Reaktionskammer verbunden ist, ein­ geleitet werden, wodurch durch reaktive Gase erzeugte und an einer Innenwand der Reaktionskammer oder des Vakuum-Eva­ kuationsrohrs anhaftende Niederschläge entfernt werden.
Fig. 1 ist ein Blockdiagramm, das ein CVD-Gerät mit ver­ ringertem Druck gemäß den Ausführungsformen 1 und 3 der vorliegenden Erfindung zeigt.
Fig. 2 ist ein Blockdiagramm, das ein CVD-Gerät mit ver­ ringertem Druck gemäß den Ausführungsformen 2 und 4 der vorliegenden Erfindung zeigt.
Fig. 3 ist ein vergrößerter Querschnitt, der eine Absaug­ vorrichtung des CVD-Geräts mit verringertem Druck, das in Fig. 2 gezeigt wird, zeigt.
Fig. 4 ist eine graphische Darstellung, die die Beziehung zwischen der Anzahl der Male, daß nach Reinigung des CVD- Geräts mit verringertem Druck gemäß Ausführungsform 2 der vorliegenden Erfindung Oxidfilme auf Silizium-Wafern gebil­ det werden, und der Anzahl der Teilchen, die an den Ober­ flächen der Silizium-Wafer anhaften, zeigt.
Fig. 5 ist ein seitlicher Querschnitt, der eine Quarzröhre des CVD-Geräts mit verringertem Druck gemäß Ausführungsform 5 der vorliegenden Erfindung zeigt.
Fig. 6 ist ein Blockdiagramm, das ein herkömmliches CVD- Gerät mit verringertem Druck zeigt.
Ausführungsform 1
Fig. 1 ist ein Blockdiagramm eines Herstellungsgeräts für Halbleiter-Vorrichtungen, z. B. eines CVD-Geräts mit verrin­ gertem Druck, gemäß Ausführungsform 1 der vorliegenden Er­ findung. Es ist zu bemerken, daß dieselben Bezugszeichen in den jeweiligen Figuren dieselben oder identische Teile bezeichnen. In Fig. 1 umfaßt eine Reaktionskammer des CVD- Geräts mit verringertem Druck eine Quarzröhre 3 und Vakuum­ flansche 8, 12, die an beiden Enden der Quarzröhre 3 be­ reitgestellt sind. Eine Vielzahl von Silizium-Wafern 1, die sich auf einem Quarzschiffchen 2 befinden, sind in der Quarzröhre 3 untergebracht. Mit dem Vakuumflansch 8 an ei­ nem Ende der Quarzröhre 3 sind eine Stickstoffgas-Zuführ­ quelle 9, eine TEOS-Gas-Zuführquelle 5, eine Zuführquelle für wasserfreies HF-Gas 17 und eine Zuführquelle für ClF₃- Gas 18 durch ein Ventil 32 verbunden. Eine Einleitungsein­ richtung für Trägergase umfaßt die Stickstoffgas-Zuführ­ quelle 9, eine Steuerungsvorrichtung des Massenflusses 10, Ventile 11, 32, 33 und Rohre 32a, 33a. Eine Einleitungsein­ richtung für reaktive Gase umfaßt die TEOS-Gas-Zuführquelle 5, eine Steuerungseinrichtung des Massenflusses 6, Ventile 7, 32 und das Rohr 32a.
Als Gase zur Reinigung des CVD-Geräts mit verringertem Druck werden wasserfreies HF-Gas und Interhalogenverbin­ dungs-Gas, z. B. ClF₃, verwendet. Die Einstellung der Fluß­ rate und Start/Stop der Einleitung dieser Gase werden je­ weils durch Steuerungsvorrichtungen des Massenflusses 19, 20 und Ventile 21, 22, z. B. Druckluft-Ventile, gesteuert. Eine Einleitungseinrichtung für wasserfreies HF-Gas umfaßt die Zuführquelle für wasserfreies HF-Gas 17, die Steue­ rungsvorrichtung des Massenflusses 19, Ventile 21, 32, 33 und die Rohre 32a, 33a. Eine Einleitungseinrichtung für In­ terhalogenverbindungsgase umfaßt die ClF₃-Gas-Zuführquelle 18, die Steuerungsvorrichtung des Massenflusses 20, die Ventile 22, 32, 33 und die Rohre 32a, 33a.
Mit dem Vakuumflansch 12 an dem anderen Ende der Quarzröhre 3 ist ein Vakuum-Evakuationsrohr 13 verbunden, das mit ei­ ner Rotationspumpe 14 als eine Vakuum-Evakuationseinrich­ tung durch ein Vakuum-Evakuations-Hauptventil 15 verbunden ist. Das Vakuum-Evakuationsrohr 13 ist mit einem Vakuum- Messer 23a zum Messen des Drucks in dem Rohr ausgestattet, und eine Sammeleinrichtung für schädliche Gase 50 ist flußabwärts der Rotationspumpe 14 angeordnet, um schädliche Gase wie wasserfreies HF-Gas zu sammeln. Ferner ist der Vakuumflansch 8 mit einem Vakuum-Messer 23 zum Messen des Vakuum-Niveaus in der Quarzröhre 3 ausgestattet, und der Vakuumflansch 12 ist mit einem Gassensor 24 zum Messen des wasserfreien HF-Gas und des ClF₃-Gases ausgestattet.
In dem CVD-Gerät mit verringertem Druck, das wie vorstehend aufgebaut ist, werden Siliziumoxidfilme auf den Silizium- Wafern 1 in einer ähnlichen Weise wie in den herkömmlichen Geräten gebildet. Insbesondere werden das Stickstoffgas und das TEOS-Gas, das von der Stickstoff-Gas-Zuführquelle 9 und der TEOS-Gas-Zuführquelle 5 zu der Quarzröhre 3 bei vorbe­ stimmten Flußraten zugeführt werden, jeweils durch die Ro­ tationspumpe 14 gesteuert, so daß sie einen Druck von unge­ fähr 0,8 Torr haben, und das Innere der Quarzröhre 3 wird durch eine Heizvorrichtung 4 erhitzt, so daß eine Tempera­ tur von ungefähr 700°C aufrecht erhalten wird. Unter dieser Bedingung werden Siliziumoxid-Filme auf den in der Quarz­ röhre 3 angeordneten Silizium-Wafern 1 mit Pyrolyse des TEOS-Gases gebildet.
Bei dem vorstehenden Verfahren haften, da eine Innenwand der Quarzröhre 3 durch die Heizvorrichtung 4 erhitzt wird, die Siliziumoxid-Filme, die mit Pyrolyse des TEOS-Gases er­ zeugt werden, auch an der Innenwand der Quarzröhre 3 an. Die an der Innenwand der Quarzröhre 3 anhaftenden Silizium­ oxid-Filme sind aus dem Grund nicht erwünscht, daß sie für die Erzeugung von Teilchen, wie vorstehend beschrieben, verantwortlich sind. Auch wird ein großer Teil des TEOS- Gases als nicht-umgesetztes Gas durch das Vakuum-Evakuati­ onsrohr 13 abgeführt. Nicht-umgesetztes Gas kühlt in dem Vakuum-Flansch 12 und dem Vakuum-Evakuationsrohr 13 jedoch schnell ab, wodurch Niederschläge auf einer Innenwand des Vakuum-Evakuationsrohrs 13, wie vorstehend beschrieben, er­ zeugt werden. Diese Niederschläge werden in der Quarzröhre 3 aufgewirbelt und können als Teilchen an Oberflächen des Silizium-Wafers 1 anhaften, wenn bei dem Verfahren zur Bil­ dung von Siliziumoxid-Filmen auf den Silizium-Wafern 1 beim nächsten Mal der Druck in der Quarzröhre 3 von atmosphäri­ schem Druck zu einer Bedingung von reduziertem Druck (Vakuum) oder umgekehrt variiert werden.
Nun wird eine Beschreibung des Reinigungsvorgangs zum Aus­ spülen der Niederschläge mit dem wasserfreien HF-Gas und dem ClF₃-Gas vorgenommen. In dieser Ausführungsform 1 wird der Fall der Reinigung von sowohl der Quarzröhre 3 als auch des Vakuum-Evakuationsrohrs 13 des CVD-Geräts mit verrin­ gertem Druck beschrieben.
Zuerst wird, um eine Vakuumbedingung in der Quarzröhre 3 durch die Rotationspumpe 14 zu erzeugen, das Vakuum-Evakua­ tions-Hauptventil 15 mit einem großen Durchmesser geschlos­ sen, aber ein Vakuum-Evakuations-Unterventil 16 mit einem kleinen Durchmesser wird geöffnet, wobei schrittweise Luft vom Inneren der Quarzröhre 3 bis zu einem Druck von 20 Torr abgepumpt wird. Sobald das Vakuum-Niveau in der Quarzröhre 3 den Druck von 20 Torr überschreitet, wird das Vakuum-Eva­ kuations-Hauptventil 15 auch geöffnet. Dann werden, sobald die Messung unter Verwendung der Vakuum-Meßvorrichtung 23 zeigt, daß das Vakuum-Niveau in der Quarzröhre 3 den Druck 10-3 Torr erreicht, das Vakuum-Evakuations-Hauptventil 15 und das Vakuum-Evakuations-Unterventil 16 beide geschlos­ sen, aber die Ventile 11, 32 werden beide geöffnet, so daß das Stickstoff-Gas von der Stickstoffgas-Zuführquelle 9 in die Quarzröhre 3 eingeleitet wird. Während dieses Prozesses werden das Ventil 33 und die Ventile 7, 21, 22 zum Einlei­ ten der anderen Gase alle geschlossen gehalten.
Wenn der Druck in der Quarzröhre 3 den Wert 500 Torr er­ reicht, wird das Vakuum-Evakuations-Unterventil 16 geöff­ net, wobei eine feine Einstellung gemacht wird, so daß der Druck in der Quarzröhre 3 bei 500 Torr aufrecht erhalten wird, während das Stickstoff-Gas durch die Quarzröhre 3 fließt. Gleichzeitig wird die Temperatur in der Quarzröhre 3 durch die Heizvorrichtung 14 auf 700°C gehalten. Die Ein­ stellung des Drucks kann durch Verwendung eines Nadelven­ tils, das flußaufwärts der Rotationspumpe 14 angeordnet ist, in Kombination mit dem Vakuum-Evakuations-Hauptventil 15 und dem Vakuum-Evakuations-Unterventil 16 durchgeführt werden. Als eine Alternative kann eine weitere Vakuumpumpe in Kombination mit der Rotationspumpe 14 verwendet werden.
Unter der Bedingung, daß der Druck des Stickstoff-Gases in der Quarzröhre 3 stabilisiert ist, nachdem das Stickstoff- Gas kontinuierlich 20 Minuten lang geflossen ist, während der Druck des Stickstoff-Gases bei 500 Torr gehalten wurde, werden die Ventile 21, 22 geöffnet, wobei das wasserfreie HF-Gas und das ClF₃-Gas in die Quarzröhre 3 eingeleitet werden, wodurch die Quarzröhre 3, der Vakuumflansch 12 und das Vakuum-Evakuationsrohr 13 gereinigt werden. Flußraten des wasserfreien HF-Gases und des ClF₃-Gases werden jeweils durch die Massenfluß-Steuerungsvorrichtungen 19 und 20 ge­ steuert. Beispielsweise wird die Gesamt-Flußrate des was­ serfreien HF-Gases und des ClF₃-Gases auf 1 l/Min. einge­ stellt, wohingegen die Flußrate des Stickstoff-Gases auf 4 l/Min. eingestellt wird. Während der Reinigung liegt der Gesamtdruck des Stickstoffgases, des wasserfreien HF-Gases und des ClF₃-Gases vorzugsweise in dem Bereich von 300 Torr bis 700 Torr, bevorzugter von 500 Torr bis 600 Torr. Der Reinigungsvorgang wird beispielsweise ungefähr 2 Stunden lang fortgesetzt.
Wenn der Druck in der Quarzröhre 3 nicht größer als 300 Torr ist, ist eine Ätz-Rate extrem niedrig. Um die Ätz- Rate bis zu einem befriedigenden Wert für die praktische Verwendung zu erhöhen, darf daher der vorstehende Gesamtdruck nicht kleiner als 300 Torr sein. Auch würden, wenn der Gehalt an wasserfreiem HF-Gas und ClF₃-Gas zu hoch wären, die Rohre usw. korrodiert werden. Es ist daher erwünscht, daß der vorstehende Gesamtdruck nicht größer als 700 Torr ist. Die Gesamtmenge der Mischung aus wasserfreiem HF-Gas und ClF₃-Gas liegt vorzugsweise in dem Bereich von 10 bis 20%, bezogen auf das Stickstoff-Gas. Ferner wird das ClF₃-Gas vorzugsweise mit dem wasserfreien HF-Gas in einem Verhältnis von nicht größer als 5% vermischt. Die Ätz-Rate der Niederschläge ist ungefähr 1,5%/Min. bei den Bedingungen der vorstehend erwähnten Flußraten, 700°C und 500 Torr. Der Ausdruck "Ätz-Rate" (%/Min.)", der hier verwendet wird, wird durch [das Gewicht (g), um das das Probengewicht durch das Ätzen verringert wird/das Probengewicht (g) vor dem Ätzen×100/Ätz-Zeit (Minuten)] dargestellt.
Mit dem vorstehenden Reinigungsvorgang werden die Nieder­ schläge, die an den Innenwänden des Vakuum-Evakuationsrohrs 13 anhaften, z. B. CH3,8Si₂O1,7, durch die Reaktion, die durch CH3,8Si₂O1,7+ 8HF→CH₄ + 1,7H₂O + 2SiF₄ + 2,2H₂ ausgedrückt wird, entfernt. Auch werden die Niederschläge, die an der Innenwand der Quarzröhre 3 anhaften, z. B. SiO₂, durch die Reaktion, die durch 2SiO₂+ 4ClF₃ → SiF₄ + SiCl₄+ 4F₂+ 2O₂ ausgedrückt wird, entfernt. Zusätzlich werden die schädlichen Gase, das wasserfreie HF-Gas usw., die durch die vorstehenden Reaktionen erzeugt werden, durch die Sammeleinrichtung für schädliche Gase 50 gesammelt.
Wie vorstehend beschrieben, können die Niederschläge, die an den Innenwänden der Reaktionskammer und des Vakuum-Eva­ kuationsrohrs anhaften, beide entfernt werden, und der Rei­ nigungseffekt kann verstärkt werden, indem ClF₃-Gas zu dem wasserfreien HF-Gas hinzugefügt wird.
Ausführungsform 2
Fig. 2 ist ein Blockdiagramm eines Herstellungsgeräts für Halbleiter-Vorrichtungen, z. B. eines CVD-Geräts mit verrin­ gertem Druck, gemäß der Ausführungsform 2 der vorliegenden Erfindung. Wie aus der Figur ersichtlich ist, ist eine Ein­ richtung zur Verringerung des Drucks, z. B. eine Absaugvor­ richtung 26, parallel mit dem Vakuum-Evakuationsrohr 13 durch ein Ventil 25 verbunden. Fig. 3 zeigt den Aufbau in Querschnitt der Absaugvorrichtung 26 in einem vergrößertem Maßstab.
Ein Rohr 27 ist mit der Absaugvorrichtung 26 verbunden, und eine Stickstoffgas-Zuführquelle 29 ist mit dem Rohr 27 durch ein Ventil 28 verbunden. Die Flußrate des zu der Ab­ saugvorrichtung 26 zugeführten Stickstoffgases wird durch einen Durchflußmesser 30 und eine Regelvorrichtung 31 ge­ steuert. Eine weitere Sammeleinrichtung für schädliche Gase 50 zum Sammeln schädlicher Gase wie wasserfreiem HF-Gas ist flußabwärts der Absaugvorrichtung 26 angeordnet. Auch ist mit dem Rohr 27 ein Ventil 34 verbunden, um das Stickstoff- Gas von der Stickstoffgas-Zuführquelle 29 direkt zu der Ab­ saugvorrichtung 26 zuzuführen, ohne daß das Stickstoffgas durch den Durchflußmesser 30 durchgeleitet wird.
Sowohl die Quarzröhre 3 als auch das Vakuum-Evakuationsrohr 13 des CVD-Geräts mit verringertem Druck werden unter Verwendung der Einrichtung zur Druckverminderung wie folgt gereinigt.
Zuerst werden, um eine Vakuum-Bedingung in der Quarzröhre 3 durch die Rotationspumpe 14 zu schaffen, das Vakuum-Evakua­ tions-Hauptventil 15 und das Ventil 25 geschlossen, aber das Vakuum-Evakuations-Unterventil 16 wird geöffnet, wobei schrittweise Luft aus dem Inneren der Quarzröhre 3 bis zu einem Druck von 20 Torr abgepumpt wird. Sobald das Vakuum- Niveau in der Quarzröhre 3 den Druck 20 Torr überschreitet, wird das Vakuum-Evakuations-Hauptventil 15 auch geöffnet. Dann wird, sobald die Messung unter Verwendung des Vakuum- Messers 23 zeigt, daß das Vakuum-Niveau in der Quarzröhre 3 den Druck 10-3 Torr erreicht, das Vakuum-Evakuations-Haupt­ ventil 15 und das Vakuum-Evakuations-Unterventil 16 beide geschlossen, aber die Ventile 11, 32 werden beide geöffnet, so daß das Stickstoff-Gas von der Stickstoffgas-Zuführ­ quelle 9 in die Quarzröhre 3 eingeleitet wird. Während die­ ses Verfahrens bleiben das Ventil 33 und die Ventile 7, 21, 22 zum Einleiten der anderen Gase geschlossen.
Wenn der Druck in der Quarzröhre 3 den Wert 500 Torr er­ reicht, werden die Ventile 25, 28 geöffnet, wobei das Stickstoff-Gas von der Stickstoffgas-Zuführquelle 29 in das Rohr 27 eingeleitet werden, wobei die Absaugvorrichtung 26 so betrieben wird, daß sie den Druck in dem Quarzröhre 3 bei 500 Torr aufrecht erhält, während das Stickstoffgas durch die Quarzröhre 3 fließt. Gleichzeitig wird die Tempe­ ratur in der Quarzröhre 3 durch die Heizvorrichtung 14 bei 700°C gehalten.
Unter der Bedingung, daß der Druck des Stickstoff-Gases in der Quarzröhre 3 stabilisiert ist, nachdem das Stickstoff- Gas 20 Minuten lang kontinuierlich geflossen ist, während der Druck des Stickstoff-Gases bei 500 Torr gehalten wurde, werden die Ventile 21, 22 geöffnet, wobei wasserfreies HF- Gas und das ClF₃-Gas in die Quarzröhre 3 eingeleitet wer­ den, wodurch die Quarzröhre 3, der Vakuumflansch 12 und das Vakuum-Evakuationsrohr 13 gereinigt werden. Die Flußraten des wasserfreien HF-Gases und des ClF₃-Gases werden jeweils durch Massenfluß-Steuerungseinrichtungen 19, 20 gesteuert. Beispielsweise wird die Gesamt-Flußrate des wasserfreien HF-Gases und des ClF₃-Gases auf 1 l/Min. eingestellt, wo­ hingegen die Flußrate des Stickstoff-Gases auf 4 l/Min. eingestellt wird. Während der Reinigung liegt der Gesamt­ druck des Stickstoffgases, des wasserfreien HF-Gases und des ClF₃-Gases vorzugsweise in dem Bereich von 300 Torr bis 700 Torr, bevorzugter von 500 Torr bis 600 Torr, wie in Ausführungsform 1. Der Reinigungsvorgang wird beispiels­ weise ungefähr 2 Stunden lang fortgesetzt.
Mit dem vorstehenden Reinigungsvorgang werden die Nieder­ schläge, die an den Innenwänden der Quarzröhre 3 und des Vakuum-Evakuationsrohrs 13 anhaften, ähnlich wie bei Aus­ führungsform 1 entfernt. Ferner werden die erzeugten schäd­ lichen Gase durch die Sammeleinrichtung für schädliche Gase 50 gesammelt.
Nach dem Reinigen werden die Ventile 21, 22 geschlossen, um die Zufuhr des wasserfreien HF-Gases und des ClF₃-Gases zu beenden, aber das Ventil 11 bleibt offen, um die verblei­ benden Gase in der Quarzröhre 3 und dem Vakuum-Evakuations­ rohr 13 mit dem Stickstoff-Gas unter dem Druck von 500 Torr auszuspülen. Dann wird das Ventil 28 geschlossen, aber das Ventil 34 wird geöffnet. Das Stickstoff-Gas wird hierdurch wirksam direkt der Absaugvorrichtung 26 zugeführt, ohne daß es den Durchflußmesser 30 passiert, usw., so daß der Druck in der Quarzröhre 3 in einer kurzen Zeit bis auf 50 Torr reduziert wird. Danach wird das Ventil 34 unter Erhöhung des Drucks des Stickstoff-Gases in der Quarzröhre 3 ge­ schlossen. Wenn der Druck des Stickstoff-Gases 600 Torr er­ reicht, wird das Ventil 34 geöffnet, wobei der Druck in der Quarzröhre 3 wieder bis auf 50 Torr reduziert wird. Der vorstehende Vorgang ermöglicht, daß die verbleibenden Gase in der Quarzröhre 3 und dem Vakuum-Evakuationsrohr 13 so­ fort durch das Stickstoff-Gas ersetzt werden.
Darauffolgend werden die Ventile 11, 34 geschlossen, aber das Vakuum-Evakuations-Hauptventil 15 wird geöffnet, so daß der Druck in der Quarzröhre 3 bis auf 10-3 Torr durch die Rotationspumpe 14 reduziert wird. Nachdem diese Bedingung ungefähr 20 Min. lang aufrecht erhalten wurde, wird durch den Gassensor 24 bestätigt, daß der Gehalt des wasserfreien HF-Gases und des ClF₃-Gases nicht größer als 10 ppm ist. Dann wird das Vakuum-Evakuations-Hauptventil 15 geschlos­ sen, aber das Ventil 11 wird geöffnet, so daß Stickstoffgas in die Quarzröhre 3 eingeleitet wird, bis ein atmosphäri­ scher Druck erreicht ist.
Als nächstes wurde das Chargenverfahren zur Bildung von Oxidfilmen auf Silizium-Wafern 1 insgesamt viermal wieder­ holt, und die Anzahl der an den Oberflächen der Silizium- Wafer 1 anhaftenden Teilchen mit einer Größe von nicht we­ niger als 0,3 µm wurde für jedes Verfahren gemessen. Die Meßwerte sind in Fig. 4 gezeigt. Als die Oxidfilme auf den Silizium-Wafern ohne oder vor der Reinigung gebildet wur­ den, wurde die Anzahl der Teilchen auf 200 gezählt. Jedoch betrug, als die Oxidfilme auf den Silizium-Wafern 1 nach dem Reinigen gebildet wurden, die Anzahl der an dem Oxid­ film anhaftenden Teilchen 5 nach der ersten Bildung, 3 nach der zweiten Bildung und 4 nach der dritten Bildung. So war die Anzahl an Teilchen bemerkenswert reduziert. Dieses Er­ gebnis ist mit dem vergleichbar, das durch das herkömmliche Reinigungsverfahren unter Verwendung flüssiger Chemikalien erhalten wurde.
Ausführungsform 3
In dieser Ausführungsform wird der Fall beschrieben, daß nur das Vakuum-Evakuationsrohr 13 des CVD-Geräts mit ver­ ringertem Druck durch Verwendung der Vakuum-Evakuations- Einrichtung gereinigt wird.
Zuerst werden, unter Bezugnahme auf Fig. 1, die Ventile 15, 16 geschlossen, aber die Ventile 11, 33 werden geöff­ net, wobei das Stickstoff-Gas von der Stickstoffgas-Zuführ­ quelle 9 direkt in das Vakuum-Evakuationsrohr 13 eingelei­ tet wird. Zu dieser Zeit bleiben das Ventil 32 und die Ven­ tile 7, 21, 22 zum Einleiten der anderen Gase alle ge­ schlossen.
Wenn der Druck des Stickstoff-Gases in dem Vakuum-Evakuati­ onsrohr 13 den Wert 500 Torr erreicht, wird das Vakuum-Eva­ kuations-Unterventil 16 geöffnet, um eine Feineinstellung zu machen, so daß der Druck in dem Vakuum-Evakuationsrohr 13 durch die Rotationspumpe 14 bei 500 Torr aufrecht erhal­ ten wird, während das Stickstoff-Gas durch das Rohr 13 fließt. Die Druckeinstellung kann in Kombination mit einem Nadelventil oder einer weiteren Vakuumpumpe wie in Ausfüh­ rungsform 1 durchgeführt werden. Danach werden die Ventile 21, 22 geöffnet, so daß das wasserfreie HF-Gas und das ClF₃-Gas durch das Vakuum-Evakuationsrohr 13 fließen, wo­ durch das Vakuum-Evakuationsrohr 13 unter einem Druck von ungefähr 500 Torr gereinigt wird.
Nach der Reinigung werden die Ventile 21, 22 geschlossen, um die Zufuhr des wasserfreien HF-Gases und des ClF₃-Gases zu stoppen, und die verbleibenden Gase in dem Vakuum-Eva­ kuationsrohr 13 werden mit dem Stickstoff-Gas von der Stickstoffgas-Zuführquelle 9 unter dem Druck von 500 Torr ausgespült, wodurch der Reinigungsvorgang beendet wird. Die schädlichen Gase, die durch das Vakuum-Evakuationsrohr 13 fließen, werden durch die Sammeleinrichtung für schädliche Gase 50 gesammelt. Wie vorstehend beschrieben, kann das Va­ kuum-Evakuationsrohr 13, bei dem wahrscheinlicher ist, daß sich Niederschläge anhaften, vorrangig alleine gereinigt werden.
Ausführungsform 4
In dieser Ausführungsform wird der Fall beschrieben, daß nur das Vakuum-Evakuationsrohr 13 des CVD-Geräts mit ver­ ringertem Druck durch Verwendung der Einrichtung zur Ver­ ringerung des Drucks gereinigt wird.
Zuerst werden, unter Bezugnahme auf Fig. 2, die Ventile 15, 16, 25, 28 geschlossen, aber die Ventile 11, 33 werden geöffnet, wobei Stickstoff-Gas von der Stickstoffgas-Zu­ führquelle 9 direkt in das Vakuum-Evakuationsrohr 13 einge­ leitet werden. Zu dieser Zeit bleiben das Ventil 32 und die Ventile 7, 21, 22 zum Einleiten der anderen Gase alle ge­ schlossen.
Wenn der Druck des Stickstoff-Gases in dem Vakuum-Evakuati­ onsrohr 13 den Wert 500 Torr erreicht, werden die Ventile 25, 28 geöffnet, wobei das Stickstoff-Gas von der Stick­ stoffgas-Zuführquelle 29 in das Rohr 27 eingeleitet wird, wohingegen die Absaugvorrichtung 26 so betrieben wird, daß der Druck in dem Vakuum-Evakuationsrohr 13 beibehalten wird, während das Stickstoffgas durch das Rohr 13 fließt. Danach werden die Ventile 21, 22 geöffnet, so daß das was­ serfreie HF-Gas und das ClF₃-Gas durch das Vakuum-Evakuati­ onsrohr 13 fließen, wodurch das Vakuum-Evakuationsrohr 13 unter dem Druck von ungefähr 500 Torr gereinigt wird.
Nach der Reinigung werden die Ventile 21, 22 geschlossen, wobei die Zufuhr des wasserfreien HF-Gases und des ClF₃- Gases beendet werden, und die verbleibenden Gase in dem Va­ kuum-Evakuationsrohr 13 werden mit dem Stickstoff-Gas von der Stickstoffgas-Zuführquelle unter dem Druck von 500 Torr ausgespült, wodurch der Reinigungsvorgang beendet wird. Die durch das Vakuum-Evakuationsrohr 13 fließenden schädlichen Gase werden durch die Sammeleinrichtung für schädliche Gase 50 gesammelt. Wie vorstehend beschrieben, kann das Vakuum- Evakuationsrohr 13, bei dem es wahrscheinlicher ist, daß sich Niederschläge an ihm anhaften, vorrangig alleine gereinigt werden.
Ausführungsform 5
In den vorstehenden Ausführungsformen der Fig. 1 und 2 wird, da die Quarzröhre 3 länger als die Heizzone, an der die Heizvorrichtung 4 angeordnet ist, ist, die Temperatur in beiden Endbereichen der Quarzröhre 3 nahe der Vakuum­ flansche 8, 12 verringert, und es ist wahrscheinlicher, daß Niederschläge in diesen Endbereichen an der Innenwand der Rohre anhaften. Um solch einen Nachteil zu verhindern, kann, wie in Fig. 5 gezeigt, die Quarzröhre zur Verwendung in dem Herstellungsgeräts für Halbleiter-Vorrichtungen so modifiziert werden, daß Vakuumkammern 35 in ihren beiden Endbereichen gebildet sind.
Durch Ausbildung der Vakuumkammern 35 haben beide Endberei­ che einer sich ergebenden Quarzröhre 40 verbesserte thermi­ sche Isolierung, die wirkungsvoll ist, um eine höhere Tem­ peratur zu erhalten, und als ein Ergebnis kann das Anlagern der Niederschläge in dem möglichen Ausmaß verhindert wer­ den. Zusätzlich kann beispielsweise Quarzwolle 36 in die Vakuumkammern 35 gepackt werden, um die Wärmekapazität der Röhrenbereiche, umfassend die Vakuumkammern 35, zu erhöhen.
Gemäß der vorliegenden Erfindung wird, wie vorstehend be­ schrieben, ein Herstellungsgerät für Halbleiter-Vorrichtun­ gen erhalten, bei dem es nicht erforderlich ist, ein Va­ kuum-Evakuationsrohr 13 abzubauen und es separat zu reini­ gen, bei dem Niederschläge, die an Innenwänden einer Reak­ tionskammer und dem Vakuum-Evakuationsrohr anhaften, ent­ fernt werden können, so daß verhindert wird, daß Teilchen, die auf die Niederschläge zurückführbar sind, sich während der Bildung von Siliziumoxid-Filmen an Halbleiter-Wafern anlagern, und mit dem daher die Herstellung von Halbleiter- Vorrichtungen mit hoher Verläßlichkeit erfolgen kann.
Auch kann, da Einrichtungen zur Verringerung des Drucks zur Erzeugung einer vorbestimmten Bedingung von verringertem Druck in der Reaktionskammer und dem Vakuum-Evakuationsrohr in dem Herstellungsgerät für Halbleiter-Vorrichtungen zur Verfügung gestellt werden, ein Gasdruck in der Reaktions­ kammer oder dem Vakuum-Evakuationsrohr leicht eingestellt werden, wenn das Herstellungsgerät für Halbleiter-Vorrich­ tungen gereinigt wird. Zusätzlich können die verbleibenden Gase in der Reaktionskammer und dem Vakuum-Evakuationsrohr sofort mit Stickstoff-Gas gespült werden.
Ferner kann, da wasserfreies HF-Gas, Interhalogenverbin­ dungs-Gas und Träger-Gas in die Reaktionskammer zum Unter­ bringen von Halbleiter-Wafern oder das Vakuum-Evakuations­ rohr, das mit der Reaktionskammer verbunden ist, eingelei­ tet werden, wodurch durch reaktives Gas erzeugte und an den Innenwänden der Reaktionskammer oder des Vakuum-Evakuati­ onsrohrs anhaftende Niederschläge entfernt werden, das Va­ kuum-Evakuationsrohr, an dem es wahrscheinlicher ist, daß sich Niederschläge anlagern, vorrangig alleine gereinigt werden. Es ist so möglich, das Herstellungsgerät für Halb­ leiter-Vorrichtungen wirkungsvoll zu reinigen, Nieder­ schläge, die an der Innenwand der Reaktionskammer oder des Vakuum-Evakuationsrohrs anhaften, zu entfernen, so daß ver­ hindert wird, daß Teilchen, die auf Niederschläge zurück­ führbar sind, während der Bildung des Siliziumoxid-Films an Halbleiter-Wafern anhaften, und Halbleiter-Vorrichtungen mit hoher Verläßlichkeit herzustellen.
Darüber hinaus kann mit dem vorliegenden Verfahren zur Rei­ nigung des Herstellungsgeräts für Halbleiter-Vorrichtungen, da das wasserfreie HF-Gas, das Interhalogenverbindungs-Gas und das Träger-Gas in die Reaktionskammer oder das Vakuum- Evakuationsrohr unter einem Druck im Bereich von 300 bis 700 Torr eingeleitet werden, eine Ätz-Rate der an der In­ nenwand der Reaktionskammer oder des Vakuum-Evakuations­ rohrs anhaftenden Niederschläge erhöht werden, wobei der Reinigungsvorgang sofort ausgeführt wird.
In einem Herstellungsgerät für Halbleiter-Vorrichtungen zur Bildung von Siliziumoxid-Filmen durch das CVD-Verfahren mit verringertem Druck werden wasserfreies HF-Gas und Interha­ logenverbindungs-Gas zusammen mit Trägergas in eine Reakti­ onskammer oder in ein Vakuum-Evakuationsrohr eingeleitet. Das wasserfreie HF-Gas und das Interhalogenverbindungs-Gas reagieren mit Niederschlägen, die an der Innenwand der Re­ aktionskammer oder des Vakuum-Evakuationsrohrs anhaften, so daß die Niederschläge in Gase zersetzt und entfernt werden. Es wird verhindert, daß Teilchen, die auf die Niederschläge zurückzuführen sind, sich an Halbleiter-Wafern anlagern.

Claims (4)

1. Herstellungsgerät für Halbleiter-Vorrichtungen, umfas­ send:
eine Reaktionskammer zum Unterbringen von Halbleiter- Wafern,
Einleitungseinrichtungen für reaktive Gase, die mit der Reaktionskammer verbunden sind, zum Einleiten reaktiver Gase in die Reaktionskammer,
ein Vakuum-Evakuationsrohr, das mit der Reaktionskam­ mer verbunden ist,
Vakuum-Evakuationseinrichtungen, die mit dem Vakuum- Evakuationsrohr verbunden sind, zum Evakuieren der Reakti­ onskammer und des Vakuum-Evakuationsrohrs,
Einleitungseinrichtungen für wasserfreies HF-Gas, die mit der Reaktionskammer und/oder dem Vakuum-Evakuationsrohr verbunden sind, zum Einleiten von wasserfreiem HF-Gas in die Reaktionskammer oder das Vakuum-Evakuationsrohr,
Einleitungseinrichtungen für Interhalogenverbindungs- Gase, die mit der Reaktionskammer und/oder dem Vakuum-Eva­ kuationsrohr verbunden sind, zum Einleiten von Interhalo­ genverbindungs-Gasen in die Reaktionskammer oder das Va­ kuum-Evakuationsrohr, und
Einleitungseinrichtungen für Trägergase, die mit der Reaktionskammer und/oder dem Vakuum-Evakuationsrohr verbun­ den sind, zum Einleiten von Trägergasen in die Reaktions­ kammer oder das Vakuum-Evakuationsrohr.
2. Gerät nach Anspruch 1, wobei eine Einrichtung zur Ver­ ringerung des Drucks zum Erzeugen einer vorbestimmten Be­ dingung von verringertem Druck in der Reaktionskammer und dem Vakuum-Evakuationsrohr parallel zu dem Vakuum-Evakuati­ onsrohr angeordnet ist.
3. Verfahren zur Reinigung eines Herstellungsgeräts für Halbleiter-Vorrichtungen, wobei wasserfreies HF-Gas, Inter­ halogenverbindungs-Gas und Trägergas in eine Reaktionskam­ mer zum Unterbringen von Halbleiter-Wafern oder in ein Va­ kuum-Evakuationsrohr, das mit der Reaktionskammer verbunden ist, eingeleitet werden, wodurch Niederschläge entfernt werden, die durch reaktives Gas erzeugt wurden und an einer Innenwand der Reaktionskammer oder des Vakuum-Evakuations­ rohrs anhaften.
4. Verfahren nach Anspruch 3, wobei das wasserfreie HF-Gas, das Interhalogenverbindungs-Gas und das Träger-Gas in die Reaktionskammer oder das Vakuum-Evakuationsrohr unter einem Druck im Bereich von 300 Torr bis 700 Torr eingeleitet wer­ den.
DE4417205A 1993-05-18 1994-05-17 Herstellungsgerät für Halbleiter-Vorrichtungen und Reinigungsverfahren für das Gerät Expired - Fee Related DE4417205C2 (de)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP5115898A JPH06330323A (ja) 1993-05-18 1993-05-18 半導体装置製造装置及びそのクリーニング方法

Publications (2)

Publication Number Publication Date
DE4417205A1 true DE4417205A1 (de) 1994-11-24
DE4417205C2 DE4417205C2 (de) 1998-10-08

Family

ID=14673938

Family Applications (1)

Application Number Title Priority Date Filing Date
DE4417205A Expired - Fee Related DE4417205C2 (de) 1993-05-18 1994-05-17 Herstellungsgerät für Halbleiter-Vorrichtungen und Reinigungsverfahren für das Gerät

Country Status (3)

Country Link
US (1) US5584963A (de)
JP (1) JPH06330323A (de)
DE (1) DE4417205C2 (de)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0638923A2 (de) * 1993-07-30 1995-02-15 Applied Materials, Inc. Niedertemperaturreinigung von Kaltwand-CVD-Einrichtungen
EP0819779A1 (de) * 1996-07-15 1998-01-21 The BOC Group plc Verfahren zum Entfernen von Schadstoffen aus Abgasen
US5914000A (en) * 1996-02-06 1999-06-22 Mitsubishi Denki Kabushiki Kaisha Apparatus for manufacturing semiconductor device and method of removing silicon oxidation film
WO2021110631A1 (de) * 2019-12-03 2021-06-10 Robert Bosch Gmbh Vorrichtung und verfahren zum bearbeiten mindestens eines halbleitersubstrats

Families Citing this family (206)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5855677A (en) * 1994-09-30 1999-01-05 Applied Materials, Inc. Method and apparatus for controlling the temperature of reaction chamber walls
US5783495A (en) 1995-11-13 1998-07-21 Micron Technology, Inc. Method of wafer cleaning, and system and cleaning solution regarding same
JPH09202973A (ja) * 1996-01-24 1997-08-05 Tokyo Electron Ltd 成膜処理装置の排気システム構造
JP3400293B2 (ja) * 1996-05-01 2003-04-28 株式会社東芝 Cvd装置及びそのクリーニング方法
US6156107A (en) * 1996-11-13 2000-12-05 Tokyo Electron Limited Trap apparatus
JP3991375B2 (ja) * 1996-11-13 2007-10-17 東京エレクトロン株式会社 トラップ装置
US6095158A (en) * 1997-02-06 2000-08-01 Lam Research Corporation Anhydrous HF in-situ cleaning process of semiconductor processing chambers
US5849092A (en) * 1997-02-25 1998-12-15 Applied Materials, Inc. Process for chlorine trifluoride chamber cleaning
JPH10306377A (ja) * 1997-05-02 1998-11-17 Tokyo Electron Ltd 微量ガス供給方法及びその装置
US5972078A (en) * 1997-07-31 1999-10-26 Fsi International, Inc. Exhaust rinse manifold for use with a coating apparatus
US6780464B2 (en) 1997-08-11 2004-08-24 Torrex Equipment Thermal gradient enhanced CVD deposition at low pressure
US7393561B2 (en) 1997-08-11 2008-07-01 Applied Materials, Inc. Method and apparatus for layer by layer deposition of thin films
US6321680B2 (en) * 1997-08-11 2001-11-27 Torrex Equipment Corporation Vertical plasma enhanced process apparatus and method
US6352594B2 (en) * 1997-08-11 2002-03-05 Torrex Method and apparatus for improved chemical vapor deposition processes using tunable temperature controlled gas injectors
KR100253089B1 (ko) * 1997-10-29 2000-05-01 윤종용 반도체소자 제조용 화학기상증착장치 및 이의 구동방법, 그 공정챔버 세정공정 레시피 최적화방법
US5900047A (en) * 1997-11-26 1999-05-04 Sony Corporation Exhaust system for a semiconductor etcher that utilizes corrosive gas
US6107198A (en) * 1998-03-26 2000-08-22 Vanguard International Semiconductor Corporation Ammonium chloride vaporizer cold trap
US6156154A (en) * 1998-06-24 2000-12-05 Seagate Technology, Inc. Apparatus for etching discs and pallets prior to sputter deposition
KR100572305B1 (ko) * 1998-11-23 2006-09-27 삼성전자주식회사 반도체제조설비
US6383300B1 (en) * 1998-11-27 2002-05-07 Tokyo Electron Ltd. Heat treatment apparatus and cleaning method of the same
US6186154B1 (en) 1998-12-07 2001-02-13 Taiwan Semiconductor Manufacturing Company Find end point of CLF3 clean by pressure change
US6740247B1 (en) 1999-02-05 2004-05-25 Massachusetts Institute Of Technology HF vapor phase wafer cleaning and oxide etching
US6117213A (en) * 1999-05-07 2000-09-12 Cbl Technologies, Inc. Particle trap apparatus and methods
DE60238752D1 (de) * 2001-03-29 2011-02-10 Toyota Chuo Kenkyusho Kk Ein verfahren zum erzeugen einer hohlen struktur aus einer silizium-struktur
KR100431657B1 (ko) * 2001-09-25 2004-05-17 삼성전자주식회사 웨이퍼의 처리 방법 및 처리 장치, 그리고 웨이퍼의 식각방법 및 식각 장치
US7013091B2 (en) * 2002-01-16 2006-03-14 Pts Corporation Synchronization of pulse and data sources
JP3985899B2 (ja) * 2002-03-28 2007-10-03 株式会社日立国際電気 基板処理装置
US20050082002A1 (en) * 2003-08-29 2005-04-21 Yuusuke Sato Method of cleaning a film-forming apparatus and film-forming apparatus
US20070151668A1 (en) 2006-01-04 2007-07-05 Tokyo Electron Limited Gas supply system, substrate processing apparatus, and gas supply method
US7663747B2 (en) * 2006-04-27 2010-02-16 Metrosol, Inc. Contamination monitoring and control techniques for use with an optical metrology instrument
US7622310B2 (en) * 2006-04-27 2009-11-24 Metrosol, Inc. Contamination monitoring and control techniques for use with an optical metrology instrument
US20090233007A1 (en) * 2008-03-17 2009-09-17 Nanopv Technologies Inc. Chemical vapor deposition reactor and method
US20090229664A1 (en) * 2008-03-17 2009-09-17 Nanopv Technologies Inc. Method of manufacturing nanocrystalline photovoltaic devices
US20090229663A1 (en) * 2008-03-17 2009-09-17 Nanopv Technologies Inc. Nanocrystalline photovoltaic device
US20110108128A1 (en) * 2008-07-04 2011-05-12 Katsushi Kishimoto Vacuum treatment apparatus and gas supply method
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
JP6552206B2 (ja) * 2015-02-02 2019-07-31 東京エレクトロン株式会社 排気管無害化方法及び成膜装置
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
CN104707494A (zh) * 2015-03-30 2015-06-17 上海纳诺巴伯纳米科技有限公司 一种超饱和氢气溶液的制备装置及其制备方法
JP5885870B2 (ja) * 2015-04-06 2016-03-16 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、プログラム及び記録媒体
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11447861B2 (en) * 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190002318A (ko) * 2017-06-29 2019-01-08 가부시키가이샤 에바라 세이사꾸쇼 배기계 설비 시스템
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
JP7436253B2 (ja) * 2020-03-23 2024-02-21 株式会社Screenホールディングス 熱処理方法および熱処理装置
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DD209485A1 (de) * 1982-09-16 1984-05-09 Mikroelektronik Zt Forsch Tech Verfahren zum reinigen elektrisch leitfaehiger reaktionsrohre von cvd-anlagen
JPS60220138A (ja) * 1984-04-13 1985-11-02 Fuji Electric Corp Res & Dev Ltd 反応装置の清掃方法
JPS621873A (ja) * 1985-06-28 1987-01-07 Canon Inc 堆積膜形成装置の洗浄方法
JPS63176475A (ja) * 1987-01-16 1988-07-20 Nec Corp Cvd装置清掃方法
JPS6417857A (en) * 1987-07-13 1989-01-20 Central Glass Co Ltd Cleaning gas containing chlorine fluoride
JPH01116080A (ja) * 1987-10-29 1989-05-09 Nippon Sanso Kk 清掃室を具備した光励起気相成長装置
JPH01158722A (ja) * 1987-12-15 1989-06-21 Mitsubishi Electric Corp 化学気相成長装置
JPH0394059A (ja) * 1989-09-05 1991-04-18 Dainippon Screen Mfg Co Ltd 金属酸化薄膜形成方法およびその装置
JPH04245627A (ja) * 1991-01-31 1992-09-02 Tokyo Electron Ltd クリーニング方法

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE209485C (de) *
US4749440A (en) * 1985-08-28 1988-06-07 Fsi Corporation Gaseous process and apparatus for removing films from substrates
JP2896268B2 (ja) * 1992-05-22 1999-05-31 三菱電機株式会社 半導体基板の表面処理装置及びその制御方法

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DD209485A1 (de) * 1982-09-16 1984-05-09 Mikroelektronik Zt Forsch Tech Verfahren zum reinigen elektrisch leitfaehiger reaktionsrohre von cvd-anlagen
JPS60220138A (ja) * 1984-04-13 1985-11-02 Fuji Electric Corp Res & Dev Ltd 反応装置の清掃方法
JPS621873A (ja) * 1985-06-28 1987-01-07 Canon Inc 堆積膜形成装置の洗浄方法
JPS63176475A (ja) * 1987-01-16 1988-07-20 Nec Corp Cvd装置清掃方法
JPS6417857A (en) * 1987-07-13 1989-01-20 Central Glass Co Ltd Cleaning gas containing chlorine fluoride
JPH01116080A (ja) * 1987-10-29 1989-05-09 Nippon Sanso Kk 清掃室を具備した光励起気相成長装置
JPH01158722A (ja) * 1987-12-15 1989-06-21 Mitsubishi Electric Corp 化学気相成長装置
JPH0394059A (ja) * 1989-09-05 1991-04-18 Dainippon Screen Mfg Co Ltd 金属酸化薄膜形成方法およびその装置
JPH04245627A (ja) * 1991-01-31 1992-09-02 Tokyo Electron Ltd クリーニング方法
US5294262A (en) * 1991-01-31 1994-03-15 Tokyo Electron Limited Method of cleaning a process tube with ClF3 gas and controlling the temperature of process

Non-Patent Citations (7)

* Cited by examiner, † Cited by third party
Title
Derwent-Abstract, STN, file WPIDS, AN 84-219882, & DD 209485 A1 *
Patent Abstracts of Japan, C-336, Vol. 10, No. 85, 4.4.1986, & JP 60-220138 A *
Patent Abstracts of Japan, C-426, Vol. 11, No. 176, 5.6.1987, & JP 62-001873 A *
Patent Abstracts of Japan, C-547, Vol. 12, No. 450, 25.11.1988, & JP 63-176475 A *
Patent Abstracts of Japan, C-624, Vol. 13, No. 339, 31.7.1989, & JP 01-116080 A *
Patent Abstracts of Japan, C-849, Vol. 15, No. 275, 12.7.1991, & JP 03-094059 A *
Patent Abstracts of Japan, E-823, Vol. 13, No. 429, 25.9.1989, & JP 01-158722 A *

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0638923A2 (de) * 1993-07-30 1995-02-15 Applied Materials, Inc. Niedertemperaturreinigung von Kaltwand-CVD-Einrichtungen
EP0638923A3 (de) * 1993-07-30 1995-11-08 Applied Materials Inc Niedertemperaturreinigung von Kaltwand-CVD-Einrichtungen.
US5914000A (en) * 1996-02-06 1999-06-22 Mitsubishi Denki Kabushiki Kaisha Apparatus for manufacturing semiconductor device and method of removing silicon oxidation film
EP0819779A1 (de) * 1996-07-15 1998-01-21 The BOC Group plc Verfahren zum Entfernen von Schadstoffen aus Abgasen
US5925167A (en) * 1996-07-15 1999-07-20 The Boc Group Plc Processes for the scrubbing of noxious substances
WO2021110631A1 (de) * 2019-12-03 2021-06-10 Robert Bosch Gmbh Vorrichtung und verfahren zum bearbeiten mindestens eines halbleitersubstrats

Also Published As

Publication number Publication date
US5584963A (en) 1996-12-17
JPH06330323A (ja) 1994-11-29
DE4417205C2 (de) 1998-10-08

Similar Documents

Publication Publication Date Title
DE4417205C2 (de) Herstellungsgerät für Halbleiter-Vorrichtungen und Reinigungsverfahren für das Gerät
DE19832566C2 (de) Niederdruck-CVD-Vorrichtung für die Herstellung von Halbleiterbauelementen, ihr Betriebsverfahren und Optimierungsverfahren eines Reinigungsverfahrens für eine Prozesskammer
DE60037213T2 (de) Verwendung von Reinigungsgas
DE10042881B4 (de) CVD-Einrichtung zum Bilden eines Halbleiterfilms auf einem Wafer und Verfahren zum Beurteilen von Wartungszeiten einer CVD- Einrichtung
DE4209162C2 (de) Verfahren und Vorrichtung zur Erzeugung von hyperfeinen, gefrorenen Partikeln mittels Laminarströmung
DE2110289A1 (de) Reaktor und Verfahren zur Herstellung einer Halbleiteranordnung mit Hilfe dieses Reaktors
DE2437695B2 (de) Verfahren zur Reinigung von Fluorkomponenten enthaltendem Ofenabgas
DE3220683A1 (de) Verfahren und vorrichtung zur herstellung einer amorphen siliciumschicht
DE102013104105A1 (de) MOCVD-Schichtwachstumsverfahren mit nachfolgendem mehrstufigen Reinigungsschritt
DE2523067B2 (de) Verfahren zum Aufwachsen einer epitaktischen Silicium-Schicht
DE69825848T2 (de) Verfahren zur erzeugung von wasser für die halbleiterherstellung
DE69908800T2 (de) Kontinuierliches oxidationsverfahren für eine kristallziehungsvorrichtung
DE102013214799A1 (de) Verfahren zur Herstellung von polykristallinem Silicium
DE2503763A1 (de) Verfahren zur bildung einer korrosionsverhuetenden, oxidischen schutzschicht auf staehlen, insbesondere maragingstaehlen
DE19610322B4 (de) Verfahren zur Passivierungsbehandlung eines Rohrleitungssystems für hochreines Gas und seine Verwendung
DE102013101706A1 (de) CVD-Vorrichtung sowie Verfahren zum Reinigen einer Prozesskammer einer CVD-Vorrichtung
DE96062T1 (de) Nicht-fluchtige halbleiterspeicheranordnung und verfahren zur herstellung.
DE4021968C2 (de)
DE3035379A1 (de) Verfahren zum reinigen eines reaktors
DE102004062355A1 (de) Verfahren zum Behandeln einer Halbleiterscheibe mit einem gasförmigen Medium sowie damit behandelte Halbleiterscheibe
DE1267202B (de) Verfahren zur Herstellung von Nitriden des Urans oder Plutoniums
DE2558387A1 (de) Verfahren und vorrichtung zur herstellung von polykristallinem silicium
WO2012031913A1 (de) Fluid-kreislauf für eine kraftwerksanlage und verfahren zum chemischen reinigen eines fluid-kreislaufs
DE3625597A1 (de) Aetzmittel zum entfernen abgeschiedener materialien von geraeten und zubehoer zur chemischen dampfabscheidung und reinigungsverfahren fuer diese gegenstaende
DE10010016C1 (de) Vorrichtung und Verfahren zur plasmagestützten Oberflächenbehandlung von Substraten im Vakuum

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
D2 Grant after examination
8364 No opposition during term of opposition
8339 Ceased/non-payment of the annual fee