CN1643178A - 用于半导体工艺设备中的低污染部件及其制造方法 - Google Patents

用于半导体工艺设备中的低污染部件及其制造方法 Download PDF

Info

Publication number
CN1643178A
CN1643178A CNA038065916A CN03806591A CN1643178A CN 1643178 A CN1643178 A CN 1643178A CN A038065916 A CNA038065916 A CN A038065916A CN 03806591 A CN03806591 A CN 03806591A CN 1643178 A CN1643178 A CN 1643178A
Authority
CN
China
Prior art keywords
parts
stupalith
boride
dysprosium
carbide
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA038065916A
Other languages
English (en)
Other versions
CN100357489C (zh
Inventor
R·J·欧丹奈尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN1643178A publication Critical patent/CN1643178A/zh
Application granted granted Critical
Publication of CN100357489C publication Critical patent/CN100357489C/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32495Means for protecting the vessel against plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/564Means for minimising impurities in the coating chamber such as dust, moisture, residual gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/04Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material
    • C23C28/042Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material including a refractory ceramic layer, e.g. refractory metal oxides, ZrO2, rare earth oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/04Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material
    • C23C28/044Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material coatings specially adapted for cutting tools or wear applications
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/30Coatings combining at least one metallic layer and at least one inorganic non-metallic layer
    • C23C28/32Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one pure metallic layer
    • C23C28/322Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one pure metallic layer only coatings of metal elements only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/30Coatings combining at least one metallic layer and at least one inorganic non-metallic layer
    • C23C28/34Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one inorganic non-metallic material layer, e.g. metal carbide, nitride, boride, silicide layer and their mixtures, enamels, phosphates and sulphates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/30Coatings combining at least one metallic layer and at least one inorganic non-metallic layer
    • C23C28/34Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one inorganic non-metallic material layer, e.g. metal carbide, nitride, boride, silicide layer and their mixtures, enamels, phosphates and sulphates
    • C23C28/341Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one inorganic non-metallic material layer, e.g. metal carbide, nitride, boride, silicide layer and their mixtures, enamels, phosphates and sulphates with at least one carbide layer
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/30Coatings combining at least one metallic layer and at least one inorganic non-metallic layer
    • C23C28/34Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one inorganic non-metallic material layer, e.g. metal carbide, nitride, boride, silicide layer and their mixtures, enamels, phosphates and sulphates
    • C23C28/345Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one inorganic non-metallic material layer, e.g. metal carbide, nitride, boride, silicide layer and their mixtures, enamels, phosphates and sulphates with at least one oxide layer
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/30Coatings combining at least one metallic layer and at least one inorganic non-metallic layer
    • C23C28/34Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one inorganic non-metallic material layer, e.g. metal carbide, nitride, boride, silicide layer and their mixtures, enamels, phosphates and sulphates
    • C23C28/345Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one inorganic non-metallic material layer, e.g. metal carbide, nitride, boride, silicide layer and their mixtures, enamels, phosphates and sulphates with at least one oxide layer
    • C23C28/3455Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one inorganic non-metallic material layer, e.g. metal carbide, nitride, boride, silicide layer and their mixtures, enamels, phosphates and sulphates with at least one oxide layer with a refractory ceramic layer, e.g. refractory metal oxide, ZrO2, rare earth oxides or a thermal barrier system comprising at least one refractory oxide layer
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/30Coatings combining at least one metallic layer and at least one inorganic non-metallic layer
    • C23C28/34Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one inorganic non-metallic material layer, e.g. metal carbide, nitride, boride, silicide layer and their mixtures, enamels, phosphates and sulphates
    • C23C28/347Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one inorganic non-metallic material layer, e.g. metal carbide, nitride, boride, silicide layer and their mixtures, enamels, phosphates and sulphates with layers adapted for cutting tools or wear applications
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C30/00Coating with metallic material characterised only by the composition of the metallic material, i.e. not characterised by the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/02Pretreatment of the material to be coated, e.g. for coating on selected surface areas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/04Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the coating material
    • C23C4/10Oxides, borides, carbides, nitrides or silicides; Mixtures thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/26Web or sheet containing structurally defined element or component, the element or component having a specified physical dimension
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/26Web or sheet containing structurally defined element or component, the element or component having a specified physical dimension
    • Y10T428/263Coating layer not in excess of 5 mils thick or equivalent
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/26Web or sheet containing structurally defined element or component, the element or component having a specified physical dimension
    • Y10T428/263Coating layer not in excess of 5 mils thick or equivalent
    • Y10T428/264Up to 3 mils
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/26Web or sheet containing structurally defined element or component, the element or component having a specified physical dimension
    • Y10T428/263Coating layer not in excess of 5 mils thick or equivalent
    • Y10T428/264Up to 3 mils
    • Y10T428/2651 mil or less

Abstract

至少局部由抗侵蚀,抗腐蚀和/或者抗侵腐蚀的陶瓷材料制成的半导体工艺设备的部件。示例的陶瓷材料可以包括铪,锶,镧和/或镝的至少一种氧化物,氮化物,硼化物,碳化物和/或者氟化物。这些陶瓷材料可以涂在衬底上作涂层,制成复合部件,或者制成单独个体。这些涂层可以保护衬底免受物理或化学的冲击。这些陶瓷材料可以用来制备暴露在等离子体中的半导体工艺设备的部件,以延长使用寿命。

Description

用于半导体工艺设备中的低污染部件及其制造方法
发明背景
1.发明领域
本发明涉及半导体材料工艺设备的部件。这些部件由可以在半导体材料工艺中减少污染的材料制成。本发明还涉及这些部件的制造方法。
2.相关技术描述
在半导体材料工艺领域中,使用真空工艺腔来在衬底上进行材料的刻蚀和化学气相淀积(CVD),将工艺气体供入工艺腔中,并同时对这些工艺气体施加一个射频(RF)场将其激发成等离子体。等离子体在晶片上对选好的材料进行所希望的刻蚀或淀积。在专利号为4340462,4948458,5200232和5820723的共同所有的US专利中公开了平行板式变压耦合等离子体(TCPTM),也叫做电感耦合等离子体(ICP),和电子回旋共振(ECR)反应器及其部件的例子。
在半导体衬底的处理过程中,一般用衬底夹具将衬底固定在真空腔中,例如像专利号为5262029和5838529的US专利中所公开的那样。可以通过各种气体供应方式将工艺气体供入腔室中。
除了等离子体腔室设备外,在半导体衬底加工中使用的其它设备包括传送机构,衬里,起落机构,加载锁,室门机构,机械臂,紧固件及其它类似的设备。
等离子体用来通过刻蚀去除材料,或者在衬底上淀积材料。等离子体刻蚀条件对暴露在等离子体中的工艺腔的表面产生强烈的离子轰击。这种离子轰击,结合等离子体的化学物质以及/或者刻蚀产物,会对暴露在等离子体中的工艺腔的表面产生强烈的侵蚀,腐蚀以及侵腐蚀。结果,表面的材料被物理的和/或化学的攻击,包括侵蚀,腐蚀以及/或者侵腐蚀去除。这些攻击引起的问题包括部件寿命变短,消耗成本变大,微粒污染,晶片上过渡金属污染和工艺漂移。
考虑到这些问题,等离子体工艺腔已经被设计成包括部件,例如:圆盘,圆环和圆筒,其将等离子体限定在要加工的晶片之上。然而,这些部件受到等离子体的连续攻击,结果,最终被侵蚀或者聚集了聚合物堆积。最终,这些部件遭受磨损,以致于不能再使用。那些相对短寿命的部件通常叫做“耗材”。如果耗材部件的寿命短,那么所有权的成本就高。这些耗材和其它部件的侵蚀在等离子体工艺腔中产生污染。
由于在这些反应器中,等离子体环境的侵蚀和腐蚀的本性,需要使颗粒以及/或者金属的污染最小化,希望这些设备的部件,包括耗材和其它部件,具有适用的高抗侵腐蚀性。已知已有用铝基材料制成的部件。然而,在等离子体中产生的高离子轰击会对这些材料产生侵蚀和腐蚀,造成难以令人满意的污染(例如微粒污染和金属杂质污染)。
考虑到处理半导体材料对高纯度的需要,需要有由可以提供好的抗物理和化学攻击性的材料组成的半导体工艺设备的部件,以使在半导体材料的加工过程中相关的污染最小化,这些攻击包括侵蚀,腐蚀和/或侵腐蚀。可以提高设备部件的使用寿命并因而减小设备的停机时间的材料,将有助于降低处理半导体材料的成本。
发明简述
本发明通过提供由能够针对等离子体工艺环境中的侵蚀,腐蚀和/或者侵腐蚀提供好的抗磨损性的陶瓷材料组成的半导体工艺设备的部件,能够满足上述需要,还有其它需要。这些部件可以提供低的金属和微粒污染。
本发明的一个示例实施方案,在半导体材料加工设备中用到的部件中,陶瓷材料可以在基底的表面上用作涂层。例如,所述部件可用在等离子体工艺腔中。当在工艺过程中暴露在等离子体中时,涂层部件可以对侵蚀,腐蚀和/或侵腐蚀提供好的抵抗性。
本发明的另一个示例实施方案,这些部件可以是完全由保护材料制成的体部件。即,这些部件是整体式的。
依据本发明来制造半导体工艺设备部件的方法的一个示例实施方案包括至少该设备的一个部件的一部分由陶瓷材料制成。这部分包括该部件的一个最外表面。这些陶瓷材料包括(i)锶,镧和镝的(ii)至少一种氧化物,氮化物,硼化物,碳化物和/或氟化物,以及/或者铪的至少一种氮化物,硼化物,碳化物和/或氟化物。优选的,该陶瓷材料包括氧化锶,氧化镝和氧化镧中的一种作陶瓷材料涂层的单一最大成分。这种陶瓷材料可以用作涂层,或者制成一个单独的个体。
本发明的另一个示例实施方案包括在半导体工艺设备的含金属或者聚合物的表面上涂覆一层陶瓷材料的涂层。该陶瓷材料包括氧化铪,氮化铪,硼化铪,碳化铪或者氟化铪作陶瓷材料涂层的单一最大成分。
依据本发明的方法的其它示例实施方案包括以单独个体的形式制造半导体工艺设备的部件。该部件包括氧化铪,氮化铪,硼化铪,碳化铪或者氟化铪作为单一最大成分。
依据本发明来制造半导体工艺设备部件的方法的一个示例实施方案包括准备一种浆料,其中包括(i)锶,镧和镝的(ii)至少一种氧化物,氮化物,硼化物,碳化物和/或氟化物,以及/或者铪的至少一种氮化物,硼化物,碳化物和/或氟化物作单一最大成分;用这种浆料制成所希望形状的生坯;将此生坯烧结成部件。这种陶瓷材料优选的包括氧化铪,氧化锶,氧化镝和氧化镧中的至少一种作单一最大成分。这些工艺可以用来制造单独一体的部件。
依据本发明的半导体工艺设备部件的一个示例实施方案包括至少有一部分包括一种陶瓷材料。该部分包括此部件的一个最外表面。这种陶瓷材料包括(i)锶,镧和镝的(ii)至少一种氧化物,氮化物,硼化物,碳化物和/或氟化物以及/或者铪的至少一种氮化物,硼化物,碳化物和/或氟化物作单一最大成分。
依据本发明的半导体工艺设备部件的另一个示例实施方案包括一个具有一个含金属或者聚合物的表面的基底;并在该表面上有一个陶瓷材料的涂层,其中,该陶瓷材料包括氧化铪,氮化铪,硼化铪,碳化铪或者氟化铪作这种陶瓷材料涂层的单一最大成分。
依据本发明的半导体工艺设备部件的另一个示例实施方案包括一个单独体,其包括氧化铪,氮化铪,硼化铪,碳化铪或者氟化铪作单一最大成分。
本发明还提供其中包括至少一种上述部件来提供抗磨损性的半导体工艺设备。
附图简述
下面,结合附图对本发明进行的详细描述,将使本发明容易理解:
图1所示是一种传统的等离子体喷涂工艺;
图2所示是依据本发明的一个示例实施方案的等离子体刻蚀设备的导气环(gas ring)的剖面图;
图3是一个包含依据本发明的实施例部件的刻蚀腔;
图4是另一个包含依据本发明的实施例部件的刻蚀腔;
图5是依据本发明的保护性陶瓷涂层的一个实施例;
图6是依据本发明的保护性陶瓷涂层的另一个实施例;
图7是依据本发明的单独体部件的一个实施例。
优选实施方案详述
本发明提供针对半导体材料加工设备中所生成的等离子体引起的物理和化学攻击,具有抗磨损性能的部件。如这里所用到的,“抗磨损”一词包括,但不限于,抗侵蚀,腐蚀和/或侵腐蚀。这些部件由抗磨损的陶瓷材料组成。
在一些示例实施方案中,这些部件包括在基底上制成的抗侵蚀的陶瓷材料涂层。例如,这些部件包括基底以及在这些基底上制成的一种或者多种抗侵蚀的陶瓷涂层。这些涂层抗侵蚀,由于是非金属材料,也抗腐蚀和/或侵腐蚀。
在本发明的其它示例实施方案,这些部件可以基本上完全由抗磨损的陶瓷材料制成。例如这些部件可以是半导体材料加工设备的体部件。
依据本发明,由抗磨损的陶瓷材料组成的部件可以是加工半导体的设备的部件。
本发明还提供包括一种或者多种至少局部由抗磨损的材料组成的部件的半导体工艺设备。
另外,本发明提供制造至少部分由抗磨损材料制成的部件的方法。
如上所述,本发明可用于任何适用类型的部件。本发明对半导体材料加工设备的部件表面提供有效的抗磨损性。本领域技术人员将明白,依据本发明的抗磨损材料可以用到用于处理不同半导体材料的各种工艺设备上。另外,这些抗磨损的材料可以用到工艺设备的不同部件上。这样的示例部件包括,但不限于,等离子体腔和/或者真空腔的部件,例如腔壁,衬底支撑,气体分布系统包括喷头,折流板,套环,喷嘴等,紧固件,加热元件,等离子体屏,衬里,传输模块部件,例如:机械臂,紧固件,内外腔壁等,以及其它相似的部件。
依据本发明,抗磨损的材料可以包括铪,锶,镝和镧中的至少一种。这些元素具有相对较大的分子量,并且相对于典型的刻蚀化学剂是相对惰性的,这被认为可以在等离子体环境中使侵蚀降低。优选的,抗磨损的材料包括氧化铪,氧化锶,氧化镝或者氧化镧中的一种作该陶瓷材料的单一最大组分。这种陶瓷材料的示例实施方案可以包括这些氧化物中的任何一种或者多种。在这些陶瓷材料中可以包括的其它组分在下面进行详细的描述。
依据本发明含有铪的陶瓷材料优选的包含氧化铪(hafnia)作单一最大组分。在一些实施方案中,含铪的陶瓷材料可以基本全由氧化铪构成。含铪的陶瓷材料还可以包括其它的除了氧化物之外的含铪陶瓷材料,包括,但不限于,至少一种硼化铪,氟化铪,氮化铪和/或碳化铪,或者它们的混和物。
依据本发明,含铪的陶瓷材料可以包含,或者除了上述铪的氧化物,硼化物,氟化物和碳化物材料外,还可以包含其它的陶瓷材料。这些其它的陶瓷材料可以包括,但不限于,选自元素周期表中的IIA,IIIA,IVA,VA,VIA,VIIA,VIIIA,IB,IIB,IIIB,IVB和VB族中的元素的至少一种氧化物,氮化物,硼化物,氟化物和/或碳化物;以及/或者任何锕系元素(即原子序数为58-71的元素)的一种或者多种氧化物,氮化物,硼化物,氟化物或者碳化物。例如,含铪的陶瓷材料(以及下面要描述的含锶,含镝以及含镧的材料)可以与氧化钇(yttria),氧化锆(zirconia),氧化铝(alumina)和/或氧化铈(ceria)混和。
依据本发明,含锶的陶瓷材料优选的包含氧化锶(strontia)作单一最大组分。在一些实施方案中,含锶的陶瓷材料可以基本全由氧化锶构成。这种含锶的陶瓷材料还可以包括其它的除了氧化物之外的含锶陶瓷材料,包括,但不限于,至少一种硼化锶,氟化锶,氮化锶,碳化锶,或者它们的混和物。
依据本发明,含锶的陶瓷材料可以包含上述锶的氧化物,硼化物,氟化物和碳化物材料以外的其它陶瓷材料,或者除了上述锶的氧化物,硼化物,氟化物和碳化物材料外,还可以包含其它的陶瓷材料。这些其它的陶瓷材料可以包括,但不限于,选自元素周期表中的IIA,IIIA,IVA,VA,VIA,VIIA,VIIIA,IB,IIB,IIIB,IVB和VB族中的元素的一种或多种氧化物,氮化物,硼化物,氟化物和碳化物;以及/或者任何如上所述的锕系元素的一种或者多种氧化物,氮化物,硼化物,氟化物或者碳化物。
依据本发明,含镝的陶瓷材料优选的包含氧化镝(dysprosia)作单一最大组分。在一些实施方案中,含镝的陶瓷材料可以基本全由氧化镝构成。这种含镝的陶瓷材料还可以包括其它的除了氧化物之外的含镝陶瓷材料,包括,但不限于,至少一种硼化镝,氟化镝,氮化镝,碳化镝,或者它们的混和物。
依据本发明,含镝的陶瓷材料可以包含上述镝的氧化物,硼化物,氟化物和碳化物材料以外的其它陶瓷材料,或者除了上述镝的氧化物,硼化物,氟化物和碳化物材料外,还可以包含其它的陶瓷材料。这些其它的陶瓷材料可以包括,但不限于,选自元素周期表中的IIA,IIIA,IVA,VA,VIA,VIIA,VIIIA,IB,IIB,IIIB,IVB和VB族中的元素的至少一种氧化物,氮化物,硼化物,氟化物和/或碳化物;以及/或者任何如上所述的锕系元素的一种或者多种氧化物,氮化物,硼化物,氟化物或者碳化物。
依据本发明,含镧的陶瓷材料优选的包含氧化镧(lanthana)作单一最大组分。在一些实施方案中,含镧的陶瓷材料可以基本全由氧化镧构成。这种含镧的陶瓷材料还可以包括其它的除了氧化物之外的含镧陶瓷材料,包括,但不限于,至少一种硼化镧,氟化镧,氮化镧和/或碳化镧,或者它们的混和物。
依据本发明,含镧的陶瓷材料可以包含上述镧的氧化物,硼化物,氟化物和碳化物材料以外的其它陶瓷材料,或者除了上述镧的氧化物,硼化物,氟化物和碳化物材料外,还可以包含其它的陶瓷材料。这些其它的陶瓷材料可以包括,但不限于,选自元素周期表中的IIA,IIIA,IVA,VA,VIA,VIIA,VIIIA,IB,IIB,IIIB,IVB和VB族中的元素的至少一种氧化物,氮化物,硼化物,氟化物和/或碳化物;以及/或者任何如上所述的锕系元素的一种或者多种氧化物,氮化物,硼化物,氟化物以及/或者碳化物。
依据本发明,这些陶瓷材料可以包括上述含铪,锶,镝和镧的材料的混和物。另外,这些陶瓷材料可以包括含铪,锶,镝和/或者镧的材料,以及其它的材料(所述其它的材料包括,但不限于,选自元素周期表中的IIA,IIIA,IVA,VA,VIA,VIIA,VIIIA,IB,IIB,IIIB,IVB和VB族中的元素的至少一种氧化物,氮化物,硼化物,氟化物和碳化物;以及/或者任何如上所述的锕系元素的一种或者多种氧化物,氮化物,硼化物,氟化物或者碳化物)的各种混和物。
为了使对在包括一个或者多个依据本发明的含铪,锶,镝和/或镧的部件的设备中处理的电子材料的污染最小化,希望这些陶瓷材料尽可能的纯,例如,包括最小量的有可能引起污染的元素,比如过渡金属,碱金属或者其它类似的元素。例如,这些含铪,锶,镝和镧的陶瓷材料可以足够纯到使晶片上避免1010atoms/cm2或者更高的污染,优选的为105atoms/cm2或者更高。优选的,这些陶瓷材料的纯度至少为大约99%,更优选的,从大约99.99%到大约100%。
另外,这些依据本发明的含铪,锶,镝和镧的陶瓷材料具有光滑的表面。优选的,用作涂层或者制成单独体的这些材料具有的表面粗糙度(RA)从大约5μinch到大约400μinch,更优选的小于大约200μinch。
这些依据本发明的含铪,锶、镝和镧的陶瓷材料还提供与下面基底的高结合强度。优选地,这些材料在涂层形式时具有约2000至7000psi的抗拉结合强度。
并且,这些依据本发明的含铪,锶,镝和镧的陶瓷材料可以提供低的气孔率,这有利于使侵蚀性的气氛与下面的基底的接触最小化(例如含HCl的气氛),并由此使侵蚀性气氛随后对基底的腐蚀,侵蚀和/或侵腐蚀最小化。优选的,这些陶瓷材料的气孔率按体积小于15%,更优选的按体积小于大约3%。
另外,这些依据本发明的含铪,锶,镝和镧的陶瓷材料可以提供抗侵蚀的高硬度。优选的,这些陶瓷材料的硬度(HVO3)从大约200到大约800。
上述陶瓷材料可以提供所希望的抗磨损性能,以用在半导体工艺设备中,例如,像等离子体刻蚀腔。特别的,含铪,锶,镝和镧的陶瓷材料可以提供能够在等离子体反应器腔室中降低离子诱导侵蚀和相关微粒污染的表面。含铪,锶,镝和镧的陶瓷材料还可以保护下面的基底免受等离子体的物理和化学攻击。
依据本发明的抗磨损的陶瓷材料可以用在各种用于刻蚀和淀积应用以及其它应用中的不同等离子体气氛中。例如,典型的刻蚀化学物质包括,例如,含氯的气体,包括。但不限于,Cl2,HCl和BCl3;含溴的气体,包括,但不限于,溴和HBr;含氧的气体,包括,但不限于,O2,H2O和SO2;含氟的气体,包括,但不限于,CF4,CH2F2,NF3,CH3F,CHF3和SF6;以及惰性气体和其它气体,包括,但不限于,He,Ar和N2。根据所要用到的等离子体,这些以及其它气体可以以任何适用的方式结合使用。示例的等离子体反应器的刻蚀操作条件如下:温度从大约25℃到大约90℃;压力从大约0mTorr到大约100mTorr;气体流速从大约10sccm到大约1000sccm;等离子体功率从大约0Watts到大约1500Watts。
在本发明的一个优选的示例实施方案中,含铪,锶,镝和镧的陶瓷材料以衬底上的涂层形式提供。这些涂层可以通过本领域所知道的方法涂覆。一种优选的涂层方法使热喷涂(例如等离子体喷涂)。在这种方法中,将陶瓷粉体熔融,并与一种气流一起通到要喷涂涂层的部件上。热喷涂技术的一个优点是仅仅涂覆部件面对热喷涂枪的面,并可以使用掩模来对其它区域进行保护。传统的热喷涂技术,包括等离子体喷涂,在Pawlowski所著的The Science and Engineering of Thermal SprayCoating(John Wiley,1995)中进行了阐述,因此将其整体并入本文作为参考。
一种尤其优选的热喷涂方法是等离子体喷涂。等离子体喷涂可以用来涂覆相当复杂的腔室内表面和其它腔室部件。图1所示是一种典型的等离子体喷涂工艺。将涂层材料,通常是粉体112的形式,通过一个外部进料口132注射到高温等离子体火焰114中。粉体被快速加热并加速到一个很高的速度。这种热材料碰撞到基底表面116上并迅速冷却成涂层118。
等离子体喷涂枪120包含一个阳极122和一个阴极124,二者均用水冷却。等离子体气体126(例如氩,氮,氢,氦)通常沿着箭头128所示的方向在阴极周围流过,并从阳极的收缩嘴中通过。高压放电引起局部离子化并在阴极124和阳极122之间为DC弧形成一个导电通路,由此产生等离子体。弧的电阻加热使气体形成等离子体。等离子体作为一种自由的或者中性的等离子体火焰(不带电流的等离子体)由阳极喷嘴部位喷出。当等离子体稳定并为喷涂做好准备后,电弧沿着喷嘴向下扩展。粉体112加热和加速的如此之快以至于喷嘴顶端与基底表面之间的喷涂距离136可以在125到150mm的数量级。通过使熔融或者热软化的颗粒碰撞在基底表面116上,制成了等离子体喷涂涂层。
依据本发明,可以使用诸如清洗和喷丸的表面处理技术来提供一个对于结合具有更高的化学和物理活性的表面。在涂层之前,优选地,要将衬底表面彻底清洗以消除所不希望的表面物质,比如氧化物或者油脂。也可以在涂层之前,用任何适用的方法比如喷砂将表面粗化。这种粗化能够增加结合的可用表面积,这可以增强涂层的结合强度。粗糙的表面轮廓也能够提高涂层与衬底之间的机械咬合或者互锁。
对于铝反应器部件来讲,在涂层之前,优选的要将要涂层的部件表面阳极化,但不再粗化阳极化了的表面。阳极化层为下面的铝抵抗腐蚀攻击提供了一个另外的阻挡,即除了靠涂层提供保护外的另外阻挡。在铝基底,例如6061-T6铝上形成的阳极化铝层可以具有任意合适的厚度。例如,厚度一般从大约2mil到大约10mil。阳极化了的表面可以具有任意合适的抛光度。例如,表面结构的RA值可以在大约20μinch到大约100μinch之间。阳极化层可以用任意合适的技术来密封,例如用煮沸去离子水。
依据本发明的含氧化铪,氧化锶,氧化镝和/或氧化镧的陶瓷材料优选地用等离子体喷涂工艺涂覆。但也可以使用任何其它的陶瓷材料适用的涂层方法。例如,含氧化铪,氧化锶,氧化镝和/或氧化镧的陶瓷涂层也可以通过溅射,溅射沉积,浸渍涂层,化学气相沉积,蒸发和凝结(包括电子束蒸发和凝结),物理气相沉积,热等静压,冷等静压,压铸,注模,压实和烧结,以及热喷涂进行涂覆。
在本发明的一些优选的实施方案中,含铪,锶,镝和/或镧的陶瓷部件用在一个高密度等离子体反应器中。这种类型的反应器的例子是可以从California的Fremont的Lam Research Corporation得到的TCP9400TM等离子体刻蚀反应器。在TCP 9400TM反应器中,工艺气体(例如Cl2,HBr,CF4,CH2F2,O2,N2,Ar,SF6和NF3)导入位于刻蚀腔底部的导气环中,然后通过导气孔导入到反应腔室中。图2所示是TCP 9400TM刻蚀反应器中的导气环。正如图2中所示,导气环40的主体包围在衬底支撑44的周围,导气环40的底面含有一个环状导气槽60。前面提到的导气孔50延伸到导气槽60中。
典型地,导气环40用铝制成。导气环的上表面直接暴露在等离子体中,这样就会受到侵蚀、腐蚀和侵腐蚀。为保护这些表面,导气环一般用一种铝氧化物层进行覆盖。然而,这种层相当脆,使用时在反应器使用时的反复的热循环过程中会破裂。在阳极化层中形成的裂纹会使腐蚀性的工艺气体攻击下面的铝层,减小部件寿命,并对要处理的衬底如晶片,平板显示衬底等造成金属和颗粒污染。
依据本发明的示例实施方案,可以用由含铪,锶,镝和/或镧的陶瓷材料制成的涂层42覆盖在导气环的暴露表面上。这些陶瓷材料可以涂覆在裸露的(有或者没有自生氧化物表面膜)铝层上或者铝氧化物层(例如具有阳极化表面的铝)上。当对导气环进行涂覆时,可以允许涂层部分渗透到导气孔中,对其内壁进行涂层和保护,但不能将开孔阻塞。例如,在涂层过程中,要将导气孔塞住或者掩模。
在工艺过程中要暴露在等离子体中的TCP 9400TM刻蚀反应器的其它部件也能够用依据本发明的含铪,锶,镝和/或镧的陶瓷材料进行涂覆。这些部件包括,例如腔壁,腔衬里,夹持器件以及对着衬底的介电窗。在夹持器件比如静电夹具的上表面提供依据本发明的涂层可在清洗期间对夹具提供附加的保护,此过程中没有晶片,夹具的上表面因而直接暴露在等离子体中。
另一个可包括依据本发明的含铪,锶,镝和/或镧的陶瓷材料的多晶硅刻蚀反应器的例子是同样可以从California的Fremont的LamResearch Corporation得到的VersysTM多晶硅刻蚀器或2300TM刻蚀器,如图3中所示。该反应器包含反应腔150,其中包括的衬底支撑152包括一个静电夹具154,其可以对固定在其上的衬底(没有画出)施加一个钳制力。在静电夹具154周围的衬底支撑152上固定着聚焦环(focusring)170。衬底支撑152也能用来对衬底施加一个RF偏置。衬底也能够用热交换气体比如氦来进行背冷。在2300TM刻蚀器中,工艺气体(例如Cl2,HBr,CF4,CH2F2,O2,N2,Ar,SF6或NF3)通过位于腔室150顶部并与供气舱156相连的气体注入嘴168导入腔室150中。气体注入嘴168一般用石英或者陶瓷材料比如氧化铝制成。正如所示,导电线圈158可以用适宜的RF源(没有画出)驱动来提供高密度(例如1011-1012个离子/cm3)的等离子体。导电线圈158通过介电窗160将RF能量耦合到腔室150内部。介电窗160一般由石英或者氧化铝制成。介电窗160按所示固定在环状元件162上。环状元件162将介电窗160从腔室150的顶部隔开,称作“气体分布板”。腔衬里164包围着衬底支撑152。腔室150也可以包括适宜的抽真空装置(没有画出)以使腔室内部保持在所希望的压力。
在图3中,反应器部件比如环状元件162,介电窗160,衬底支撑152,腔衬里164,气体注入嘴168,聚焦环170和静电夹具154的被选内表面按所示用依据本发明的由含铪,锶,镝和/或镧的陶瓷材料制成的涂层166涂覆。如图3中所示,腔室150和在腔衬里164下面的衬底支撑152的被选内表面也可以具有由依据本发明的由含铪,锶,镝和/或镧的陶瓷材料制成的涂层166。任何或者全部的这些表面以及任何其它的反应器内表面都能具有依据本发明的涂层。如下所述,可供选择的,任意的或者全部的这些部件可以用依据本发明的含铪,锶,镝和/或镧的陶瓷材料构成的单独个体制造。
依据本发明,这些部件能用在高密度氧化物刻蚀工艺中。一种氧化物刻蚀反应器的例子是可以从California的Fremont的Lam ResearchCorporation得到的TCP 9100TM等离子体刻蚀反应器。在TCP 9100TM反应器中,气体分布板是一个直接位于TCPTM窗下面的圆板,TCPTM窗也是位于反应器顶部的真空密封面,其位于一个处在半导体晶片之上并与之平行的平面内。气体分布板与位于气体分布板周围的气体分布环相密封。气体分布环从气源向气体分布板、位于向反应器中供应RF能量的扁平螺旋线圈式天线下面的窗的内表面和气体分布环限定的空间中供气。气体分布板包含特定直径的贯通该板的孔。可以改变贯通气体分布板的孔的空间分布以优化被刻蚀层的刻蚀均匀性,例如,抗光层,二氧化硅层和位于晶片上的底层材料。可以改变气体分布板的剖面形状以调节供入反应器等离子体中的RF能量分布。气体分布板是一种介电性的材料,能够将RF能量通过气体分布板耦合到反应器中。而且,希望气体分布板材料对在诸如氧气或碳氟烃气等离子体环境中的化学溅射刻蚀具有高度的抵抗性,以避免崩溃和由此引起的颗粒产生。
图4所示的是一个前述类型的等离子体反应器。该反应器包含反应腔10。衬底支撑12包括一个静电夹具34,其可以对衬底13施加钳制力以及RF偏置。衬底可以用热交换气体比如氦进行背冷。聚焦环14将等离子体限定在衬底上面的一个区域里。在腔室中保持高密度(例如1010-1012个离子/cm3)的等离子体的能量源,比如用适宜的RF源驱动来提供高密度等离子体的天线18置于反应器腔室10的顶部。该反应腔室包括一个抽真空装置以使腔室内部保持在所希望的压力(例如在50mTorr以下,典型的在1-20mTorr)。
在天线18和处理腔室10的内部之间是一个基本上是平板状的介电窗20,其在处理腔室10的顶部构成真空壁。气体分布板22位于窗20的下方,包括将工艺气体从气源23传到腔室10中的开口。圆锥状的衬里30从气体分布板22延伸并包围在衬底支撑12的周围。天线18可以与通道24一起提供,温度控制液可以经该通道从入口和出口管道25,26通过。然而,天线18和/或窗20无需冷却或者可以通过其它合适的技术来冷却,比如在天线和窗上进行吹风,通过冷却液或者与窗和/或气体分布板接触的热传递,等等。
在操作中,将衬底比如半导体晶片放到衬底支撑12上,并用静电夹具34固定位置。然而,也可以使用其它的钳制方法,比如机械钳制机构。另外,可以使用氦背冷以提高衬底和夹具之间的热传递。然后将工艺气体通过窗20和气体分布板22之间的空隙供入真空处理腔10中。在专利号为5824605,6048798和5863376的共同所有的US专利中公开了适宜的气体分布板(也就是喷头)的排列。这里将其中的每一个专利都整体并入本文作为参考。通过对天线18施加合适的RF源在衬底和窗之间的空间中引燃了高密度等离子体。
在图4中,反应器部件比如气体分布板22,腔衬里30,静电夹具34和聚焦环14的内表面用由依据本发明的含铪,锶,镝和/或镧的陶瓷材料构成的涂层32涂覆。然而,仅仅这些表面和/或其它表面中被选定表面的才能用依据本发明的含铪,锶,镝和/或镧的陶瓷材料涂覆。
本领域技术人员明白上述高密度多晶硅和介电刻蚀腔仅仅是包括依据本发明的部件的等离子体刻蚀反应器的示例实施方案。依据本发明的包括含铪,锶,镝和/或镧的陶瓷材料的部件可以用在任何刻蚀反应器中(例如金属刻蚀反应器)或者其它类型的其中希望减少等离子体诱发的侵蚀,腐蚀和/或侵腐蚀以及相关连的污染的半导体工艺设备中。
例如,其它的可以在其上提供依据本发明的含铪,锶,镝和/或镧的陶瓷材料涂层的部件包括,但不限于,腔壁,衬底支撑,紧固件等。这些部件一般由金属(例如铝)或者陶瓷(例如氧化铝)制成。这些金属等离子体反应器部件一般暴露在等离子体中,并经常呈现出侵蚀,腐蚀和/侵腐蚀迹象。其它的可以依据本发明进行涂层的部件可以是不直接暴露在等离子体中的,但替代的是暴露在腐蚀性气体中,比如从处理的晶片中释放出的气体或者其它类似的气体。所以,也能够依据本发明为其它的在处理半导体衬底中使用的设备提供含铪,锶,镝和/或镧的陶瓷材料表面和涂层。这些设备包括传送机构,气体供应系统,衬里,起落机构,加载锁,室门机构,机械臂,紧固件及其它类似的设备。
能够用依据本发明的含铪,锶,镝和/或镧的陶瓷材料进行涂层的金属材料的例子包括铝和铝合金,不锈钢,难熔金属,例如6061-T6铝和304以及316不锈钢。由于含铪,锶,镝和/或镧的陶瓷材料在部件上形成了一个抗磨损的涂层,下面的部件被保护不再直接暴露在等离子体中。因此,就可以保护金属性的衬底免受等离子体的侵蚀,腐蚀和/或侵腐蚀的冲击。结果,金属材料,比如铝合金,就可以在使用时不必考虑合金添加剂,晶粒结构或者表面条件。
另外,许多陶瓷或者聚合物材料也可以用依据本发明的含铪,锶,镝和/或镧的陶瓷材料进行涂层。特别地,反应器部件可以用陶瓷材料包括,但不限于,氧化铝(Al2O3),碳化硅(SiC),氮化硅(Si3N4),碳化硼(B4C)和/或氮化硼(BN)来制造。能够被涂层的聚合物材料优选的是那些能够抵御等离子体反应器中存在的高温条件的材料。
如果需要,在含铪,锶,镝和/或镧的陶瓷材料涂层和被涂覆的衬底表面之间可以提供一个或者多个中间材料层。图5所示的是依据本发明的一个优选实施方案的已涂层了的部件。可选地,通过一种传统技术将第一中间涂层80涂覆在衬底70上。这种可选的第一中间层80是足够厚的,可以附着在衬底上,而且允许在制备第二中间涂层90或者所述含铪,锶,镝和/或镧的陶瓷材料涂层100之前对其进行处理。第一中间涂层80和第二中间涂层90可以具有任意合适的厚度,只要能够提供所希望的性能。这些涂层的厚度至少大约0.001英寸,优选的从大约0.001英寸到大约0.25英寸,更优选的从大约0.001英寸到大约0.15英寸,最优选的从大约0.001英寸到大约0.05英寸。
在将可选的第一中间涂层80淀积在反应器部件70上之后,可以用任意适用的技术将该涂层进行处理,比如粗化,然后再在上面涂上可选的第二涂层90或者含铪,锶,镝和/或镧的陶瓷材料涂层100。粗化的第一中间涂层80为后续的涂层提供了相当好的结合。所希望的是,第二中间涂层90能给第一中间涂层80带来高的机械压缩强度并减少第二中间涂层90中裂纹的形成。
这种可选的第二中间涂层90是足够厚的,可以附着在第一中间涂层80上,而且进一步允许在制备任意的其它附加中间涂层或者外面的含铪,锶,镝和/镧的陶瓷材料涂层100之前对其进行处理。第二中间涂层90也可以进行比如粗化处理。第二中间涂层90可以具有任意合适的厚度,只要能够提供这些所希望的性能,比如厚度至少为大约0.001英寸,优选的从大约0.001英寸到大约0.25英寸,更优选的从大约0.001到大约0.15英寸,最优选的从大约0.001英寸到大约0.05英寸。
这些第一和第二中间涂层可以用任意适用于半导体等离子体工艺腔中的金属,陶瓷和聚合物材料制成。特别希望的能够采用的金属包括,但不限于,难熔金属,其可以抵御高的工艺温度。优选的陶瓷包括,但不限于,Al2O3,SiC,Si3N4,BC,AlN,TiO2以及它们的混和物。优选的聚合物包括,但不限于,含氟聚合物,如聚四氟乙烯和聚酰亚胺。
这些中间涂层可用任意适用的淀积技术来涂覆,如镀层(例如化学镀或者电镀),溅射,浸渍涂层,化学气相沉积,物理气相沉积,电泳沉积,热等静压,冷等静压,压铸,浇注,压实和烧结,以及热喷涂(例如等离子体喷涂)。
可选的第一中间涂层80和第二中间涂层90依赖于所需的性能,可以彼此具有相同的或者不同的组成。如果需要,在涂层和衬底之间还可以提供相同或者不同材料的另外的中间涂层,比如第三,第四或者第五中间涂层。
图6所示的是依据本发明的含铪,锶,镝和/或镧的陶瓷材料涂层的另一个示例实施方案。涂层100直接淀积在衬底上,其是部件70的一个外表面。该涂层具有可为部件提供所希望的抗磨损水平的任意合适的厚度。特别的,涂层100的厚度在大约0.001英寸到大约1英寸的范围,优选的从大约0.001英寸到大约0.5英寸,最优选的从大约0.001英寸到大约0.05英寸。可以选择陶瓷层的厚度以与在反应器(例如刻蚀,CVD等)中要遇到的等离子体环境相容。
如上所讨论的,热喷涂是提供具有依据本发明的涂层表面的部件的优选方法。然而,其它的涂层方法也可以使用,包括,例如,其它的淀积技术,像溅射,浸渍涂层,化学气相沉积和物理气相沉积,热等静压,冷等静压,压铸,浇注,以及压实和烧结技术。
如上所述,半导体工艺设备的部件也可以用含铪,锶,镝和/或镧的陶瓷材料制成单独的个体。这些单独个体可以是分离体或者其它部件的覆盖层。例如,依据本发明的含铪,锶,镝和/或镧的陶瓷材料可以制成覆盖层,比如衬里,形成后盖住反应器部件的暴露表面。这些覆盖层可用任意适用的固定技术附在反应腔室内的表面上,包括,例如,粘附结合或者机械紧固。当采用紧固件时,紧固件自身如果暴露在等离子体中,也优选用抗侵蚀的材料来制造,以提高它们的使用寿命。另外,可以将含铪,锶,镝和/或镧的陶瓷材料覆盖层制成与下面的反应器部件互锁。整体式的覆盖层可以提供在任意合适的衬底上,比如像腔壁以及其它的表面。
用含铪,锶,镝和/或镧的陶瓷材料制成单独个体的示例方法可包括制备含,例如氧化铪,氧化锶,氧化镝和/或氧化镧的浆料,按所期望的形状和尺寸成型生坯,将坯体烧结成烧结体。可以将生坯制成任何所希望的等离子体反应器部件的形状。在W.D.Kingery,H.K.Bowen和D.R.Uhlmann(J.Wiley 8c sons,1976)所著的Introduction to Ceramics第二版中详细给出了陶瓷工艺技术,这里将其整个内容并入作为参考。
优选的单独个体部件是等离子体反应器中的暴露在等离子体中的部件。适用的部件可以包括,例如,腔壁,衬底支撑,包括喷头,折流板,套环,喷嘴等的气体分布系统,紧固件,加热元件,等离子体屏,衬里,传输模块部件,例如:机械臂,紧固件,内外腔壁等,以及其它相似的部件。这些部件的一个具体例子是图7中所示的反应器部件110。反应器部件110是用含氧化铪,氧化锶,氧化镝和/或氧化镧的陶瓷材料制成的单独体。
含氧化铪,氧化锶,氧化镝和/或氧化镧的陶瓷材料可以提供在全部的或者部分的反应器腔室和部件上。在一个优选的实施方案中,该涂层或者单独体提供在暴露于等离子体环境中的反应腔室的区域上,比如那些与等离子体直接接触的部分或者位于腔室部件(例如衬里)后面的部分。另外,含氧化铪,氧化锶,氧化镝和/或氧化镧的陶瓷材料涂层或者单独体优选地用在那些要承受相对较高的偏置电压(即相对较高的溅射离子能量)的反应腔室的区域上。
依据本发明,通过将含铪,锶,镝和/或镧的陶瓷材料用作涂层或覆盖层,或者制成单独的含铪,锶,镝和/或镧的陶瓷部件,实现了一些优点。也就是说,在等离子体反应器中,得到了较低的侵蚀速度。结果,依据本发明的含铪,锶,镝和/或镧的陶瓷涂层,覆盖层和部件可以降低金属和颗粒污染的水平,通过提高耗材的使用寿命而降低成本,降低工艺漂移,并能减少腔室部件和衬底的腐蚀水平。
依据本发明的含铪,锶,镝和/或镧的陶瓷涂层和部件可以提供极硬的,抗磨损的表面。这些涂层和部件希望不含与工艺腔气体反应的物质,是化学惰性的,这些就会有低的或者没有颗粒污染,小的或者没有腐蚀,小的或者没有金属污染以及/或者少的或者没有挥发性的刻蚀产物。
尽管已参照具体的实施方案对本发明进行了详细描述,本领域技术人员明显明白,只要不脱离所附权利要求的范围,可以进行各种修改和完善,可以使用等价的操作。

Claims (40)

1.一种半导体工艺设备部件,包括至少一个包含陶瓷材料的部分,该部分包括该部件的最外表面,并且这种陶瓷材料包括选自氮化铪,硼化铪,碳化铪,氟化铪,氧化锶,氮化锶,硼化锶,碳化锶,氟化锶,氧化镧,氮化镧,硼化镧,碳化镧,氟化镧,氧化镝,氮化镝,硼化镝,碳化镝和氟化镝中的材料作该陶瓷材料的单一最大组分。
2.权利要求1中的部件,其中的陶瓷材料包括氧化锶,氧化镧和氧化镝中的一种作单一最大组分。
3.权利要求1中的部件,其中部件包括一个基底,以及在该基底之上的陶瓷材料涂层。
4.权利要求3中的部件,其中涂层的厚度从大约0.001in.到大约0.050in.。
5.权利要求3中的部件,其中涂层基本上全由这种陶瓷材料组成。
6.权利要求3中的部件,进一步包括:
在基底上至少有一个中间层;
其中所述涂层位于这种至少一个的中间层上。
7.权利要求1中的部件,其中的陶瓷材料进一步包括至少一种选自(i)元素周期表中的IIA,IIIA,IVA,VA,VIA,VIIA,VIIIA,IB,IIB,IIIB,IVB和VB族中的元素的氧化物,氮化物,硼化物,氟化物和碳化物;以及(ii)元素周期表中锕系元素的氧化物,氮化物,硼化物,氟化物和碳化物中的材料。
8.权利要求1中的部件,其选自腔壁,腔衬里,气体分布板,导气环,底座,介电窗,静电夹具和等离子体聚焦环。
9.一种包括至少一个依据权利要求1的部件的等离子体刻蚀反应器。
10.一种制造依据权利要求1的半导体工艺设备部件的方法,包括将陶瓷材料以涂层形式施加在基底上,该涂层包括该部件的一个最外表面。
11.权利要求10中的方法,其中的陶瓷材料包括氧化锶,氧化镝和氧化镧中的一种作单一最大组分。
12.权利要求10中的方法,进一步包括将基底表面粗化,在粗化的表面上涂覆这种陶瓷材料以提高陶瓷材料在基底上的粘附性。
13.权利要求10中的方法,其中的涂层基本上全由这种陶瓷材料组成。
14.权利要求10中的方法,进一步包括:
在基底上涂覆至少一个中间层;
并在这种至少一个的中间层上涂覆该涂层。
15.权利要求14中的方法,进一步包括如下至少之一:
在涂覆所述至少一个的中间层之前对衬底进行处理,以提高这种至少一个的中间层在基底上的粘附性;
在涂覆该陶瓷材料之前对这种至少一个的中间层进行处理,以提高该陶瓷材料在这种至少一个的中间层上的粘附性。
16.权利要求10中的方法,其中的陶瓷材料通过热喷涂涂覆在衬底上。
17.权利要求10中的方法,其中的陶瓷材料进一步包括至少一种选自(i)元素周期表中的IIA,IIIA,IVA,VA,VIA,VIIA,VIIIA,IB,IIB,IIIB,IVB和VB族中的元素的氧化物,氮化物,硼化物,氟化物和碳化物;以及(ii)元素周期表中锕系元素的氧化物,氮化物,硼化物,氟化物和碳化物中的材料。
18.一种制造依据权利要求1中的半导体工艺设备部件的方法,包括将该部件做成一个基本上全由该陶瓷材料构成的单独体。
19.一种半导体工艺设备部件,包括:
(a)一个完全由一种包括氧化铪,氮化铪,硼化铪,碳化铪和氟化铪中的一种作为其单一最大组分的陶瓷材料组成的单独体;或者
(b)一个包括一个含有金属或者聚合物的基底以及一个在该基底上的陶瓷材料涂层的复合体,这种涂层构成此部件的最外表面。
20.权利要求19中的部件,其中的陶瓷材料基本上全由氧化铪组成。
21.权利要求19中的部件,其中的陶瓷材料进一步包括至少一种选自(i)元素周期表中的IIA,IIIA,IVA,VA,VIA,VIIA,VIIIA,IB,IIB,IIIB,IVB和VB族中的元素的氧化物,氮化物,硼化物,氟化物和碳化物;以及(ii)元素周期表中锕系元素的氧化物,氮化物,硼化物,氟化物和碳化物中的材料。
22.权利要求19中的部件,其中这种复合体进一步包括:
在基底上至少有一个中间层;
在这种至少一个的中间层上的所述陶瓷涂层。
23.权利要求19中的部件,其选自腔壁,腔衬里,气体分布板,导气环,底座,介电窗,静电夹具和等离子体聚焦环。
24.权利要求19中的部件,其中的单独体和涂层基本上全由该陶瓷材料组成。
25.一种包括至少一个依据权利要求19的部件的半导体工艺设备。
26.一种制造依据权利要求19的半导体工艺设备部件的方法,包括:
(a)制造基本上完全由这种陶瓷材料组成的单独体;或者
(b)将此陶瓷材料涂覆在基底上作涂层,这样,该涂层构成此复合体的最外表面。
27.权利要求26中的方法,其中涂层的厚度从大约0.001in.到大约0.050in.。
28.权利要求26中的方法,进一步包括:
在基底上涂覆至少一个中间层;
并在这种至少一个的中间层上涂覆该涂层。
29.权利要求28中的方法,其中:
基底是金属基底;并且
该方法进一步包括如下至少之一:
在涂覆所述至少一个的中间层之前对基底进行处理,以提高这种至少一个的中间层在基底上的粘附性;以及
在涂覆该陶瓷材料之前对这种至少一个的中间层进行处理,以提高该陶瓷材料在这种至少一个的中间层上的粘附性。
30.权利要求26中的方法,其中:
基底是金属基底;并且
涂层通过热喷涂涂覆在基底上。
31.权利要求26中的方法,其中的陶瓷材料进一步包括至少一种选自(i)元素周期表中的IIA,IIIA,IVA,VA,VIA,VIIA,VIIIA,IB,IIB,IIIB,IVB和VB族中的元素的氧化物,氮化物,硼化物,氟化物和/或碳化物;以及(ii)元素周期表中锕系元素的氧化物,氮化物,硼化物,氟化物和碳化物中的材料。
32.权利要求26中的方法,其中的部件选自腔壁,腔衬里,气体分布板,导气环,底座,介电窗,静电夹具和等离子体聚焦环。
33.一种由权利要求26中的方法制成的等离子体反应器部件。
34.一种制造半导体工艺设备的方法,包括
制备一种包含至少一种选自氧化铪,氮化铪,硼化铪,碳化铪,氟化铪,氧化锶,氮化锶,硼化锶,碳化锶,氟化锶,氧化镧,氮化镧,硼化镧,碳化镧,氟化镧,氧化镝,氮化镝,硼化镝,碳化镝和氟化镝中的陶瓷材料作其单一最大组分的浆料;
用此浆料制备生坯;以及
将此生坯烧结成部件。
35.权利要求34中的方法,其中的浆料包括氧化铪,氧化锶,氧化镧和氧化镝中的一种作此浆料的单一最大组分。
36.权利要求34中的方法,其中部件基本上全由这种至少一种的陶瓷材料组成。
37.权利要求34中的部件,其中部件包括氧化铪作单一最大组分。
38.权利要求34中的方法,其中的陶瓷材料进一步包括至少一种选自(i)元素周期表中的IIA,IIIA,IVA,VA,VIA,VIIA,VIIIA,IB,IIB,IIIB,IVB和VB族中的元素的氧化物,氮化物,硼化物,氟化物和/或碳化物;以及(ii)元素周期表中锕系元素的氧化物,氮化物,硼化物,氟化物和碳化物中的材料。
39.权利要求34中的方法,其中的部件选自腔壁,腔衬里,气体分布板,导气环,底座,介电窗,静电夹具和等离子体聚焦环。
40.一种由权利要求34中的方法制成的等离子体刻蚀反应器的部件。
CNB038065916A 2002-03-21 2003-02-12 用于半导体工艺设备中的低污染部件及其制造方法 Expired - Lifetime CN100357489C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/101,701 2002-03-21
US10/101,701 US6780787B2 (en) 2002-03-21 2002-03-21 Low contamination components for semiconductor processing apparatus and methods for making components

Publications (2)

Publication Number Publication Date
CN1643178A true CN1643178A (zh) 2005-07-20
CN100357489C CN100357489C (zh) 2007-12-26

Family

ID=28040059

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB038065916A Expired - Lifetime CN100357489C (zh) 2002-03-21 2003-02-12 用于半导体工艺设备中的低污染部件及其制造方法

Country Status (9)

Country Link
US (6) US6780787B2 (zh)
EP (1) EP1495155A1 (zh)
JP (2) JP2005521250A (zh)
KR (1) KR101024514B1 (zh)
CN (1) CN100357489C (zh)
AU (1) AU2003210966A1 (zh)
IL (1) IL163917A (zh)
TW (2) TWI299182B (zh)
WO (1) WO2003080892A1 (zh)

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102260856A (zh) * 2011-07-26 2011-11-30 中微半导体设备(上海)有限公司 抗刻蚀层、半导体处理装置及制作方法
CN103959447A (zh) * 2011-12-05 2014-07-30 东京毅力科创株式会社 等离子体处理装置和等离子体处理方法
CN104204267A (zh) * 2012-03-22 2014-12-10 东华隆株式会社 氟化物喷涂覆膜的形成方法及氟化物喷涂覆膜覆盖部件
CN105304519A (zh) * 2014-07-11 2016-02-03 北京北方微电子基地设备工艺研究中心有限责任公司 内衬、内衬的制备方法及反应腔室
CN105900213A (zh) * 2013-12-30 2016-08-24 Gtat公司 用于cad反应器的改善的辐射屏障
CN104204267B (zh) * 2012-03-22 2016-11-30 东华隆株式会社 氟化物喷涂覆膜的形成方法及氟化物喷涂覆膜覆盖部件
CN106252188A (zh) * 2015-06-04 2016-12-21 朗姆研究公司 具有抗等离子体蚀刻的涂层的等离子体蚀刻装置
CN106687620A (zh) * 2014-08-08 2017-05-17 株式公司品維斯 形成有氟化铝生成防止膜的化学气相沉积工艺腔部件
CN109423606A (zh) * 2017-08-24 2019-03-05 中微半导体设备(上海)有限公司 聚焦环及其耐腐蚀防护方法
CN111326470A (zh) * 2018-12-17 2020-06-23 夏泰鑫半导体(青岛)有限公司 静电夹盘及半导体设备
CN111405980A (zh) * 2017-09-28 2020-07-10 麦克斯特里尔有限公司 包括表面涂层的制品及其生产方法
CN111952149A (zh) * 2013-05-23 2020-11-17 应用材料公司 用于半导体处理腔室的经涂布的衬里组件
CN114308900A (zh) * 2021-12-22 2022-04-12 深圳泰德半导体装备有限公司 等离子清洗机

Families Citing this family (291)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8067067B2 (en) * 2002-02-14 2011-11-29 Applied Materials, Inc. Clean, dense yttrium oxide coating protecting semiconductor processing apparatus
US20080264564A1 (en) 2007-04-27 2008-10-30 Applied Materials, Inc. Method of reducing the erosion rate of semiconductor processing apparatus exposed to halogen-containing plasmas
US20080213496A1 (en) * 2002-02-14 2008-09-04 Applied Materials, Inc. Method of coating semiconductor processing apparatus with protective yttrium-containing coatings
US6780787B2 (en) * 2002-03-21 2004-08-24 Lam Research Corporation Low contamination components for semiconductor processing apparatus and methods for making components
DE10229379A1 (de) * 2002-06-26 2004-01-29 Schering Ag EG-VEGF Rezeptor Antagonisten
JP2006516822A (ja) * 2003-01-27 2006-07-06 東京エレクトロン株式会社 改良された固定ハードウェアのための方法及び装置
US20040182315A1 (en) 2003-03-17 2004-09-23 Tokyo Electron Limited Reduced maintenance chemical oxide removal (COR) processing system
US7220497B2 (en) * 2003-12-18 2007-05-22 Lam Research Corporation Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components
US20060027923A1 (en) * 2004-08-09 2006-02-09 Tania Bhatia Coating process to enable electrophoretic deposition
US7119032B2 (en) 2004-08-23 2006-10-10 Air Products And Chemicals, Inc. Method to protect internal components of semiconductor processing equipment using layered superlattice materials
JP2006186306A (ja) * 2004-09-30 2006-07-13 Toshiba Ceramics Co Ltd ガス拡散プレートおよびその製造方法
US7480974B2 (en) * 2005-02-15 2009-01-27 Lam Research Corporation Methods of making gas distribution members for plasma processing apparatuses
US20060180569A1 (en) * 2005-02-15 2006-08-17 Chang Hsi-Ming Method of manufacturing step contact window of flat display panel
US7972703B2 (en) 2005-03-03 2011-07-05 Ferrotec (Usa) Corporation Baffle wafers and randomly oriented polycrystalline silicon used therefor
US20060213617A1 (en) * 2005-03-25 2006-09-28 Fink Steven T Load bearing insulator in vacuum etch chambers
CN101218376A (zh) * 2005-06-17 2008-07-09 国立大学法人东北大学 金属构件的保护膜构造及采用该保护膜构造的金属零件、半导体或平板显示器制造装置
JP2007036197A (ja) * 2005-06-23 2007-02-08 Tokyo Electron Ltd 半導体製造装置の構成部材及び半導体製造装置
JP5028755B2 (ja) * 2005-06-23 2012-09-19 東京エレクトロン株式会社 半導体処理装置の表面処理方法
JP5040119B2 (ja) * 2006-02-22 2012-10-03 東京エレクトロン株式会社 耐環境部材、半導体製造装置及び耐環境部材の製造方法
JP4818659B2 (ja) * 2005-08-08 2011-11-16 いすゞ自動車株式会社 内燃機関の燃焼室用摺動部材及びその製造方法
US20070079936A1 (en) * 2005-09-29 2007-04-12 Applied Materials, Inc. Bonded multi-layer RF window
US7968205B2 (en) * 2005-10-21 2011-06-28 Shin-Etsu Chemical Co., Ltd. Corrosion resistant multilayer member
KR101332206B1 (ko) * 2005-12-02 2013-11-25 롬 앤드 하스 일렉트로닉 머트어리얼즈, 엘.엘.씨. 반도체 처리 방법
KR100792365B1 (ko) * 2006-06-30 2008-01-09 주식회사 하이닉스반도체 반도체 소자의 리세스 게이트 제조 방법
US20080029032A1 (en) * 2006-08-01 2008-02-07 Sun Jennifer Y Substrate support with protective layer for plasma resistance
US7696117B2 (en) * 2007-04-27 2010-04-13 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
US10622194B2 (en) 2007-04-27 2020-04-14 Applied Materials, Inc. Bulk sintered solid solution ceramic which exhibits fracture toughness and halogen plasma resistance
US10242888B2 (en) 2007-04-27 2019-03-26 Applied Materials, Inc. Semiconductor processing apparatus with a ceramic-comprising surface which exhibits fracture toughness and halogen plasma resistance
US8367227B2 (en) * 2007-08-02 2013-02-05 Applied Materials, Inc. Plasma-resistant ceramics with controlled electrical resistivity
JP5567486B2 (ja) * 2007-10-31 2014-08-06 ラム リサーチ コーポレーション 窒化シリコン−二酸化シリコン高寿命消耗プラズマ処理構成部品
KR20090093819A (ko) * 2008-02-28 2009-09-02 코바렌트 마테리얼 가부시키가이샤 플라즈마 처리 장치에 이용되는 소결체 및 부재
KR101060606B1 (ko) 2008-08-21 2011-08-31 서울대학교산학협력단 박막증착방법
JP5235596B2 (ja) * 2008-10-15 2013-07-10 東京エレクトロン株式会社 Siエッチング方法
US8206829B2 (en) * 2008-11-10 2012-06-26 Applied Materials, Inc. Plasma resistant coatings for plasma chamber components
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
JP2013512573A (ja) * 2009-11-25 2013-04-11 グリーン, ツイード オブ デラウェア, インコーポレイテッド プラズマ耐性コーティングで基板をコーティングする方法および関連するコーティングされた基板
US20110220285A1 (en) * 2010-02-12 2011-09-15 Morgan Advanced Ceramics, Inc. Methods and systems for texturing ceramic components
US20120183790A1 (en) * 2010-07-14 2012-07-19 Christopher Petorak Thermal spray composite coatings for semiconductor applications
US20120177908A1 (en) * 2010-07-14 2012-07-12 Christopher Petorak Thermal spray coatings for semiconductor applications
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10276410B2 (en) * 2011-11-25 2019-04-30 Nhk Spring Co., Ltd. Substrate support device
CN111485226A (zh) * 2012-07-27 2020-08-04 应用材料公司 粗糙化的基板支撑件
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
CN103794458B (zh) * 2012-10-29 2016-12-21 中微半导体设备(上海)有限公司 用于等离子体处理腔室内部的部件及制造方法
US9916998B2 (en) 2012-12-04 2018-03-13 Applied Materials, Inc. Substrate support assembly having a plasma resistant protective layer
US9685356B2 (en) 2012-12-11 2017-06-20 Applied Materials, Inc. Substrate support assembly having metal bonded protective layer
JP6071514B2 (ja) * 2012-12-12 2017-02-01 東京エレクトロン株式会社 静電チャックの改質方法及びプラズマ処理装置
US10177014B2 (en) 2012-12-14 2019-01-08 Applied Materials, Inc. Thermal radiation barrier for substrate processing chamber components
US9385018B2 (en) 2013-01-07 2016-07-05 Samsung Austin Semiconductor, L.P. Semiconductor manufacturing equipment with trace elements for improved defect tracing and methods of manufacture
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9449797B2 (en) 2013-05-07 2016-09-20 Lam Research Corporation Component of a plasma processing apparatus having a protective in situ formed layer on a plasma exposed surface
US20140357092A1 (en) * 2013-06-04 2014-12-04 Lam Research Corporation Chamber wall of a plasma processing apparatus including a flowing protective liquid layer
WO2015061616A1 (en) 2013-10-24 2015-04-30 Surmet Corporation High purity polycrystalline aluminum oxynitride bodies
US9657397B2 (en) * 2013-12-31 2017-05-23 Lam Research Ag Apparatus for treating surfaces of wafer-shaped articles
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9580360B2 (en) * 2014-04-07 2017-02-28 Lam Research Corporation Monolithic ceramic component of gas delivery system and method of making and use thereof
US9869013B2 (en) 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
GB201511282D0 (en) * 2015-06-26 2015-08-12 Spts Technologies Ltd Plasma etching apparatus
US20170040146A1 (en) * 2015-08-03 2017-02-09 Lam Research Corporation Plasma etching device with plasma etch resistant coating
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10020218B2 (en) 2015-11-17 2018-07-10 Applied Materials, Inc. Substrate support assembly with deposited surface features
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10388492B2 (en) * 2016-04-14 2019-08-20 Fm Industries, Inc. Coated semiconductor processing members having chlorine and fluorine plasma erosion resistance and complex oxide coatings therefor
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP6993986B2 (ja) * 2016-12-20 2022-01-14 三井金属鉱業株式会社 希土類オキシフッ化物焼結体及びその製造方法
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
KR20180093814A (ko) * 2017-02-14 2018-08-22 에스케이씨솔믹스 주식회사 보론카바이드를 포함하는 플라즈마 처리장치 및 그 제조방법
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
CN212874436U (zh) 2017-11-21 2021-04-02 沃特洛电气制造公司 一种陶瓷基座组件
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
KR20200099203A (ko) * 2018-01-08 2020-08-21 램 리써치 코포레이션 플라즈마 프로세스 부산물 재료들을 관리하기 위한 컴포넌트들 및 프로세스들
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) * 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
CN110540424B (zh) * 2018-05-29 2021-12-21 山东工业陶瓷研究设计院有限公司 一种氧化锆陶瓷注射成型用喂料及其制备方法
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11667575B2 (en) 2018-07-18 2023-06-06 Applied Materials, Inc. Erosion resistant metal oxide coatings
US20200024735A1 (en) * 2018-07-18 2020-01-23 Applied Materials, Inc. Erosion resistant metal fluoride coatings deposited by atomic layer deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
CN114068276A (zh) * 2020-08-05 2022-02-18 中微半导体设备(上海)股份有限公司 半导体零部件、等离子体反应装置和涂层形成方法
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2023192402A1 (en) * 2022-03-31 2023-10-05 Lam Research Corporation Radiative heat windows and wafer support pads in vapor etch reactors

Family Cites Families (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2964947A (en) * 1958-09-08 1960-12-20 Springfield Greene Ind Inc Thermometer
US3630770A (en) * 1969-04-30 1971-12-28 Gen Electric Method for fabricating lanthanum boride cathodes
US4340462A (en) 1981-02-13 1982-07-20 Lam Research Corporation Adjustable electrode plasma processing chamber
JPS63100065A (ja) 1986-10-14 1988-05-02 セイコーエプソン株式会社 窒化物焼結体の製造方法
JPS63206397A (ja) * 1987-02-20 1988-08-25 Nec Corp GaAs結晶成長用坩堝
US5262029A (en) 1988-05-23 1993-11-16 Lam Research Method and system for clamping semiconductor wafers
US5089746A (en) * 1989-02-14 1992-02-18 Varian Associates, Inc. Production of ion beams by chemically enhanced sputtering of solids
US4948458A (en) 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
JP3009177B2 (ja) * 1990-04-06 2000-02-14 東芝タンガロイ株式会社 密着性にすぐれた被覆セラミックス焼結体
KR100194892B1 (ko) 1990-05-18 1999-06-15 윌슨 더그 화학 증착 방법
JP3017528B2 (ja) 1990-11-27 2000-03-13 アプライドマテリアルズジャパン株式会社 プラズマ処理装置
US5200232A (en) 1990-12-11 1993-04-06 Lam Research Corporation Reaction chamber design and method to minimize particle generation in chemical vapor deposition reactors
US5443686A (en) 1992-01-15 1995-08-22 International Business Machines Corporation Inc. Plasma CVD apparatus and processes
JP3255469B2 (ja) * 1992-11-30 2002-02-12 三菱電機株式会社 レーザ薄膜形成装置
JPH06188108A (ja) * 1992-12-21 1994-07-08 Canon Inc 薄膜抵抗器の製造方法、成膜装置用防着板及び成膜装置
US5705080A (en) 1994-07-06 1998-01-06 Applied Materials, Inc. Plasma-inert cover and plasma cleaning process
US5824605A (en) 1995-07-31 1998-10-20 Lam Research Corporation Gas dispersion window for plasma apparatus and method of use thereof
US5838529A (en) 1995-12-22 1998-11-17 Lam Research Corporation Low voltage electrostatic clamp for substrates such as dielectric substrates
JP3659435B2 (ja) 1996-02-29 2005-06-15 京セラ株式会社 耐食性部材、プラズマ処理装置、半導体製造装置、液晶製造装置及び放電容器。
US6071627A (en) * 1996-03-29 2000-06-06 Kabushiki Kaisha Toshiba Heat-resistant member and a method for evaluating quality of a heat-resistant member
AU2543397A (en) * 1996-03-29 1997-10-22 Garth W. Billings Refractory nitride, carbide, ternary oxide, nitride/oxide, oxide/carbide, oxycarbide, and oxynitride materials and articles
US5820723A (en) 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US6048798A (en) 1996-06-05 2000-04-11 Lam Research Corporation Apparatus for reducing process drift in inductive coupled plasma etching such as oxide layer
US5863376A (en) 1996-06-05 1999-01-26 Lam Research Corporation Temperature controlling method and apparatus for a plasma processing chamber
JPH104083A (ja) * 1996-06-17 1998-01-06 Kyocera Corp 半導体製造用耐食性部材
US5846332A (en) * 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
JP3619330B2 (ja) * 1996-07-31 2005-02-09 京セラ株式会社 プラズマプロセス装置用部材
JP3623054B2 (ja) 1996-08-28 2005-02-23 京セラ株式会社 プラズマプロセス装置用部材
US6217715B1 (en) 1997-02-06 2001-04-17 Applied Materials, Inc. Coating of vacuum chambers to reduce pump down time and base pressure
US6447937B1 (en) * 1997-02-26 2002-09-10 Kyocera Corporation Ceramic materials resistant to halogen plasma and components using the same
US6231969B1 (en) * 1997-08-11 2001-05-15 Drexel University Corrosion, oxidation and/or wear-resistant coatings
JPH11219937A (ja) 1998-01-30 1999-08-10 Toshiba Corp プロセス装置
US6129808A (en) 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same
US6123791A (en) * 1998-07-29 2000-09-26 Applied Materials, Inc. Ceramic composition for an apparatus and method for processing a substrate
JP3618048B2 (ja) * 1998-09-14 2005-02-09 京セラ株式会社 半導体製造装置用部材
JP2000114189A (ja) 1998-10-06 2000-04-21 Toshiba Corp 真空処理装置
JP4194143B2 (ja) * 1998-10-09 2008-12-10 株式会社神戸製鋼所 ガス耐食性とプラズマ耐食性に優れたアルミニウム合金材
DE69920152T2 (de) * 1998-12-21 2005-09-22 Shin-Etsu Chemical Co., Ltd. Korrosionbeständiges Mischoxidmaterial
JP2000302553A (ja) 1999-04-14 2000-10-31 Taiheiyo Cement Corp 耐蝕性フッ化物基複合セラミックス焼結体
JP3732966B2 (ja) 1999-04-28 2006-01-11 京セラ株式会社 耐食性部材
JP3510993B2 (ja) * 1999-12-10 2004-03-29 トーカロ株式会社 プラズマ処理容器内部材およびその製造方法
KR20010062209A (ko) * 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
JP2001237140A (ja) * 1999-12-13 2001-08-31 Murata Mfg Co Ltd 積層型セラミック電子部品およびその製造方法ならびにセラミックペーストおよびその製造方法
JP2001207275A (ja) 2000-01-25 2001-07-31 Kyocera Corp 耐食性部材およびチャンバ構成部材
JP2001240482A (ja) * 2000-02-29 2001-09-04 Kyocera Corp 耐プラズマ部材、高周波透過部材およびプラズマ装置
JP2001284328A (ja) * 2000-03-31 2001-10-12 Taiheiyo Cement Corp セラミック部品
JP2001295075A (ja) * 2000-04-12 2001-10-26 Toshiba Corp 金属基材への耐食セラミックコ−ティング部材、その製造方法、およびその部材から構成される部品
TW503449B (en) * 2000-04-18 2002-09-21 Ngk Insulators Ltd Halogen gas plasma-resistive members and method for producing the same, laminates, and corrosion-resistant members
EP1642994B8 (en) * 2000-06-29 2017-04-19 Shin-Etsu Chemical Co., Ltd. Rare earth oxid powder used in thermal spray coating
JP2002037683A (ja) * 2000-07-24 2002-02-06 Toshiba Ceramics Co Ltd 耐プラズマ性部材およびその製造方法
AU2001288566A1 (en) * 2000-11-15 2002-05-27 Gt Equipment Technologies Inc. A protective layer for quartz crucibles used for silicon crystallization
US6613442B2 (en) * 2000-12-29 2003-09-02 Lam Research Corporation Boron nitride/yttria composite components of semiconductor processing equipment and method of manufacturing thereof
US6777045B2 (en) * 2001-06-27 2004-08-17 Applied Materials Inc. Chamber components having textured surfaces and method of manufacture
US6780787B2 (en) * 2002-03-21 2004-08-24 Lam Research Corporation Low contamination components for semiconductor processing apparatus and methods for making components

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102260856A (zh) * 2011-07-26 2011-11-30 中微半导体设备(上海)有限公司 抗刻蚀层、半导体处理装置及制作方法
CN103959447A (zh) * 2011-12-05 2014-07-30 东京毅力科创株式会社 等离子体处理装置和等离子体处理方法
CN103959447B (zh) * 2011-12-05 2016-08-24 东京毅力科创株式会社 等离子体处理装置和等离子体处理方法
CN104204267B (zh) * 2012-03-22 2016-11-30 东华隆株式会社 氟化物喷涂覆膜的形成方法及氟化物喷涂覆膜覆盖部件
CN104204267A (zh) * 2012-03-22 2014-12-10 东华隆株式会社 氟化物喷涂覆膜的形成方法及氟化物喷涂覆膜覆盖部件
CN111952149A (zh) * 2013-05-23 2020-11-17 应用材料公司 用于半导体处理腔室的经涂布的衬里组件
CN105900213B (zh) * 2013-12-30 2020-08-21 Gtat公司 用于cad反应器的改善的辐射屏障
CN105900213A (zh) * 2013-12-30 2016-08-24 Gtat公司 用于cad反应器的改善的辐射屏障
US11015244B2 (en) 2013-12-30 2021-05-25 Advanced Material Solutions, Llc Radiation shielding for a CVD reactor
CN105304519A (zh) * 2014-07-11 2016-02-03 北京北方微电子基地设备工艺研究中心有限责任公司 内衬、内衬的制备方法及反应腔室
CN106687620A (zh) * 2014-08-08 2017-05-17 株式公司品維斯 形成有氟化铝生成防止膜的化学气相沉积工艺腔部件
CN106252188A (zh) * 2015-06-04 2016-12-21 朗姆研究公司 具有抗等离子体蚀刻的涂层的等离子体蚀刻装置
CN109423606A (zh) * 2017-08-24 2019-03-05 中微半导体设备(上海)有限公司 聚焦环及其耐腐蚀防护方法
CN111405980A (zh) * 2017-09-28 2020-07-10 麦克斯特里尔有限公司 包括表面涂层的制品及其生产方法
CN111326470A (zh) * 2018-12-17 2020-06-23 夏泰鑫半导体(青岛)有限公司 静电夹盘及半导体设备
CN114308900A (zh) * 2021-12-22 2022-04-12 深圳泰德半导体装备有限公司 等离子清洗机

Also Published As

Publication number Publication date
KR20040101330A (ko) 2004-12-02
CN100357489C (zh) 2007-12-26
TW200802545A (en) 2008-01-01
US6780787B2 (en) 2004-08-24
US8935990B2 (en) 2015-01-20
JP2005521250A (ja) 2005-07-14
JP2010153881A (ja) 2010-07-08
AU2003210966A1 (en) 2003-10-08
US20090123735A1 (en) 2009-05-14
KR101024514B1 (ko) 2011-03-31
US20130059071A1 (en) 2013-03-07
US20030181065A1 (en) 2003-09-25
US20090068845A1 (en) 2009-03-12
EP1495155A1 (en) 2005-01-12
US20050003240A1 (en) 2005-01-06
TWI300587B (en) 2008-09-01
TW200305198A (en) 2003-10-16
TWI299182B (en) 2008-07-21
US20090120790A1 (en) 2009-05-14
US8318327B2 (en) 2012-11-27
WO2003080892A1 (en) 2003-10-02
IL163917A (en) 2009-11-18

Similar Documents

Publication Publication Date Title
CN100357489C (zh) 用于半导体工艺设备中的低污染部件及其制造方法
CN1300374C (zh) 半导体工艺设备中的含铈氧化物的陶瓷部件与涂层
CN1260770C (zh) 在半导体加工设备中的氧化锆增韧陶瓷组件和涂层及其制造方法
KR100830068B1 (ko) 반도체 공정 설비의 보론 나이트라이드/이트리아 복합체부품 및 그 제조방법
KR100853972B1 (ko) 반응기 벽체 상의 다이아몬드 코팅막 및 그 제조방법
US7605086B2 (en) Corrosion resistant component of semiconductor processing equipment and method of manufacture thereof
KR100916952B1 (ko) 반도체 처리 장비의 풀러린 코팅 컴포넌트
CN1906026A (zh) 半导体材料加工设备中的氧化钇涂覆的陶瓷部件及该部件的制造方法
CN1663017A (zh) 等离子体反应器的产量增进热喷涂含氧化钇涂层

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CX01 Expiry of patent term
CX01 Expiry of patent term

Granted publication date: 20071226