CN1300374C - 半导体工艺设备中的含铈氧化物的陶瓷部件与涂层 - Google Patents

半导体工艺设备中的含铈氧化物的陶瓷部件与涂层 Download PDF

Info

Publication number
CN1300374C
CN1300374C CNB028089472A CN02808947A CN1300374C CN 1300374 C CN1300374 C CN 1300374C CN B028089472 A CNB028089472 A CN B028089472A CN 02808947 A CN02808947 A CN 02808947A CN 1300374 C CN1300374 C CN 1300374C
Authority
CN
China
Prior art keywords
parts
cerium oxide
ceramic layer
plasma
coating
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB028089472A
Other languages
English (en)
Other versions
CN1505695A (zh
Inventor
R·J·奥唐奈
J·E·道格尔蒂
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN1505695A publication Critical patent/CN1505695A/zh
Application granted granted Critical
Publication of CN1300374C publication Critical patent/CN1300374C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/50Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on rare-earth compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J19/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J19/0053Details of the reactor
    • B01J19/0073Sealings
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J19/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J19/02Apparatus characterised by being constructed of material selected for its chemically-resistant properties
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/04Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material
    • C23C28/042Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material including a refractory ceramic layer, e.g. refractory metal oxides, ZrO2, rare earth oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/02Apparatus characterised by their chemically-resistant properties
    • B01J2219/0204Apparatus characterised by their chemically-resistant properties comprising coatings on the surfaces in direct contact with the reactive components
    • B01J2219/0218Apparatus characterised by their chemically-resistant properties comprising coatings on the surfaces in direct contact with the reactive components of ceramic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/02Apparatus characterised by their chemically-resistant properties
    • B01J2219/0204Apparatus characterised by their chemically-resistant properties comprising coatings on the surfaces in direct contact with the reactive components
    • B01J2219/0236Metal based
    • B01J2219/024Metal oxides
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/02Composition of constituents of the starting material or of secondary phases of the final product
    • C04B2235/30Constituents and secondary phases not being of a fibrous nature
    • C04B2235/32Metal oxides, mixed metal oxides, or oxide-forming salts thereof, e.g. carbonates, nitrates, (oxy)hydroxides, chlorides
    • C04B2235/3217Aluminum oxide or oxide forming salts thereof, e.g. bauxite, alpha-alumina
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/02Composition of constituents of the starting material or of secondary phases of the final product
    • C04B2235/30Constituents and secondary phases not being of a fibrous nature
    • C04B2235/32Metal oxides, mixed metal oxides, or oxide-forming salts thereof, e.g. carbonates, nitrates, (oxy)hydroxides, chlorides
    • C04B2235/3224Rare earth oxide or oxide forming salts thereof, e.g. scandium oxide
    • C04B2235/3225Yttrium oxide or oxide-forming salts thereof
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/02Composition of constituents of the starting material or of secondary phases of the final product
    • C04B2235/30Constituents and secondary phases not being of a fibrous nature
    • C04B2235/32Metal oxides, mixed metal oxides, or oxide-forming salts thereof, e.g. carbonates, nitrates, (oxy)hydroxides, chlorides
    • C04B2235/3224Rare earth oxide or oxide forming salts thereof, e.g. scandium oxide
    • C04B2235/3229Cerium oxides or oxide-forming salts thereof
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/02Composition of constituents of the starting material or of secondary phases of the final product
    • C04B2235/30Constituents and secondary phases not being of a fibrous nature
    • C04B2235/32Metal oxides, mixed metal oxides, or oxide-forming salts thereof, e.g. carbonates, nitrates, (oxy)hydroxides, chlorides
    • C04B2235/3231Refractory metal oxides, their mixed metal oxides, or oxide-forming salts thereof
    • C04B2235/3244Zirconium oxides, zirconates, hafnium oxides, hafnates, or oxide-forming salts thereof
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/02Composition of constituents of the starting material or of secondary phases of the final product
    • C04B2235/30Constituents and secondary phases not being of a fibrous nature
    • C04B2235/38Non-oxide ceramic constituents or additives
    • C04B2235/3817Carbides
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/70Aspects relating to sintered or melt-casted ceramic products
    • C04B2235/80Phases present in the sintered or melt-cast ceramic products other than the main phase
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S156/00Adhesive bonding and miscellaneous chemical manufacture
    • Y10S156/915Differential etching apparatus including focus ring surrounding a wafer for plasma apparatus

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Ceramic Engineering (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Structural Engineering (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Coating By Spraying Or Casting (AREA)
  • Other Surface Treatments For Metallic Materials (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)

Abstract

半导体工艺设备如等离子体腔室的一种抗腐蚀部件,其包含用一种含铈氧化物的陶瓷材料作为部件的最外层表面。含铈氧化物的陶瓷材料包含一种或多种铈氧化物作为其单一的最大组分。该部件可以完全由含铈氧化物的陶瓷材料制造,或者可供选择的,可用这种含铈氧化物的陶瓷在比如铝或者铝合金,陶瓷材料,不锈钢,或者难熔金属的衬底上提供一种层。可以通过一种技术比如等离子喷涂将该含铈氧化物的陶瓷层作为一种涂层提供。在部件和这种含铈氧化物的陶瓷涂层之间可以提供一个或者多个中间层。为了提高这种含铈氧化物的陶瓷涂层的附着力,在沉积该含铈氧化物的陶瓷涂层之前,可以对部件表面或者中间层表面进行一种表面粗糙化处理。

Description

半导体工艺设备中的含铈氧化物 的陶瓷部件与涂层
发明背景
1.发明领域
一般地,本发明涉及半导体晶片的制造,更具体地,涉及到具有在工艺过程中可以减少颗粒和金属污染的内表面的高密度等离子体刻蚀腔。
2.相关技术描述
在半导体工艺领域中,通常使用真空工艺腔来在衬底上进行材料的刻蚀和化学气相沉积(CVD),将刻蚀或者沉积气体供入真空腔中,并对气体施加一种RF场将气体激发到等离子体状态。在专利号为4340462,4948458,5200232和5820723的共同所有的US专利中公开了平行板式变压耦合等离子体(TCPTM),也叫做电感耦合等离子体(ICP),和电子回旋共振(ECR)反应器及其部件的例子。由于这些反应器中等离子体环境的腐蚀性以及为了使颗粒和/或重金属污染最小化的需要,高度希望这些设备的部件具有高的抗腐蚀性。
在半导体衬底的处理过程中,一般用衬底夹具例如机械钳和静电钳(ESC)将衬底固定在真空腔中。这些钳制系统及其部件的例子可以在专利号为5262029和5838529的共同所有的US专利中找到。可以通过许多方式将处理气体供入腔室中,比如通过气体分布板。在专利号为5863376的共同所有的US专利中可以找到一种用于电感耦合等离子体反应器的温控气体分布板及其部件的例子。除了等离子体腔室设备,在半导体衬底处理中使用的其它设备包括传送机构,气体供应系统,衬里,起落机构,加载锁,室门机构,机械臂,紧固件及其它类似的设备。这些设备的许多部件要承受多种与半导体工艺相关的腐蚀条件。而且,考虑到处理半导体衬底如硅晶片及介电材料如用于平板显示的玻璃衬底时对高纯度的需要,高度希望在这些环境中的部件具有改善的抗腐蚀性。
通常用于等离子体反应器的器壁,电极,衬底支撑,紧固件及其它部件的是铝和铝合金。为了防止这些金属部件的腐蚀,已提出了多种技术来在铝表面进行各种涂层。例如,在专利号为5641375的US专利中公开了进行了阳极化处理的铝腔室壁,以减少等离子体对腔壁的侵蚀和磨损。专利’375指出,阳极化层最终会被溅射掉或刻蚀掉,腔室必须进行更换。专利号为5895586的US专利公开了可以在专利号为62-103379的日本申请公开中找到一种技术,来在铝材料上制备Al2O3,AlC,TiN,TiC,AlN或者其它类似的抗腐蚀膜。专利号为5680013的US专利指出在专利号为4491496的US专利中公开了一种在刻蚀腔室的金属表面火焰喷涂Al2O3的技术。专利’013指出铝和陶瓷涂层如氧化铝间的热膨胀系数的不同会导致涂层因热循环而开裂,并最终在腐蚀环境下失效。专利号为5879523的US专利公开了一种溅射腔,其中在诸如不锈钢或者铝的金属上应用了一种热喷涂Al2O3涂层,并且二者之间有一个可选的含NiAlx结合的涂层。专利号为5522932的US专利公开了一种用于衬底等离子体处理设备的金属部件上的铑涂层,二者之间有一个可选的镍涂层。
用于等离子体腔的腔壁,衬里,气环和其它部分的材料也已经被提出,例如参见专利号为5366585,5788799,5798016,5851299和5885356的US专利。
由于集成电路器件在外观尺寸和工作电压上都持续减小,其相关的生产率对于颗粒和金属杂质的污染就变得越来越敏感。结果,要制作具有更小外观尺寸的集成电路器件,就需要微粒和金属污染的水平比以前认为可以接受的更小。
考虑到前面所说的,就需要使高密度等离子体工艺腔的暴露在等离子体中的内表面更加抗腐蚀并要有助于使正在处理的晶片表面的污染(例如:颗粒和金属杂质)最小化。
发明简述
在本发明的第一个实施方案中,提供一种制造半导体工艺设备部件的方法。该方法包括在部件的表面提供一种含铈氧化物的陶瓷层,使得该含铈氧化物的陶瓷层形成部件的最外表面。这种含铈氧化物的陶瓷层包含一种或者多种铈氧化物作为其单一的最大组分。
在本发明的第二个实施方案中,提供一种用含铈氧化物的陶瓷材料来制造半导体工艺设备部件的方法。该方法包括步骤:制备含铈氧化物的浆料;按所需形状用浆料成型生坯;然后将生坯烧结制成含铈氧化物的陶瓷部件。这种含铈氧化物的陶瓷部件包含一种或者多种铈氧化物作为其单一的最大组分。
在本发明的第三个实施方案中,提供一种半导体工艺设备部件,该部件包括一个铝衬底和一个在该衬底上的且构成该部件最外表面的含铈氧化物的陶瓷材料的陶瓷层,其中该含铈氧化物的陶瓷材料(a)基本上由一种或多种铈氧化物组成;或(b)基本上由一种或多种铈氧化物作为其单一的最大组分和一种镧系元素的氧化物组成。
根据本发明的第三个实施方案中的部件,其中铝衬底具有一个阳极化处理的表面,且陶瓷层位于阳极化处理的表面上。
根据本发明的第三个实施方案中的部件,其中陶瓷层的厚度在从0.001到0.050英寸的范围。
根据本发明的第三个实施方案中的部件,其中该部件包含一个暴露在等离子体环境中的部分,或者包含一个暴露在与等离子体环境相关的偏置电压下的部分。
根据本发明的第三个实施方案中的部件,其中铈氧化物包含Ce(III)的氧化物和/或Ce(IV)的氧化物。
根据本发明的第三个实施方案中的部件,其中该部件选自等离子体腔室壁、腔衬里、气体分布板、气环、底座、静电夹具和聚焦环。
根据本发明的第三个实施方案中的部件,其中含铈氧化物的陶瓷材料基本上由(i)一种或多种铈氧化物作为其单一的最大组分和(ii)一种镧系元素的氧化物组成。
根据本发明的第三个实施方案中的部件,其中该衬底包含一个粗糙化的表面,且该陶瓷层是一个与该粗糙化的表面机械互锁的等离子体喷涂层。
根据本发明的第三个实施方案中的部件,其中该部件是一个多晶硅高密度等离子体刻蚀腔室或介电材料刻蚀腔室的部件。
根据本发明的第三个实施方案中的部件,包括一个第一中间层,该陶瓷层在该第一中间层上,该第一中间层的材料选自难熔金属、Al2O3、SiC、Si3N4、碳化硼、AlN、TiO2和聚合物。
根据本发明的第三个实施方案中的部件,其中该第一中间层包含一个粗糙化的表面,且该陶瓷层是一个与该第一中间层的该粗糙化的表面机械互锁的等离子体喷涂层。
根据本发明的第三个实施方案中的部件,包括位于第一中间层上的第二中间层,该陶瓷层在该第二中间层上,该第二中间层的材料选自难熔金属、Al2O3、SiC、Si3N4、碳化硼、AlN、TiO2和聚合物。
在本发明的第四个实施方案中,提供一种制造半导体工艺设备部件的方法,该方法包括:
在半导体工艺设备部件的铝表面沉积含铈氧化物的陶瓷层,其中含铈氧化物的陶瓷层包含一种或者多种铈氧化物作为其单一的最大组分,而且其中含铈氧化物的陶瓷层形成该部件的最外表面。
根据本发明的第四个实施方案中的方法,其中铈氧化物包含Ce(III)的氧化物和/或Ce(IV)的氧化物。
根据本发明的第四个实施方案中的方法,其中陶瓷层通过选自如下的技术进行应用:溅射,溅射沉积,浸涂,化学气相沉积,电子束蒸发和凝结,物理气相沉积,热等静压,冷等静压,压缩模制,注模,压实和烧结,等离子喷涂以及热喷涂。
根据本发明的第四个实施方案中的方法,其中部件选自等离子体腔壁,腔衬里,气体分布板,气环,底座,静电夹具和聚焦环。
根据本发明的第四个实施方案中的方法,其中沉积陶瓷层的厚度在从0.001到0.050英寸的范围。
根据本发明的第四个实施方案中的方法,进一步包含在部件表面上沉积一个中间层,和在该中间层上沉积陶瓷层。
根据本发明的第四个实施方案中的方法,进一步包含在沉积陶瓷层之前将表面进行表面粗糙化处理,该陶瓷层沉积在粗糙化的表面上。
根据本发明的第四个实施方案中的方法,进一步包含在沉积陶瓷层之前将粗糙化的表面进行阳极化处理。
根据本发明的第四个实施方案中的方法,进一步包含在沉积陶瓷层之前将阳极化处理的表面进行表面粗糙化处理。
在本发明的第五个实施方案中,提供一种在上述等离子体腔中处理半导体衬底的方法。在依据本发明的这一方法中,将衬底传送到等离子体腔中并对衬底的暴露表面用等离子体处理。在本发明的一个进一步优选的实施方案中,该方法包括步骤:将衬底放置在反应器中的衬底支撑上;将处理气体导入反应器中;对处理气体施加RF能量,以在衬底的暴露表面附近产生等离子体;然后用等离子体对暴露的衬底表面进行刻蚀或者其它处理。
附图简述
结合附图对本发明进行更加详细的描述,附图中相同的元件用相同的数字标注,其中:
图1所示是传统的等离子体喷涂工艺;
图2所示的是依据本发明的一个实施方案的多晶硅刻蚀设备的导气环设备的剖面图;
图3是包含依据本发明的部件的多晶硅刻蚀腔;
图4是包含依据本发明的部件的高密度氧化物刻蚀腔;
图5是依据本发明的抗腐蚀涂层的一个实施方案的细节;
图6是依据本发明的抗腐蚀涂层的另一个实施方案的细节;以及
图7是依据本发明的抗腐蚀涂层的一个进一步的实施方案的细节。
本发明的优选实施方案详述
本发明为给半导体工艺设备部件如等离子体工艺反应器腔室部件的表面提供抗腐蚀性提供一种有效的方法。这些部件包括腔壁,衬底支撑,气体分布系统(包括喷头,折流板,气环,喷嘴等),紧固件,加热元件,等离子体屏,衬里,传输模块部件,例如:机械臂,紧固件,内外腔壁等,以及其它部件。在本发明中,这些部件本身可以由含铈氧化物的陶瓷材料制造,或者用含铈氧化物的陶瓷材料来涂层或者覆盖这些部件的暴露于等离子体中的表面。
在本发明中,含铈氧化物的陶瓷材料包含一种或者多种铈氧化物。依据本发明,这种或这些铈氧化物构成这一陶瓷材料的单一的最大组分。铈氧化物可以是Ce(III)的氧化物或者是Ce(IV)的氧化物。依据本发明的含铈氧化物的陶瓷材料中也可以含有氧化铝,氧化锆,氧化钇和其它的IIA,IIIA,IVA,VA,VIA,VIIA,VIIIA,IB,IIB,IIIB,IVB族元素的氧化物,氮化物,硼化物,氟化物和碳化物。依据本发明的该陶瓷材料也可以包含任何镧系元素(例如原子序数为58-71的元素)的任何的氧化物,氮化物,硼化物,氟化物或者碳化物。在这些中,尤其优选的材料是铈硼化物和铈氮化物。
在包含依据本发明的一种或者多种部件的设备中,为使处理的衬底的污染最小化,希望含铈氧化物的陶瓷材料尽可能地纯,例如,包含最少量的污染元素如过渡金属,碱金属等。举例来讲,该含铈氧化物的陶瓷材料要足够纯到可以避免晶片上1010个原子/cm2或者更高的污染,优选的在105个原子/cm2或者更高。
本发明的发明者已经发现铈氧化物基陶瓷材料具有用在半导体工艺设备比如等离子体刻蚀腔中的所期望的性能。特别地,在等离子体反应腔中,含铈氧化物的陶瓷提供的抗腐蚀表面能够减少微粒污染的水平。含铈氧化物的陶瓷也可以提供对由等离子体引起的物理侵蚀(例如离子溅射引起的侵蚀)和化学侵蚀都具有抵抗性的暴露于等离子体中的表面。
在本发明的一个优选的实施方案中,该含铈氧化物的陶瓷材料是作为涂层提供的。铈氧化物涂层可以用本技术领域内所知的方法来应用。例如,在专利号为:4421799,4593007,5334462,5362335,5627124,5668072,5721057,5834070和6007880的US专利以及专利公开GB2236750A和WO94/29237中公开了应用铈氧化物涂层的方法。
一种优选的涂层方法是热喷涂(例如等离子体喷涂),其中,将陶瓷粉体熔融,并引入对准要喷涂涂层的部件的气流中。热喷涂技术的一个优点是仅仅是将部件面对热喷涂枪的面进行涂层,并可以使用遮盖物来对其它区域进行保护。传统的热喷涂技术,包括等离子体喷涂,在Pawlowski所著的The Science and Engineering ofThermal Spray Coating(John Wiley,1995)中进行了阐述,因此将其内容引入作为参考。
一种尤其优选的热喷涂方法是等离子体喷涂,其允许对腔室的复杂内表面或者其它腔室部件进行涂层。图1显示了一种典型的等离子体喷涂工艺。将涂层材料112,通常是粉体的形式,喷射到高温等离子体火焰114中,在那里,其被快速加热并加速到一个很高的速度。这种热材料碰撞在衬底表面116上并迅速冷却形成涂层118。
等离子体喷涂枪120通常包含一个铜阳极122和钨阴极124,二者均用水冷却。等离子体气126(例如氩,氮,氢,氦)通常沿着箭头128所示的方向流过阴极,并从做成收缩嘴状的阳极130中通过。高压放电引起局部离子化并在阴极124和阳极130之间为DC弧形成一个导电通路,由此产生等离子体。弧的电阻加热使气体达到相当高的温度,分离并离子化而形成等离子体。等离子体作为一种自由的或者中性的等离子体焰(不带电流的等离子体)由阳极喷嘴130喷出。当等离子体稳定并可以进行喷涂时,电弧沿着喷嘴向下扩展。通常用一种固定在阳极喷嘴出口134附近的外部粉体端口132将粉体112供入等离子体焰中。粉体112加热和加速的如此之快以至于喷涂距离136(喷嘴顶端与衬底表面之间的距离)可以大约为125到150mm。这样通过使熔融或者受热软化的颗粒碰撞在衬底上的方法就制成了等离子体喷涂涂层。
在本发明中,可以使用诸如清洗和喷砂或喷丸等表面制备技术来提供一个对于结合具有更高的化学和物理活性的表面。在涂层之前,优选地,要将衬底表面彻底清洗以消除表面物质,比如氧化物或者油脂。进一步地,在涂层之前,可以用已知的方法比如喷砂将表面进行粗化。通过喷砂,能够增加结合的可用表面积,这可以增强涂层结合的强度。粗糙的表面轮廓也能够提高涂层与衬底之间的机械咬合或者互锁。对于铝反应器部件来讲,在应用铈氧化物涂层之前,尤其希望使部件表面粗化,使粗化的部件表面阳极化,并再次将阳极化的表面进行粗化。
依据本发明的含铈氧化物的陶瓷涂层优选地用等离子体喷涂工艺进行应用,但也可以使用陶瓷材料适用的其它的涂层方法。例如,依据本发明的含铈氧化物的陶瓷涂层可以通过溅射,溅射沉积,浸渍涂层,化学气相沉积,蒸发和凝结(包括电子束蒸发和凝结),物理气相沉积,热等静压,冷等静压,压缩模制,注模,压实和烧结,等离子体喷涂以及热喷涂的方法进行应用。
在本发明的一个优选的实施方案中,将含铈氧化物的陶瓷部件用作多晶硅高密度等离子体反应器的反应器部件。这种类型的反应器的例子是可以从California的Lam Research Corporation ofFremont得到的TCP 9400TM等离子体刻蚀反应器。在TCP 9400TM反应器中,将处理气体(例如Cl2,HBr,CF4,CH2F2,O2,N2,Ar,SF6和NF3)导入位于刻蚀腔底部的导气环中,然后通过导气孔导入反应腔中。图2是依据本发明的TCP 9400TM多晶硅等离子体刻蚀反应器中的导气环的剖面图。正如图2中所示,导气环40的主体部分包围在衬底支撑44的周围,导气环40的底面含有一个环状导气槽60。前面提到的导气孔50延伸到导气槽60中。
典型地,导气环用铝构成。导气环的上表面直接暴露在等离子体中,这样就会受到侵蚀。为保护这些表面,导气环一般用氧化铝层进行覆盖,这一般通过将导气环表面进行阳极化来制备。然而,这种阳极化涂层相对较脆,使用时在反应器反覆的热循环过程中有破裂的倾向。在阳极化层中形成的裂纹会使腐蚀性的处理气体侵蚀下面的铝层而减小器件寿命,并对处理的衬底如晶片,平板显示衬底等形成金属和颗粒污染。
依据本发明,可以用由含铈氧化物的陶瓷材料的涂层42覆盖导气环的暴露表面。该铈氧化物陶瓷可以涂层在裸露的(有或者没有自身的氧化物表面膜)铝层上或者铝氧化物层(例如具有阳极化表面的铝)上。当对导气环进行涂层时,可以允许涂层部分的渗透到导气孔中,对其内壁进行涂层和保护。然而,不应该以会将这些开孔阻塞的方式应用这种涂层材料。这样,在涂层过程中导气孔会被塞住或者遮盖。
TCP 9400TM多晶硅等离子体刻蚀反应器的其它的在工艺过程中要暴露在等离子体中的部件也能够用依据本发明的含铈氧化物的陶瓷材料进行涂层。这些部件包括腔壁,腔衬里,夹持器件以及正对衬底的介电窗。在夹持器件比如静电夹具的上表面提供含铈氧化物的陶瓷材料涂层可在清洗期间对夹具提供附加保护,此过程中没有晶片,夹具的上表面要直接暴露在等离子体中。
另一个多晶硅刻蚀反应器的例子是同样可以从California的LamResearch Corporation of Fremont得到的VersysTM多晶硅刻蚀器或2300TM刻蚀器。图3是依据本发明的2300TM多晶硅刻蚀反应器的剖面图。该反应器包含反应腔150,其中包括的衬底支撑152包括一个静电夹具154,其可以对固定在其上的衬底(没示出)施加一个钳制力。在静电夹具154周围的衬底支撑152上按所示固定着聚焦环170。衬底支撑152也能用来对衬底施加一个RF偏置。衬底也能够用热交换气体比如氦来进行背冷。在2300TM刻蚀器中,处理气体(例如Cl2,HBr,CF4,CH2F2,O2,N2,Ar,SF6和NF3)通过位于腔室150顶部的气体注入器168导入腔室150中。气体注入器168与供气口156相连。气体注入器168一般用石英或者陶瓷材料比如氧化铝制成。正如所示,导电线圈158可以用适宜的RF源(没示出)驱动来提供高密度(例如1011-1012个离子/cm3)的等离子体。导电线圈158通过介电窗160将RF能量耦合到腔室150内部。介电窗160一般由石英或者氧化铝制成。介电窗160按所示固定在环状元件162上。环状元件162将介电窗160从腔室150的顶部隔开,称作一种“气体分布板”。腔衬里164包围着衬底支撑152。腔室150也可以包括适宜的抽真空装置(没示出)以使腔室内部保持在所希望的压力。
在图3中,反应器部件比如环状元件162,介电窗160,衬底支撑152,腔衬里164,气体注入器168,聚焦环170和静电夹具154的内表面按所示用由含铈氧化物的陶瓷材料的涂层166涂覆。腔室150和在腔衬里164下面的衬底支撑152的内表面如图3中所示也可以用含铈氧化物的陶瓷材料涂层166来提供。这些表面的任何或者全部以及任何其它的反应器内表面能够提供依据本发明的含铈氧化物的陶瓷材料涂层。进一步地,任何的或者全部的这些部件能够用依据本发明的含铈氧化物的陶瓷材料的整体材料制造。
本发明的反应器部件也能用在高密度的氧化物刻蚀工艺中。一种氧化物刻蚀反应器的例子是可以从California的Lam ResearchCorporation of Fremont得到的TCP 9100TM等离子体刻蚀反应器。在TCP 9100TM反应器中,气体分布板是一个直接位于TCPTM窗下面的圆板,TCPTM窗也是位于反应器顶部的真空密封面,其位于在半导体晶片上方并与半导体晶片相平行的平面上。气体分布板用一个O型圈与位于气体分布板周围的气体分布环相密封。气体分布环从气源向由气体分布板,位于向反应器中供应RF能量的平面螺旋线圈式天线下面的窗的内表面和气体分布环限定的空间中供气。气体分布板包含特定直径的贯通该板的孔阵列。可以改变贯通气体分布板的孔的空间分布以使被刻蚀层,例如,阻光层,二氧化硅层和位于晶片上的底层材料的刻蚀均匀性达到最优化。可以改变气体分布板的剖面形状以调节供入反应器等离子体中的RF能量分布。气体分布板材料是介电性的,能够将RF能量通过气体分布板耦合到反应器中。而且,希望气体分布板材料对在诸如氧气或水-氟碳气体等离子体环境中的化学溅射刻蚀具有高度的抵抗性,以避免破碎和由此引起的颗粒的产生。
图4所示的是一个前述类型的等离子体反应器。该反应器包含反应腔10,其中包括的衬底座12包括一个静电夹具34,其可以对衬底13施加一个钳制力以及一个对衬底的RF偏置。衬底能够用热交换气体比如氦来进行背冷。聚焦环14包含介电外环14a和内环14b,其将等离子体限定在衬底上面的一个区域里。在腔室中获得高密度(例如1011-1012个离子/cm3)的等离子体的能量源,比如用适宜的RF源驱动来提供高密度等离子体的天线18置于反应器腔室10的顶部。该腔室包括适宜的抽真空装置以使腔室内部保持在所希望的压力(例如在50mTorr以下,典型的在1-20mTorr)。
在天线18和处理腔室10的内部之间是一个厚度均匀的基本上平板状的介电窗20,其在处理腔室10的顶部构成真空壁。气体分布板22位于窗20的下方,并包括诸如圆孔的开孔以将处理气体从气源23输送到腔室10中。圆锥状的衬里30从气体分布板延伸并包围在衬底座12的周围。天线18可以与通道24一起提供,温度控制液可以经该通道从入口和出口管道15,26通过。然而,天线18和/或窗20无需冷却或者可以通过其它的技术来冷却,比如在天线和窗上进行吹风,通入冷却介质或者与窗和/或气体分布板接触的热传递,等等。
在操作中,将半导体衬底比如硅晶片放到衬底座12上,并用静电夹具34固定到位。然而,也可以使用其它的钳制方法,比如机械钳制机构。另外,可以使用氦背冷以提高衬底和夹具之间的热传递。然后将处理气体通过窗20和气体分布板22之间的空隙供入真空处理腔10中。在专利号为5824605,6048798和5863376的共同所有的US专利中公开了适宜的气体分布板(也就是喷头)的排列。通过向天线18施加合适的RF源,在衬底和窗之间的空间中引燃了高密度等离子体。
在图4中,反应器部件比如气体分布板22,腔衬里30,静电夹具34和聚焦环14的内表面按所示的用铈氧化物材料涂层32涂覆。然而,任何的或者全部的这些表面能够备有依据本发明的铈氧化物涂层。
上述高密度多晶硅和介电刻蚀腔仅仅是可以引入依据本发明的部件的等离子体刻蚀反应器的例子。本发明的含铈氧化物的陶瓷部件可以用在任何的刻蚀反应器中(例如金属刻蚀反应器)或者其它类型的其中存在等离子体侵蚀问题的半导体工艺设备中。
可以配以铈氧化物涂层的其它部件包括腔壁(一般地由具有阳极化或者非阳极化表面的铝制成),衬底座,紧固件等。这些部件一般由金属(例如铝)或者陶瓷(例如氧化铝)制成。这些等离子体反应器部件一般暴露在等离子体中,并经常呈现出腐蚀迹象。其它的可以依据本发明进行涂层的部件可以是不直接暴露在等离子体中的,但却是暴露在腐蚀性气体中,比如从被处理晶片等中释放出的气体。所以,也能够依据本发明为其它的在处理半导体衬底中使用的设备提供含铈氧化物的陶瓷表面。这些设备包括传送机构,气体供应系统,衬里,起落机构,加载锁,室门机构,机械臂,紧固件及其它类似的设备。
能够用依据本发明的含铈氧化物的陶瓷材料进行涂层的金属和/或合金的例子包括铝,不锈钢,难熔金属,例如“HAYNES 242”“Al-6061”,“SS 304”,“SS 316”。由于含铈氧化物的陶瓷材料在部件上形成了一个抗腐蚀的涂层,下面的部件不再直接暴露在等离子体中,就可以在使用铝合金时不必考虑合金添加剂,晶粒结构或者表面条件。另外,许多陶瓷或者聚合物材料也可以用依据本发明的含铈氧化物的陶瓷材料进行涂层。特别地,反应器部件可以用陶瓷材料比如氧化铝(Al2O3),碳化硅(SiC),氮化硅(Si3N4),碳化硼(B4C)和/或氮化硼(BN)来制造。
如果需要,在含铈氧化物的陶瓷涂层和部件表面之间可以提供一个或者多个中间材料层。图5所示的是依据本发明的一个优选的实施方案的已涂层部件。如图5所示,可选地,通过一种传统技术将第一中间涂层80涂层在反应器部件70上。这种可选的第一中间层80是足够厚的,可以附着在衬底上,而且允许在制备下面要说的可选的第二中间涂层90或者铈氧化物涂层之前对其进行处理。第一中间涂层80可以具有任意合适的厚度,比如厚至少大约0.001英寸,优选的从大约0.001英寸到大约0.25英寸,更优选的在0.001到0.15英寸之间,最优选的从0.001英寸到0.05英寸。
在将可选的第一中间涂层80沉积在反应器部件70上之后,可以用任意适用的技术将该镀层进行喷砂或者粗糙化,然后再在上面涂覆可选的第二涂层90或者含铈氧化物的陶瓷涂层100。粗糙化层80提供了特别好的结合。所希望的是,第二中间涂层90能给涂层80带来高的机械压缩强度并使涂层90中裂纹的形成最小化。
这种可选的第二中间涂层90是足够厚的,可以附着在第一中间涂层80上,而且进一步允许在制备下面要说的其它附加中间涂层或者外部的含铈氧化物的涂层100之前对其进行处理。第二中间涂层90可以具有任意合适的厚度,比如厚至少大约0.001英寸,优选的从大约0.001英寸到大约0.25英寸,更优选的在0.001到0.15英寸之间,最优选的从0.001英寸到0.05英寸。
该第一和第二中间涂层可以用任何的一种或者多种在传统等离子体工艺腔中使用的材料来制造。这些材料的例子包括金属,陶瓷和聚合物。特别希望的金属包括难熔金属。特别希望的陶瓷包括Al2O3,SiC,Si3N4,BC,AlN,TiO2等。特别希望的聚合物包括含氟聚合物如聚四氟乙烯和聚酰亚胺。这一或这些中间涂层可用任意已知的沉积技术来应用,如镀层(例如非电镀或者电镀),溅射,浸渍涂层,化学气相沉积,物理气相沉积,电泳沉积,热等静压,冷等静压,压缩模制,注模,压实和烧结,以及热喷涂(例如等离子体喷涂)。
所预期地,可选的第一和第二中间涂层80和90可以是上面提及的材料中的任何一种,这样,这些涂层依赖于所需的性能可以是相同的或者不同的。在此涂层和衬底之间也可以提供相同或者不同材料的附加中间涂层比如第三,第四或者第五中间涂层。
图6显示的是抗腐蚀涂层的第二个实施方案的细节。含铈氧化物的陶瓷层100以一个合适的厚度沉积在反应器部件70上,比如厚度在大约0.001到大约1.0英寸的范围,优选的从0.001到0.5英寸,最优选的从0.001英寸到0.05英寸。陶瓷层的厚度可以通过要与在反应器(例如刻蚀,CVD等)中要遇到的等离子体环境相容来进行选择。
尽管热喷涂是提供具有含铈氧化物的陶瓷表面的部件的优选方法,其它的涂层方法也可以使用。例如,含铈氧化物的涂层也可以通过其它的沉积技术来应用,比如溅射,浸渍涂层,化学气相沉积,物理气相沉积,热等静压,冷等静压,压缩模制,注模,以及压实和烧结。
含铈氧化物的陶瓷材料也能够以一种适于覆盖反应器部件的暴露表面的预成型衬里的形式来提供。这些衬里可用包括粘接结合的任意已知的方法来进行粘附,或者使用机械紧固件。当采用紧固件时,紧固件自身如果暴露在等离子体中,也应该用抗腐蚀的材料来制造。另外,可以将含铈氧化物的陶瓷衬里与下面的反应器部件设计成互锁结构。
然而,在另一个实施方案中,半导体工艺设备的部件用含铈氧化物的陶瓷材料的整体材料制成。在比如专利号为2434236和4465778的US专利中公开了烧结的铈氧化物陶瓷整体材料的例子。
从含铈氧化物的陶瓷材料制造整体材料的方法可以包括制备含铈氧化物的浆料,按所期望的形状成型生坯,将坯体进行烧结。可以将生坯制成任何暴露于等离子体中的反应器部件的形状。这些部件可以包括腔壁,衬底支撑,气体分布系统包括喷头,折流板,气环,喷嘴等的气体分布系统,紧固件,加热元件,等离子体屏,衬里,传输模块部件,例如:机械臂,紧固件,内外腔壁等,以及其它类似的部件。这些部件的一个具体例子是图7中所示的反应器部件110。图7所示的是由含铈氧化物的陶瓷材料的整体材料构成的反应器部件110的剖面图的细节。在W.D.Kingery,H.K.Bowen和D.R.Uhlmann所著的Introduction to Ceramics第二版中详细给出了陶瓷加工技术,因此将其内容引入作为参考。
含铈氧化物的陶瓷材料可以提供在全部的或者部分的反应器腔室和部件上。在一个优选的实施方案中,将该涂层或覆盖提供在暴露于等离子体环境中的区域上,比如与等离子体直接接触的部分或者在腔室部件(例如衬里)后面的部分。另外,铈氧化物层优选地用在那些要承受相对较高的偏置电压(即相对较高的溅射离子能量)的区域。
依据本发明,通过将含铈氧化物的陶瓷层用作涂层或覆盖,或者构成含铈氧化物陶瓷的整体部件,实现了一些优点。也就是说,通过使用依据本发明的含铈氧化物的陶瓷,实现了较低的腐蚀速率。结果,依据本发明的含铈氧化物的陶瓷部件或者涂层能够降低金属和颗粒污染的水平,通过提高消耗品的使用寿命而降低成本,降低处理偏差,并能够减少腔室部件和衬底的腐蚀水平。

Claims (21)

1.一种半导体工艺设备部件,该部件包括一个铝衬底和一个在该衬底上的且构成该部件最外表面的含铈氧化物的陶瓷材料的陶瓷层,其中该含铈氧化物的陶瓷材料(a)基本上由一种或多种铈氧化物组成;或(b)基本上由一种或多种铈氧化物作为其单一的最大组分和一种镧系元素的氧化物组成。
2.权利要求1中的部件,其中铝衬底具有一个阳极化处理的表面,且陶瓷层位于阳极化处理的表面上。
3.权利要求1中的部件,其中陶瓷层的厚度在从0.001到0.050英寸的范围。
4.权利要求1中的部件,其中该部件包含一个暴露在等离子体环境中的部分,或者包含一个暴露在与等离子体环境相关的偏置电压下的部分。
5.权利要求1中的部件,其中铈氧化物包含Ce(III)的氧化物和/或Ce(IV)的氧化物。
6.权利要求1的部件,其中该部件选自等离子体腔室壁、腔衬里、气体分布板、气环、底座、静电夹具和聚焦环。
7.权利要求1的部件,其中含铈氧化物的陶瓷材料基本上由(i)一种或多种铈氧化物作为其单一的最大组分和(ii)一种镧系元素的氧化物组成。
8.权利要求1的部件,其中该衬底包含一个粗糙化的表面,且该陶瓷层是一个与该粗糙化的表面机械互锁的等离子体喷涂层。
9.权利要求1的部件,其中该部件是一个多晶硅高密度等离子体刻蚀腔室或介电材料刻蚀腔室的部件。
10.权利要求1的部件,包括一个第一中间层,该陶瓷层在该第一中间层上,该第一中间层的材料选自难熔金属、Al2O3、SiC、Si3N4、碳化硼、AlN、TiO2和聚合物。
11.权利要求10的部件,其中该第一中间层包含一个粗糙化的表面,且该陶瓷层是一个与该第一中间层的该粗糙化的表面机械互锁的等离子体喷涂层。
12.权利要求10的部件,包括位于第一中间层上的第二中间层,该陶瓷层在该第二中间层上,该第二中间层的材料选自难熔金属、Al2O3、SiC、Si3N4、碳化硼、AlN、TiO2和聚合物。
13.一种制造权利要求1的部件的方法,该方法包括:
在半导体工艺设备部件的铝表面沉积含铈氧化物的陶瓷层,其中含铈氧化物的陶瓷层包含一种或者多种铈氧化物作为其单一的最大组分,而且其中含铈氧化物的陶瓷层形成该部件的最外表面。
14.权利要求13中的方法,其中铈氧化物包含Ce(III)的氧化物和/或Ce(IV)的氧化物。
15.权利要求13中的方法,其中陶瓷层通过选自如下的技术进行应用:溅射,溅射沉积,浸涂,化学气相沉积,电子束蒸发和凝结,物理气相沉积,热等静压,冷等静压,压缩模制,注模,压实和烧结,等离子喷涂以及热喷涂。
16.权利要求13中的方法,其中部件选自等离子体腔壁,腔衬里,气体分布板,气环,底座,静电夹具和聚焦环。
17.权利要求13中的方法,其中沉积陶瓷层的厚度在从0.001到0.050英寸的范围。
18.权利要求13中的方法,进一步包含在部件表面上沉积一个中间层,和在该中间层上沉积陶瓷层。
19.权利要求13中的方法,进一步包含在沉积陶瓷层之前将表面进行表面粗糙化处理,该陶瓷层沉积在粗糙化的表面上。
20.权利要求19中的方法,进一步包含在沉积陶瓷层之前将粗糙化的表面进行阳极化处理。
21.权利要求20中的方法,进一步包含在沉积陶瓷层之前将阳极化处理的表面进行表面粗糙化处理。
CNB028089472A 2001-03-30 2002-03-21 半导体工艺设备中的含铈氧化物的陶瓷部件与涂层 Expired - Fee Related CN1300374C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/820,693 US6830622B2 (en) 2001-03-30 2001-03-30 Cerium oxide containing ceramic components and coatings in semiconductor processing equipment and methods of manufacture thereof
US09/820,693 2001-03-30

Publications (2)

Publication Number Publication Date
CN1505695A CN1505695A (zh) 2004-06-16
CN1300374C true CN1300374C (zh) 2007-02-14

Family

ID=25231487

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB028089472A Expired - Fee Related CN1300374C (zh) 2001-03-30 2002-03-21 半导体工艺设备中的含铈氧化物的陶瓷部件与涂层

Country Status (7)

Country Link
US (2) US6830622B2 (zh)
JP (1) JP2004525517A (zh)
KR (1) KR100882758B1 (zh)
CN (1) CN1300374C (zh)
SG (1) SG130973A1 (zh)
TW (1) TW569335B (zh)
WO (1) WO2002079538A1 (zh)

Families Citing this family (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20010062209A (ko) 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
US20030092278A1 (en) * 2001-11-13 2003-05-15 Fink Steven T. Plasma baffle assembly
US20080264564A1 (en) 2007-04-27 2008-10-30 Applied Materials, Inc. Method of reducing the erosion rate of semiconductor processing apparatus exposed to halogen-containing plasmas
US8067067B2 (en) * 2002-02-14 2011-11-29 Applied Materials, Inc. Clean, dense yttrium oxide coating protecting semiconductor processing apparatus
US20080213496A1 (en) * 2002-02-14 2008-09-04 Applied Materials, Inc. Method of coating semiconductor processing apparatus with protective yttrium-containing coatings
US7147749B2 (en) * 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
US7166166B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US6798519B2 (en) 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US7137353B2 (en) * 2002-09-30 2006-11-21 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
US7166200B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
US6837966B2 (en) * 2002-09-30 2005-01-04 Tokyo Electron Limeted Method and apparatus for an improved baffle plate in a plasma processing system
US7204912B2 (en) 2002-09-30 2007-04-17 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
KR100772740B1 (ko) 2002-11-28 2007-11-01 동경 엘렉트론 주식회사 플라즈마 처리 용기 내부재
JP4503270B2 (ja) * 2002-11-28 2010-07-14 東京エレクトロン株式会社 プラズマ処理容器内部材
US20040134427A1 (en) * 2003-01-09 2004-07-15 Derderian Garo J. Deposition chamber surface enhancement and resulting deposition chambers
US20060226003A1 (en) * 2003-01-22 2006-10-12 John Mize Apparatus and methods for ionized deposition of a film or thin layer
US7316761B2 (en) * 2003-02-03 2008-01-08 Applied Materials, Inc. Apparatus for uniformly etching a dielectric layer
CN100418187C (zh) 2003-02-07 2008-09-10 东京毅力科创株式会社 等离子体处理装置、环形部件和等离子体处理方法
FR2857672B1 (fr) 2003-07-15 2005-09-16 Dacral Utilisation de l'yttrium, du zirconium, du lanthane, de cerium, du praseodyme ou du neodyme comme element renforcateur des proprietes anticorrosion d'une composition de revetement anticorrosion.
US7464581B2 (en) * 2004-03-29 2008-12-16 Tokyo Electron Limited Vacuum apparatus including a particle monitoring unit, particle monitoring method and program, and window member for use in the particle monitoring
US7119032B2 (en) 2004-08-23 2006-10-10 Air Products And Chemicals, Inc. Method to protect internal components of semiconductor processing equipment using layered superlattice materials
US7959984B2 (en) * 2004-12-22 2011-06-14 Lam Research Corporation Methods and arrangement for the reduction of byproduct deposition in a plasma processing system
US7480974B2 (en) * 2005-02-15 2009-01-27 Lam Research Corporation Methods of making gas distribution members for plasma processing apparatuses
US9659758B2 (en) 2005-03-22 2017-05-23 Honeywell International Inc. Coils utilized in vapor deposition applications and methods of production
US20060218680A1 (en) * 2005-03-28 2006-09-28 Bailey Andrew D Iii Apparatus for servicing a plasma processing system with a robot
JP2006319043A (ja) * 2005-05-11 2006-11-24 Hitachi High-Technologies Corp プラズマ処理装置
US20060278520A1 (en) * 2005-06-13 2006-12-14 Lee Eal H Use of DC magnetron sputtering systems
US20080009417A1 (en) * 2006-07-05 2008-01-10 General Electric Company Coating composition, article, and associated method
US7541289B2 (en) * 2006-07-13 2009-06-02 Applied Materials, Inc. Process for removing high stressed film using LF or HF bias power and capacitively coupled VHF source power with enhanced residue capture
JP2008227033A (ja) * 2007-03-12 2008-09-25 Tokyo Electron Ltd 基板処理装置
US10622194B2 (en) 2007-04-27 2020-04-14 Applied Materials, Inc. Bulk sintered solid solution ceramic which exhibits fracture toughness and halogen plasma resistance
US10242888B2 (en) 2007-04-27 2019-03-26 Applied Materials, Inc. Semiconductor processing apparatus with a ceramic-comprising surface which exhibits fracture toughness and halogen plasma resistance
US7696117B2 (en) * 2007-04-27 2010-04-13 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
US7972471B2 (en) * 2007-06-29 2011-07-05 Lam Research Corporation Inductively coupled dual zone processing chamber with single planar antenna
US8367227B2 (en) 2007-08-02 2013-02-05 Applied Materials, Inc. Plasma-resistant ceramics with controlled electrical resistivity
JP5567486B2 (ja) * 2007-10-31 2014-08-06 ラム リサーチ コーポレーション 窒化シリコン−二酸化シリコン高寿命消耗プラズマ処理構成部品
US20090194414A1 (en) * 2008-01-31 2009-08-06 Nolander Ira G Modified sputtering target and deposition components, methods of production and uses thereof
US20090261065A1 (en) * 2008-04-18 2009-10-22 Lam Research Corporation Components for use in a plasma chamber having reduced particle generation and method of making
US10595365B2 (en) * 2010-10-19 2020-03-17 Applied Materials, Inc. Chamber lid heater ring assembly
CN103794458B (zh) 2012-10-29 2016-12-21 中微半导体设备(上海)有限公司 用于等离子体处理腔室内部的部件及制造方法
US9385018B2 (en) 2013-01-07 2016-07-05 Samsung Austin Semiconductor, L.P. Semiconductor manufacturing equipment with trace elements for improved defect tracing and methods of manufacture
WO2015061616A1 (en) 2013-10-24 2015-04-30 Surmet Corporation High purity polycrystalline aluminum oxynitride bodies
US9613819B2 (en) * 2014-06-06 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Process chamber, method of preparing a process chamber, and method of operating a process chamber
ES2676311T3 (es) * 2015-09-02 2018-07-18 Xylem Ip Management S.À.R.L. Generación de ozono con plasma directamente enfriado
ES2745296T3 (es) 2015-10-21 2020-02-28 Xylem Europe Gmbh Generación de ozono con canales de plasma refrigerados directamente
WO2017127163A1 (en) * 2016-01-22 2017-07-27 Applied Materials, Inc. Ceramic showerhead with embedded conductive layers
US20180016678A1 (en) 2016-07-15 2018-01-18 Applied Materials, Inc. Multi-layer coating with diffusion barrier layer and erosion resistant layer
US20180251406A1 (en) * 2017-03-06 2018-09-06 Applied Materials, Inc. Sintered ceramic protective layer formed by hot pressing
US20210193434A1 (en) * 2017-08-17 2021-06-24 Beijing Naura Microelectronics Equipment Co., Ltd. Liner, reaction chamber and semiconductor processing equipment
US11183373B2 (en) 2017-10-11 2021-11-23 Honeywell International Inc. Multi-patterned sputter traps and methods of making
US11976357B2 (en) * 2019-09-09 2024-05-07 Applied Materials, Inc. Methods for forming a protective coating on processing chamber surfaces or components
CN113745083B (zh) * 2020-05-28 2023-09-29 中微半导体设备(上海)股份有限公司 一种等离子体处理装置
CN114068276A (zh) * 2020-08-05 2022-02-18 中微半导体设备(上海)股份有限公司 半导体零部件、等离子体反应装置和涂层形成方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH065530A (ja) * 1992-06-17 1994-01-14 Toshiba Corp 熱処理炉ボート
JPH104083A (ja) * 1996-06-17 1998-01-06 Kyocera Corp 半導体製造用耐食性部材

Family Cites Families (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2434236A (en) * 1940-11-25 1948-01-06 Hartford Nat Bank & Trust Co Ceramic insulator
BE631489A (zh) * 1962-04-27
US4328285A (en) * 1980-07-21 1982-05-04 General Electric Company Method of coating a superalloy substrate, coating compositions, and composites obtained therefrom
US4340462A (en) * 1981-02-13 1982-07-20 Lam Research Corporation Adjustable electrode plasma processing chamber
US4465778A (en) * 1982-02-03 1984-08-14 National Research Development Corporation Sinterable fluorite oxide ceramics
US4421799A (en) * 1982-02-16 1983-12-20 Metco, Inc. Aluminum clad refractory oxide flame spraying powder
FR2538987A1 (fr) * 1983-01-05 1984-07-06 Commissariat Energie Atomique Enceinte pour le traitement et notamment la gravure de substrats par la methode du plasma reactif
US4599270A (en) * 1984-05-02 1986-07-08 The Perkin-Elmer Corporation Zirconium oxide powder containing cerium oxide and yttrium oxide
US4593007A (en) * 1984-12-06 1986-06-03 The Perkin-Elmer Corporation Aluminum and silica clad refractory oxide thermal spray powder
JPS62103379A (ja) 1985-10-29 1987-05-13 Showa Alum Corp Cvd装置およびドライ・エツチング装置における真空チヤンバの製造方法
US4799963A (en) * 1986-10-03 1989-01-24 Ppg Industries, Inc. Optically transparent UV-protective coatings
DE3719077A1 (de) * 1987-06-06 1988-12-22 Daimler Benz Ag Beschichtetes ventil fuer verbrennungsmotoren
JPH07119151B2 (ja) * 1987-12-07 1995-12-20 富士写真フイルム株式会社 平版印刷版用支持体
US5262029A (en) * 1988-05-23 1993-11-16 Lam Research Method and system for clamping semiconductor wafers
US4948458A (en) * 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
GB2236750B (en) 1989-09-08 1993-11-17 United Technologies Corp Ceramic material and insulating coating made thereof
US5334462A (en) * 1989-09-08 1994-08-02 United Technologies Corporation Ceramic material and insulating coating made thereof
US5304248A (en) * 1990-12-05 1994-04-19 Applied Materials, Inc. Passive shield for CVD wafer processing which provides frontside edge exclusion and prevents backside depositions
US5200232A (en) * 1990-12-11 1993-04-06 Lam Research Corporation Reaction chamber design and method to minimize particle generation in chemical vapor deposition reactors
KR100361419B1 (ko) * 1991-11-26 2002-11-22 엥겔하드 코포레이션 세리아-알루미나 산화 촉매 및 그 사용 방법
US5366585A (en) * 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
DE4303135C2 (de) * 1993-02-04 1997-06-05 Mtu Muenchen Gmbh Wärmedämmschicht aus Keramik auf Metallbauteilen und Verfahren zu ihrer Herstellung
US5362335A (en) * 1993-03-25 1994-11-08 General Motors Corporation Rare earth coating process for aluminum alloys
US5522932A (en) * 1993-05-14 1996-06-04 Applied Materials, Inc. Corrosion-resistant apparatus
US5798016A (en) * 1994-03-08 1998-08-25 International Business Machines Corporation Apparatus for hot wall reactive ion etching using a dielectric or metallic liner with temperature control to achieve process stability
US5680013A (en) * 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
EP0760526A4 (en) * 1994-05-17 2001-01-10 Hitachi Ltd PLASMA TREATMENT DEVICE AND METHOD
CN1142119C (zh) 1994-08-09 2004-03-17 株式会社丰田中央研究所 复合材料、其制法及由其构成的热敏电阻材料及制法
US5641375A (en) * 1994-08-15 1997-06-24 Applied Materials, Inc. Plasma etching reactor with surface protection means against erosion of walls
US5885356A (en) * 1994-11-30 1999-03-23 Applied Materials, Inc. Method of reducing residue accumulation in CVD chamber using ceramic lining
US5824605A (en) * 1995-07-31 1998-10-20 Lam Research Corporation Gas dispersion window for plasma apparatus and method of use thereof
US5838529A (en) * 1995-12-22 1998-11-17 Lam Research Corporation Low voltage electrostatic clamp for substrates such as dielectric substrates
CN1074689C (zh) * 1996-04-04 2001-11-14 E·O·帕通电子焊接研究院电子束工艺国际中心 基体上制备有跨厚度化学组成和结构梯度并陶瓷外层方法
US5668072A (en) * 1996-05-09 1997-09-16 Equity Enterprises High emissivity coating
US5863376A (en) * 1996-06-05 1999-01-26 Lam Research Corporation Temperature controlling method and apparatus for a plasma processing chamber
US5820723A (en) * 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US6048798A (en) * 1996-06-05 2000-04-11 Lam Research Corporation Apparatus for reducing process drift in inductive coupled plasma etching such as oxide layer
US5788799A (en) * 1996-06-11 1998-08-04 Applied Materials, Inc. Apparatus and method for cleaning of semiconductor process chamber surfaces
US5939146A (en) * 1996-12-11 1999-08-17 The Regents Of The University Of California Method for thermal spraying of nanocrystalline coatings and materials for the same
US5879523A (en) * 1997-09-29 1999-03-09 Applied Materials, Inc. Ceramic coated metallic insulator particularly useful in a plasma sputter reactor
US6096381A (en) * 1997-10-27 2000-08-01 General Electric Company Process for densifying and promoting inter-particle bonding of a bond coat for a thermal barrier coating
US6555179B1 (en) * 1998-01-14 2003-04-29 General Electric Company Aluminizing process for plasma-sprayed bond coat of a thermal barrier coating system
JPH11224984A (ja) * 1998-02-04 1999-08-17 Murata Mfg Co Ltd セラミック多層基板の製造方法
SG71925A1 (en) 1998-07-17 2000-04-18 United Technologies Corp Article having a durable ceramic coating and apparatus and method for making the article
US6007880A (en) * 1998-07-17 1999-12-28 United Technologies Corporation Method for generating a ceramic coating
US6123791A (en) * 1998-07-29 2000-09-26 Applied Materials, Inc. Ceramic composition for an apparatus and method for processing a substrate
US6383964B1 (en) * 1998-11-27 2002-05-07 Kyocera Corporation Ceramic member resistant to halogen-plasma corrosion
US6527866B1 (en) * 2000-02-09 2003-03-04 Conductus, Inc. Apparatus and method for deposition of thin films
US6447636B1 (en) * 2000-02-16 2002-09-10 Applied Materials, Inc. Plasma reactor with dynamic RF inductive and capacitive coupling control

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH065530A (ja) * 1992-06-17 1994-01-14 Toshiba Corp 熱処理炉ボート
JPH104083A (ja) * 1996-06-17 1998-01-06 Kyocera Corp 半導体製造用耐食性部材

Also Published As

Publication number Publication date
US20020142611A1 (en) 2002-10-03
CN1505695A (zh) 2004-06-16
TW569335B (en) 2004-01-01
SG130973A1 (en) 2007-04-26
WO2002079538A1 (en) 2002-10-10
JP2004525517A (ja) 2004-08-19
KR20030086618A (ko) 2003-11-10
US6830622B2 (en) 2004-12-14
KR100882758B1 (ko) 2009-02-09
US20050064248A1 (en) 2005-03-24

Similar Documents

Publication Publication Date Title
CN1300374C (zh) 半导体工艺设备中的含铈氧化物的陶瓷部件与涂层
CN100357489C (zh) 用于半导体工艺设备中的低污染部件及其制造方法
CN1260770C (zh) 在半导体加工设备中的氧化锆增韧陶瓷组件和涂层及其制造方法
CN1484712B (zh) 半导体工艺设备的氮化硼/氧化钇复合材料部件及其制造方法
CN1284880C (zh) 反应器壁上的金刚石涂层及其制造方法
US7605086B2 (en) Corrosion resistant component of semiconductor processing equipment and method of manufacture thereof
CN1273640C (zh) 半导体工艺设备的富勒烯涂层部件及涂层方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20070214

Termination date: 20170321

CF01 Termination of patent right due to non-payment of annual fee