CN1260770C - 在半导体加工设备中的氧化锆增韧陶瓷组件和涂层及其制造方法 - Google Patents

在半导体加工设备中的氧化锆增韧陶瓷组件和涂层及其制造方法 Download PDF

Info

Publication number
CN1260770C
CN1260770C CNB018225179A CN01822517A CN1260770C CN 1260770 C CN1260770 C CN 1260770C CN B018225179 A CNB018225179 A CN B018225179A CN 01822517 A CN01822517 A CN 01822517A CN 1260770 C CN1260770 C CN 1260770C
Authority
CN
China
Prior art keywords
assembly
plasma
ceramic
zirconia
coating
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
CNB018225179A
Other languages
English (en)
Other versions
CN1489779A (zh
Inventor
R·J·奥丹尼尔
C·C·常
J·E·多尔蒂
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN1489779A publication Critical patent/CN1489779A/zh
Application granted granted Critical
Publication of CN1260770C publication Critical patent/CN1260770C/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/08Oxides
    • C23C14/083Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/26Web or sheet containing structurally defined element or component, the element or component having a specified physical dimension
    • Y10T428/263Coating layer not in excess of 5 mils thick or equivalent
    • Y10T428/264Up to 3 mils
    • Y10T428/2651 mil or less

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Coating By Spraying Or Casting (AREA)
  • Ceramic Capacitors (AREA)
  • Other Surface Treatments For Metallic Materials (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
  • Chemical Vapour Deposition (AREA)
  • Compositions Of Oxide Ceramics (AREA)

Abstract

半导体加工设备如等离子室组件的抗腐蚀组件,包括作为组件外表面的氧化锆增韧陶瓷材料。组件可全部由陶瓷材料制成,或陶瓷材料可作为涂层附在衬底上如铝或铝合金,不锈钢或难熔金属。氧化锆增韧陶瓷可以是四方氧化锆多晶(TZP)材料,部分稳定氧化锆(PSZ)或氧化锆弥散增韧陶瓷(ZTC),如氧化锆增韧氧化铝(四方氧化锆颗粒弥散在Al2O3中)。在陶瓷氧化锆增韧的涂层的情况,可在组件和陶瓷涂层之间附以一层或多层中间层。为提高陶瓷涂层的结合力,组件表面或中间层表面可在沉积陶瓷涂层前进行表面粗糙化处理。

Description

在半导体加工设备中的氧化锆增韧陶瓷 组件和涂层及其制造方法
发明背景
发明领域
本发明大体涉及半导体晶片的制造,和更具体是具有可在加工时减少颗粒和金属污染的内表面的高密度等离子刻蚀室。
相关领域说明
在半导体加工领域,真空加工室通常用于刻蚀和通过向真空室通入刻蚀或沉积气体而在衬底上化学气相沉积(CVD)材料以及对气体施加射频场以激发气体成等离子态。平行板的例子如,变压器耦合等离子体(TCPTM)也称为感应耦合等离子体和电子回旋加速器谐振(ECR)反应器及其组件公开于共同所有的美国专利Nos.4,340,462;4,948,458;5,200,232和5,820,723中。由于在上述电抗器中等离子环境的腐蚀本性和使颗粒和/或金属杂质减至最小的要求,迫切需要上述设备的组件表现出高抗腐蚀性。
在加工半导体衬底时,衬底通常由衬底夹如机械夹和静电夹(ESC)固定在真空室内部。上述夹持装置及其组件的例子可在共同所有的美国专利Nos.5,262,029和5,838,529中找到。工作气体可以多种方式通入真空室,如通过气体分配盘。感应耦合等离子体反应器及其组件的控温气体分配盘的例子可在共同所有的美国专利No.5,863,376中找到。除等离子室设备外,其它用于加工半导体衬底的设备包括传送机构,气体供应装置,内衬、提升机构,负载锁,室门机构,机械臂和紧固件等。上述设备的不同组件易受与半导体加工相关联的腐蚀环境的侵蚀。此外,考虑到加工半导体衬底如硅晶片和介电材料如用于平板显示的玻璃衬底对于纯度的要求,迫切需要在上述环境中具有改良抗腐蚀性能的组件。
铝和铝合金典型应用于壁,电极,衬底台,紧固件和等离子反应器的其它组件。为防止上述金属组件的腐蚀,提出多项技术在铝表面镀不同涂层。例如,美国专利5,641,375公开了铝室壁经阳极化处理以减少等离子侵蚀和壁的磨损。375专利陈述阳极化处理层最终会遭受溅射或侵蚀掉并更换刻蚀室。美国专利No.5,895,586公开了一项技术,即在可从日本专利Laid-Open No.62-103379中找到的铝的材料上形成一层Al2O3,AlC,TiN,TiC,AlN等的抗腐蚀膜。美国专利No.5,680,013陈述在蚀刻室金属表面上火焰喷射Al2O3的技术公开于美国专利No.4,491,496。‘013专利陈述铝和陶瓷如氧化铝热膨胀系数的差异会导致涂层因热循环开裂并最终在腐蚀环境中涂层失效。美国专利No.5,879,523公开了一种溅射室,其中对一种金属如不锈钢或铝施加一层热喷敷Al2O3,其间可可选的在加NiAlx粘合层。美国专利No.5,522,932公开了在用于等离子体加工衬底的仪器金属组件上的铑涂层,其间可可选的的加镍涂层。
也提出过等离子室的室壁,内衬,环和其它部件。见,如美国专利Nos.5,366,585;5,798,016;5,851,299和5,885,356。
随着集成电路装置持续在物理尺寸和工作电压上的缩小,其相关制造成品率越来越受到颗粒和金属杂质的影响。因此,制造更小物理尺寸的集成电路装置要求颗粒和金属杂质水平低于原先认可的水平。
基于上述,需要高浓度等离子加工室,其内暴露于等离子体的表面具有更高抗腐蚀性并有助于使加工的晶片杂质(如,颗粒和金属杂质)降至最低。
                     发明简述
在本发明第一个实施方案中,提供了制造半导体设备组件的方法。该方法包括在组件表面附以氧化锆增韧陶瓷涂层,使得氧化锆增韧陶瓷涂层形成组件的外表面。
在本发明第二个实施方案中,提供了半导体加工设备的组件,其中该组件包括形成组件外表面的氧化锆增韧陶瓷。也提供了包括至少一个上述组件的等离子室。
在本发明第三个实施方案中,提供了在上述等离子室中加工半导体衬底的方法。依照本发明的方法中,衬底移至等离子室内且用等离子体处理衬底的暴露表面。在本发明更优选的实施方案中,该方法包括以下几步:置衬底于反应器中衬底座上;向反应器中通入工作气体;对工作气体施加RF能量,以在衬底暴露的表面附近产生等离子体;用等离子体刻蚀暴露的衬底表面。
                     附图简述
通过参考附图,我们将更加详尽地描述本发明。附图中相同的元件有相同的标号,其中:
图1表示了常规的等离子喷涂方法;
图2表示了依照本发明一项实施方案的多晶硅刻蚀仪器的气环装置的横截面图;
图3表示了包含依照本发明组件的高密度氧化物刻蚀室;
图4表示了依照本发明抗腐蚀涂层的一个实施方案的细节;
图5表示了依照本发明抗腐蚀涂层的另一个实施方案的细节;和
图6表示了依照本发明抗腐蚀涂层的再一个实施方案的细节。
             本发明优选实施方案详述
本发明提供了一种有效的方法为半导体加工设备组件如等离子体加工反应室的部件表面提供抗腐蚀性。上述组件包括室壁,衬底座,气体分配系统(包括喷头,隔板,环,喷嘴等),紧固件,加热元件,等离子屏,内衬,传输模块组件如机械臂,紧固件,室内外壁等等。在本发明中,组件自身可由氧化锆增韧陶瓷制成或暴露于等离子体中的组件表面可涂覆或者覆盖氧化锆增韧陶瓷材料。
氧化锆增韧陶瓷材料是这样一类材料,其利用亚稳四方相氧化锆颗粒的四方相向单斜相的相变来提高陶瓷强度和韧性。在常压下,氧化锆有三种多晶形态:单斜,四方和立方。立方氧化锆在氧化锆熔点之大约2370℃范围内稳定;四方氧化锆在大约2370℃到大约1120℃稳定;单斜氧化锆在1120℃下稳定。四方至单斜相变同时伴随着3-5%的体积膨胀和8%的剪切位移。这样,当从高温下冷却纯氧化锆,会形成裂纹。
然而,如果在氧化锆中加入稳定剂如MgO,CaO,Y2O3,CeO2或TiO2,甚至可在室温下形成可相变四方相。由于其利用氧化锆的应力诱导相变来提高陶瓷的强度和韧性,包含可相变四方氧化锆的陶瓷称为相变增韧陶瓷。这些材料广泛用于需要高强度和韧性的结构用途。当在室温下以亚稳相存在的四方氧化锆吸收由传播裂纹前缘的剪应力驱动而发生的向单斜相转变的能量时,发生应力诱导相变。
上述利用氧化锆应力诱导相变的氧化锆增韧陶瓷包括:
1)部分稳定氧化锆(PSZ),其制备可通过在氧化锆中加入稳定剂如MgO,CaO和Y2O3形成立方相,然后热处理立方氧化锆析出细小四方相颗粒来实现。
2)四方氧化锆多晶体(TZP),其中所有氧化锆颗粒均是四方相,其制备通过在氧化锆中加入大约2-3mol%Y2O3或10-12mol%CeO2并烧结或者在四方相稳定的条件下热处理来实现;和
3)氧化锆弥散增韧陶瓷(ZTC),其制备是通过在其它陶瓷如氧化铝等中弥散四方氧化锆来实现。
在Engineering Materials Handbook,Vol.4,Ceramics andGlass,pp.775-786(ASM International,1991)中讲述了氧化锆增韧陶瓷。
为使在设备中加工的衬底污染降至最低,所述设备加入了一个或多个依照本发明的组件,需要氧化锆增韧陶瓷材料尽可能纯,如,包含最低含量的杂质元素如过渡金属,碱金属等。如,可制成足够纯的氧化锆增韧陶瓷材料以避免晶片上105原子/cm2或更高的杂质含量。
本发明者发现氧化锆增韧陶瓷具有用于半导体加工设备如等离子刻蚀室所需的性能。特别是,氧化锆增韧陶瓷提供了可降低等离子体反应室内颗粒污染水平的抗腐蚀表面。氧化锆增韧陶瓷的增韧机制也可减少陶瓷覆层在热循环中开裂的倾向。
在本发明的优选实施方案中,提供作为覆层的氧化锆增韧陶瓷材料。优选涂覆方法是热喷涂,其中陶瓷粉料熔融并混入流向被喷涂涂覆组件的气流中。热喷涂技术的优点是组件仅在面向热喷涂枪的面上涂覆,可采用遮蔽来保护其它区域。常规热喷涂技术包括等离子喷涂,发表在The Science and Engineering of Thermal SprayCoating by Pawlowski(John Wiley,1995),在此参考引入其中内容。
特别优选的热喷涂方法是等离子喷涂,其容许对室复杂内表面或其它室组件涂层。图1表示了一种典型的等离子喷涂方法。通常呈粉末态的涂层材料112,注入高温等离子焰114中,在那里其被迅速加热并加速至高速。热材料撞击到衬底表面116上并快速冷却形成涂层118。从而,喷涂后的表面通常硬且具有纹理。
等离子喷涂枪120典型地包括铜阳极122和钨阴极124,两者都是经过水冷的。等离子气126(氩气,氮气,氢气,氦气)在阴极周围流向大致由箭头128指明并穿过阳极122,阳极为收缩嘴形状。等离子体由高压放电激发,其引起区域电离化并在阴极124和阳极122之间形成直流电弧的导电通路。电弧引起的电阻热导致气体达到极高的温度,分离并离化形成等离子体。等离子体以自由或中性等离子焰(不带电流的等离子体)脱离阳极嘴122。当等离子体被稳定以准备喷涂时,电弧向下延伸至喷嘴。粉末112一般通过安置在阳极嘴出口附近的外部粉末口132送进等离子焰中。粉末112迅速加热并加速,以至喷涂距离136(喷嘴尖端和衬底表面之间距离)可在125~150mm量级。这样,通过引发熔融和受热软化颗粒撞击衬底的方法制成等离子喷涂涂层。
在本发明中,可采用表面加工技术如清洗和喷砂或喷丸处理,以为结合提供更具化学和物理活性的表面。涂层前,优选地彻底清洗衬底表面以除去表面物质如氧化物或油脂。此外,表面可采用已知技术如在涂层前喷砂处理粗糙化。通过喷砂处理,可供结合的表面区域扩大,这可增加涂层结合强度。粗糙的表面外形可促进涂层与衬底的机械键锁或互锁。对于铝反应器组件,尤其需要在应用氧化锆增韧陶瓷涂层前,粗糙化组件表面,阳极化处理粗糙化的组件表面和再次粗糙化阳极化处理的表面。
在本发明一项优选实施方案中,氧化锆增韧陶瓷组件用作多晶硅高密度等离子体反应器的组件。典型的此类反应器为TCP9400TM等离子刻蚀反应器,可从LAM Research Corporation of Fremont,California.得到。在TCP9400TM反应器中,工作气体(如Cl2,HBr,CF4,CH2F2,O2,N2,Ar,SF6和NF3)导入置于刻蚀室底部的气环内然后引导穿过气孔进入反应室。图2表示了依照本发明的多晶硅刻蚀反应器的气环装置的横截面图。如图2所示,气环40的主体包围衬底座44。气环40下表面包含一环形气体引导槽60。上述气孔50延伸进入气体引导槽60。
气环通常由铝构成。气环上表面直接暴露于等离子体,这样易受腐蚀。为保护这些表面,气环典型地覆盖一层氧化铝,其是典型地通过阳极化处理气环表面形成的。但是,阳极化处理的涂层相对脆并在反应器使用中持续热循环过程中有开裂倾向。在阳极化处理层形成的裂纹可容许腐蚀性工作气体侵蚀下面的铝层,降低部件寿命并引起加工衬底如晶片,平板显示衬底的金属和颗粒污染。
依照本发明,气环暴露的表面可用氧化锆增韧陶瓷材料涂层42覆盖。氧化锆增韧陶瓷可涂覆于裸铝层(有或没有本身的氧化物表面膜)或氧化铝层(如有阳极化处理的表面)。应用涂层优选采用等离子喷涂方法,但其它适用于陶瓷材料的涂层方法也可采用。当对气环涂层时,涂层可容许部分地渗入气孔以涂覆并保护其内壁。然而,涂层材料不应以任何方式导致阻断开口。从而,气孔可在涂层过程中被塞紧或遮盖。
会在加工过程暴露在等离子体中的多晶硅刻蚀反应器的其它组件可依照本发明涂覆氧化锆增韧陶瓷材料。这些组件包括室壁,室内衬,静电卡盘和衬底对面的介电窗。在静电卡盘上表面附以氧化锆增韧陶瓷材料的涂层,以在清洗周期中对卡盘提供附加保护,在清洗周期中没有晶片,则卡盘上表面直接暴露在等离子体中。
本发明的反应器组件也可用于高密度氧化物刻蚀加工。典型的氧化物刻蚀反应器是TCP9100TM等离子刻蚀反应器,其可从LAMResearch Corporation of Fremont,California得到。在TCP9100TM反应器中,气体分配盘是置于TCPTM窗正下方的圆盘,该TCPTM窗也是在反应器顶部在半导体衬底上方与其平行的真空密封面。气体分配盘用O形环作与气体分配环密封,其置于气体分配盘边缘。气体分配环从供应源输入气体的体积由气体分配盘,向反应器提供射频能量的偏螺旋形的天线下方窗口内表面和气体分配环决定。气体分配盘包含贯穿盘的规定直径的孔隙的阵列。贯穿气体分配盘孔隙的空间分布可变动以使被刻蚀层,如光阻层,氧化硅层,和晶片下面的材料刻蚀一致性最佳。气体分配盘横截面的形状可改变以控制进入反应器等离子体中的射频能量分布。气体分配盘材料必须是介电的,以能耦合此穿过气体分配盘进入反应器的射频能量。此外,需要气体分配盘材料对在氧气或碳氟化氢气体离子体环境中对化学溅射刻蚀的高抵抗性,以避免破碎和与此相关的所产生的颗粒。
图3表示了上述类型的等离子体反应器。反应器包括反应室10,其包括衬底座12,衬底座12又包括静电卡盘34,其提供衬底13夹持力和衬底的RF偏压。衬底可采用热传导气体如氦气背冷。聚焦环14包括介电外环和内环,其限制了衬底上部区域的等离子体。在室内维持高密度(如1011-1012离子/cm3)等离子体的能量源,例如由提供高密度等离子体的合适射频源驱动的天线18,置于反应室10顶部。室包括合适的真空泵设备,以维持室内在所需的气压(如低于50mTorr,典型为1-20mTorr)。
基本上为平面均一厚度的介电窗20配置于天线18和加工室10内部之间,形成在加工室10顶部的真空壁。气体分配盘22配置于窗20下方,其包含如圆孔的开口以从气体供应源23向室10传输工作气体。圆锥形内衬30从气体分配盘延伸并包围衬底座12。天线18可配以通道24,控温液体通过进口出25,26流过通道24。然而,天线18和/或窗20无需冷却或通过其它技术冷却,例如对天线和窗送风,流过冷却介质或与窗和/或气体分配盘等有热传导接触。
在操作中,半导体衬底如硅晶片置于衬底座12上,用静电卡盘34固定在合适位置。但是也可使用其它夹持方法,如机械夹持机构。此外,也可采用氦气背冷以促进衬底和卡盘之间的热传导。工作气体随后经窗20和气体分配盘22之间间隙通入真空加工室10。合适的气体分配盘布置(即喷头)公开于共同所有的美国专利Nos.5,824,605;6,048,798,和5,863,376。通过向天线18提供合适的射频能量,在衬底和窗之间区域激发高密度等离子体。
在图3,所示的反应器组件的内部表面如气体分配盘22,室内衬30,静电卡盘34和聚焦环14涂覆一层氧化锆增韧陶瓷材料涂层32。然而,在本发明中,任何或所有这些表面可依照本发明附以氧化锆增韧陶瓷涂层。
以上描述的高密度多晶硅和介电刻蚀室只是典型的可引入本发明组件的等离子体刻蚀反应器。本发明的氧化锆增韧陶瓷组件可用于任何刻蚀反应器(如金属刻蚀反应器)或其它类型有等离子腐蚀问题的半导体加工设备。
其它组件也可附以氧化锆增韧陶瓷涂层,包括室壁(典型由铝制成具有阳极化或未阳极化处理的表面),衬底座,紧固件等等。这些部件典型由金属(如铝)或陶瓷(如氧化铝)制成。这些等离子体反应器组件通常暴露在等离子体中并往往表现出腐蚀的迹象。其它依照本发明可涂覆的部件可能不直接暴露在等离子体中,而是暴露在腐蚀性气体中,如从加工晶片中释放的气体等。因此,用于加工半导体晶片的其它设备也可依照本发明附以氧化锆增韧陶瓷层。这些设备包括传送机构,气体供应系统,内衬,提升机构,负载锁,室门机构,机械臂,紧固件等。
依照本发明可附以氧化锆增韧陶瓷的金属和/或合金的例子包括铝,不锈钢,难熔金属如“HAYNES242”“Al-6061”,“SS304”,“SS316”。由于氧化锆增韧陶瓷材料在组件上形成抗腐蚀涂层,其下面的组件不再直接暴露在等离子体中并且铝合金的使用无需考虑合金添加剂,晶粒结构或表面条件。此外,多种陶瓷和聚合物材料也可依照本发明涂覆氧化锆增韧陶瓷材料。特别是,反应器组件可由陶瓷材料如氧化铝(Al2O3),碳化硅(SiC),氮化硅(Si3N4),碳化硼(B4C)和/或氮化硼(BN)制成。
如果需要,可在氧化锆增韧陶瓷涂层和组件表面之间附以一层或多层中间层材料。图4表示了依照本发明一项优选的实施方案的涂层组件。如图4所示,第一中间涂层80是通过常规技术可选的涂覆在反应器组件70上的。可选的第一中间涂层80足够厚,以黏附于衬底并进一步容许其在形成下述可选的第二中间层90或氧化锆增韧陶瓷涂层之前被加工。第一中间涂层80可具有任何合适的厚度如至少大约0.001英寸的厚度,优选地从大约0.001~大约0.25英寸,更优选地为0.001~0.15英寸而最优选地为0.001~0.05英寸。
在反应器组件70上沉积可选的第一中间涂层80后,涂层可采用任何合适技术喷砂或粗糙化处理,然后外涂覆可选的第二层90或氧化锆增韧陶瓷涂层100。粗糙化处理层80提供了尤其好的结合。所希望地,第二中间涂层90使涂层80具有高机械抗压强度并使在涂层90中的裂纹的形成降至最低。
可选的第二中间涂层90足够厚,以黏附于第一中间涂层80并进一步容许其在形成其它可选的中间层或下述含氧化锆增韧陶瓷外涂层100之前被加工。第二中间涂层90可具有任何合适的厚度如至少大约0.001英寸的厚度,优选地为大约0.001~大约0.25英寸,更优选地为0.001~0.15英寸而最优选地为0.001~0.05英寸。
第一和第二中间涂层可由一种或多种用于常规等离子加工室的材料制成。这些材料的例子包括:金属,陶瓷和聚合物。特别理想的金属包括难熔金属。特别理想的陶瓷包括Al2O3,SiC,Si3N4,BC,AlN,TiO2等。特别理想的聚合物包括含氟聚合物如聚四氟乙烯和聚酰亚胺。中间一层或多层涂层可通过任何已知技术实现应用,如镀(如非电镀或电镀),溅射,浸渍涂层,化学气相沉积,物理气相沉积,电泳沉积,热等静压,冷等静压,压模,浇注,压实和烧结以及热喷涂(如等离子喷涂)。
考虑到第一和第二中间层80和90是可选的的,其可是以上任何一种材料,使得根据所需性能,涂层相同或不同。其它中间涂层如第三,第四,或第五相同或不同材料的中间层也可附在涂层和衬底之间。
图5表示了抗腐蚀涂层第二个实施方案的细节。氧化锆增韧陶瓷层90可沉积至合适厚度如在大约0.001~大约1.0英寸,优选地为0.001~0.5英寸而最优选地为0.001~0.05英寸。陶瓷层厚度可选择与在反应器(如刻蚀,化学气相沉积等)中遇到的等离子体环境相适应的厚度。
尽管热喷涂是提供具有氧化锆增韧陶瓷表面组件的优选方法,也可采用其它涂层方法。如,氧化锆增韧陶瓷涂层可通过其它沉积技术实现应用,如溅射,浸镀,化学气相沉积,物理气相沉积,热等静压,冷等静压,压模,浇注,压实和烧结。
氧化锆增韧陶瓷材料也能以适合覆盖反应器组件暴露表面的预制内衬形式提供。这些内衬通过已知方法贴覆,包括胶粘技术或使用机械紧固件。当使用紧固件时,紧固件本身暴露于等离子体中,也应由抗腐蚀材料制成。此外,可设计氧化锆增韧陶瓷内衬与下面反应器组件互锁。
在另一项实施方案中,半导体加工设备的组件是作为整体由氧化锆增韧陶瓷材料制成。图6表示了由氧化锆增韧陶瓷材料制成的整体的室内衬28的横截面图的细节。
整体由氧化锆增韧陶瓷材料制成的方法包括,制备含氧化锆增韧陶瓷的浆料,制成所需形状的生坯,以及烧结坯体。所需形状是任何暴露于等离子体中的反应器组件。这些组件包括室壁,衬底座,气体分配系统(包括喷头,隔板,环,喷嘴等),紧固件,加热元件,等离子屏,内衬,传输模块组件如机械臂,紧固件,室内外壁等等。上述组件一个具体例子是图6所示的整体室内衬28。陶瓷加工技术细节在W.D.Kingery,H.K.Bowen,和D.R.Uhlmann(J.Wiley&Sons,1976)著的Introduction to Ceramics,2md Edition,中给出,在此参考引入其中内容。
氧化锆增韧陶瓷可附在所有或部分反应室和组件上。在优选的实施方案中,涂层和覆层附在可能暴露于等离子体环境中的区域,如直接接触等离子体的部件或在室部件后面的部分(如内衬)。此外,氧化锆增韧陶瓷层优选地附在可能承受相对高偏压(即相对高溅射离子能量)的区域。
通过或是采用陶瓷层作为涂层或覆层,或是依照本发明制造整体组件,实现了几点优越性。即,通过使用依照本发明氧化锆增韧陶瓷,实现了降低腐蚀速率。此外,由于氧化锆增韧陶瓷材料的相变增韧,依照本发明的保护涂层可具有低的开裂倾向。因此,依照本发明的氧化锆增韧陶瓷组件或涂层能降低金属和颗粒污染的水平,通过延长消耗品寿命而降低成本,并降低室部件的腐蚀水平。
虽然本发明参考其中具体实施方案详细说明,本领域技术人员将明白在不脱离附加权利要求范围下,可作的多种变动和调整并等价地使用。

Claims (18)

1.半导体加工设备组件表面的涂层方法,该方法包括
在半导体加工设备组件表面上沉积氧化锆增韧陶瓷层,其中陶瓷层形成外表面,并且陶瓷层基本上由四方氧化锆多晶材料组成或部分稳定的氧化锆组成。
2.依照权利要求1的方法,其中陶瓷层基本上由四方氧化锆多晶材料组成。
3.依照权利要求1的方法,其中陶瓷层的施加是通过选自溅射,溅射沉积,浸镀,化学气相沉积,物理气相沉积,热等静压,冷等静压,压模,浇注,压实和烧结,等离子喷涂和热喷涂中的技术。
4.依照权利要求1的方法,其中组件选自等离子室壁,室内衬,气体分配盘,气环,基座,介电窗,静电卡盘和聚焦环。
5.依照权利要求1的方法,其中陶瓷层沉积至厚度为0.001~0.050英寸。
6.依照权利要求1的方法,其中陶瓷层基本上由部分稳定氧化锆组成。
7.依照权利要求1的方法,进一步包括在组件表面沉积中间层和在中间层上沉积陶瓷层。
8.依照权利要求1的方法,进一步包括在沉积陶瓷层之前对表面进行表面粗糙化处理,陶瓷层沉积在粗糙化处理的表面上。
9.依照权利要求1的方法,其中该表面是金属表面。
10.半导体加工设备的组件,该组件包括一个由氧化锆增韧的陶瓷材料构成的最外表面,该陶瓷材料基本上由四方氧化锆多晶材料或部分稳定的氧化锆组成,其中该陶瓷材料暴露于等离子体或与等离子体相关的偏压中,且该陶瓷材料为该组件提供对于等离子体和偏压的耐侵蚀性。
11.依照权利要求10的组件,其中陶瓷材料包括在衬底上的陶瓷层。
12.依照权利要求10的组件,其中该组件包括暴露于等离子环境中的部件。
13.依照权利要求10的组件,其中该组件包括基本上由氧化锆增韧陶瓷材料组成的整体部件。
14.依照权利要求10的组件,其中该组件选自等离子室壁,室内衬,气体分配盘,气环,基座,介电窗,静电卡盘和聚焦环。
15.依照权利要求10的组件,其中该陶瓷材料基本上由该四方氧化锆多晶材料组成。
16.依照权利要求10的组件,其中该陶瓷材料基本上由该部分稳定的氧化锆组成。
17.依照权利要求11的组件,其中陶瓷层厚度为0.001~0.050英寸。
18.在包含权利要求10的组件的等离子室中加工半导体衬底的方法,该方法包括使半导体衬底的暴露表面与等离子体接触。
CNB018225179A 2000-12-29 2001-11-21 在半导体加工设备中的氧化锆增韧陶瓷组件和涂层及其制造方法 Expired - Lifetime CN1260770C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/750,056 2000-12-29
US09/750,056 US6620520B2 (en) 2000-12-29 2000-12-29 Zirconia toughened ceramic components and coatings in semiconductor processing equipment and method of manufacture thereof

Publications (2)

Publication Number Publication Date
CN1489779A CN1489779A (zh) 2004-04-14
CN1260770C true CN1260770C (zh) 2006-06-21

Family

ID=25016312

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB018225179A Expired - Lifetime CN1260770C (zh) 2000-12-29 2001-11-21 在半导体加工设备中的氧化锆增韧陶瓷组件和涂层及其制造方法

Country Status (10)

Country Link
US (2) US6620520B2 (zh)
EP (1) EP1356501B1 (zh)
JP (1) JP4331479B2 (zh)
KR (1) KR100898531B1 (zh)
CN (1) CN1260770C (zh)
AT (1) ATE454710T1 (zh)
DE (1) DE60141047D1 (zh)
IL (1) IL156698A0 (zh)
TW (1) TW548737B (zh)
WO (1) WO2002054453A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107112275A (zh) * 2014-12-19 2017-08-29 应用材料公司 用于基板处理腔室的边缘环

Families Citing this family (77)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4602532B2 (ja) * 2000-11-10 2010-12-22 東京エレクトロン株式会社 プラズマ処理装置
US6613442B2 (en) * 2000-12-29 2003-09-02 Lam Research Corporation Boron nitride/yttria composite components of semiconductor processing equipment and method of manufacturing thereof
US6899798B2 (en) * 2001-12-21 2005-05-31 Applied Materials, Inc. Reusable ceramic-comprising component which includes a scrificial surface layer
US7371467B2 (en) * 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US6863926B2 (en) * 2002-01-15 2005-03-08 David Mark Lynn Corrosive-resistant coating over aluminum substrates for use in plasma deposition and etch environments
US20080264564A1 (en) * 2007-04-27 2008-10-30 Applied Materials, Inc. Method of reducing the erosion rate of semiconductor processing apparatus exposed to halogen-containing plasmas
US6837966B2 (en) * 2002-09-30 2005-01-04 Tokyo Electron Limeted Method and apparatus for an improved baffle plate in a plasma processing system
US7204912B2 (en) * 2002-09-30 2007-04-17 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
WO2004049402A2 (en) * 2002-11-22 2004-06-10 Saint-Gobain Ceramics & Plastics, Inc. Zirconia toughened alumina esd safe ceramic composition, component, and methods for making same
US20040134427A1 (en) * 2003-01-09 2004-07-15 Derderian Garo J. Deposition chamber surface enhancement and resulting deposition chambers
JP4208580B2 (ja) * 2003-01-15 2009-01-14 日本碍子株式会社 複合焼結体およびその製造方法
FR2850741B1 (fr) * 2003-01-30 2005-09-23 Snecma Propulsion Solide Procede de fabrication d'un panneau de refroidissement actif en materiau composite thermostructural
US7201687B2 (en) * 2003-03-06 2007-04-10 Borgwarner Inc. Power transmission chain with ceramic joint components
US20040182315A1 (en) * 2003-03-17 2004-09-23 Tokyo Electron Limited Reduced maintenance chemical oxide removal (COR) processing system
US20050016684A1 (en) * 2003-07-25 2005-01-27 Applied Materials, Inc. Process kit for erosion resistance enhancement
US7329467B2 (en) * 2003-08-22 2008-02-12 Saint-Gobain Ceramics & Plastics, Inc. Ceramic article having corrosion-resistant layer, semiconductor processing apparatus incorporating same, and method for forming same
US7579067B2 (en) * 2004-11-24 2009-08-25 Applied Materials, Inc. Process chamber component with layered coating and method
US7480974B2 (en) * 2005-02-15 2009-01-27 Lam Research Corporation Methods of making gas distribution members for plasma processing apparatuses
US20060196023A1 (en) * 2005-03-02 2006-09-07 Min-Lyul Lee Reduced cost process modules
US20060231389A1 (en) * 2005-04-14 2006-10-19 Ravi Mullapudi Insulated pallet in cleaning chamber
US7976641B1 (en) * 2005-09-30 2011-07-12 Lam Research Corporation Extending storage time of removed plasma chamber components prior to cleaning thereof
US7685965B1 (en) * 2006-01-26 2010-03-30 Lam Research Corporation Apparatus for shielding process chamber port
JP5127147B2 (ja) * 2006-03-08 2013-01-23 東京エレクトロン株式会社 基板吸着脱離方法
US7854820B2 (en) * 2006-10-16 2010-12-21 Lam Research Corporation Upper electrode backing member with particle reducing features
US20080145556A1 (en) * 2006-12-15 2008-06-19 Tokyo Electron Limited Method for manufacturing substrate mounting table
US8097105B2 (en) * 2007-01-11 2012-01-17 Lam Research Corporation Extending lifetime of yttrium oxide as a plasma chamber material
US20080233403A1 (en) * 2007-02-07 2008-09-25 Timothy Dyer Method of Making Ceramic Reactor Components and Ceramic Reactor Component Made Therefrom
US20080216302A1 (en) * 2007-03-07 2008-09-11 Novellus Systems, Inc. Methods utilizing organosilicon compounds for manufacturing pre-seasoned components and plasma reaction apparatuses having pre-seasoned components
US10242888B2 (en) 2007-04-27 2019-03-26 Applied Materials, Inc. Semiconductor processing apparatus with a ceramic-comprising surface which exhibits fracture toughness and halogen plasma resistance
US10622194B2 (en) 2007-04-27 2020-04-14 Applied Materials, Inc. Bulk sintered solid solution ceramic which exhibits fracture toughness and halogen plasma resistance
TWI483291B (zh) * 2007-04-27 2015-05-01 Applied Materials Inc 減小曝露於含鹵素電漿下之表面腐蝕速率的方法與設備
JP2011500961A (ja) 2007-10-11 2011-01-06 バレンス プロセス イクウィップメント,インコーポレイテッド 化学気相成長反応器
US8622021B2 (en) * 2007-10-31 2014-01-07 Lam Research Corporation High lifetime consumable silicon nitride-silicon dioxide plasma processing components
EP2072636B1 (en) * 2007-12-21 2016-08-31 Sandvik Intellectual Property AB Method of making a coated cutting tool
US20090261065A1 (en) * 2008-04-18 2009-10-22 Lam Research Corporation Components for use in a plasma chamber having reduced particle generation and method of making
US8642112B2 (en) * 2008-07-16 2014-02-04 Zimmer, Inc. Thermally treated ceramic coating for implants
WO2010053687A2 (en) * 2008-11-04 2010-05-14 Praxair Technology, Inc. Thermal spray coatings for semiconductor applications
WO2010132716A2 (en) * 2009-05-13 2010-11-18 Applied Materials, Inc. Anodized showerhead
WO2011031521A2 (en) * 2009-08-27 2011-03-17 Applied Materials, Inc. Method of decontamination of process chamber after in-situ chamber clean
JP5323628B2 (ja) * 2009-09-17 2013-10-23 東京エレクトロン株式会社 プラズマ処理装置
US8270141B2 (en) * 2009-11-20 2012-09-18 Applied Materials, Inc. Electrostatic chuck with reduced arcing
WO2011100527A1 (en) * 2010-02-12 2011-08-18 Morgan Advanced Ceramics, Inc. Method for texturing ceramic components
US8597462B2 (en) * 2010-05-21 2013-12-03 Lam Research Corporation Movable chamber liner plasma confinement screen combination for plasma processing apparatuses
CN103140913B (zh) 2010-10-29 2016-09-28 应用材料公司 用于物理气相沉积腔室的沉积环及静电夹盘
CN103985659A (zh) * 2011-07-26 2014-08-13 中微半导体设备(上海)有限公司 一种mocvd半导体处理装置及制作方法
CN102260855A (zh) * 2011-07-26 2011-11-30 中微半导体设备(上海)有限公司 抗刻蚀层、半导体处理装置及制作方法
CN102260856A (zh) * 2011-07-26 2011-11-30 中微半导体设备(上海)有限公司 抗刻蚀层、半导体处理装置及制作方法
EP2764381A1 (en) * 2011-10-04 2014-08-13 Pressure Biosciences, Inc. High pressure sample containment system for electromagnetic measurements
FR2985057B1 (fr) * 2011-12-23 2014-12-12 Snecma Procede et dispositif d'estimation d'une epaisseur d'un revetement de barriere thermique en ceramique
US9034199B2 (en) * 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
CN103794459B (zh) * 2012-10-29 2016-04-06 中微半导体设备(上海)有限公司 用于等离子处理腔室的气体喷淋头及其涂层形成方法
US9340876B2 (en) * 2012-12-12 2016-05-17 Applied Materials, Inc. Mask for deposition process
CN102990772B (zh) * 2012-12-14 2015-02-11 武汉钢铁(集团)公司 耐火砖等静压成型模具
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9580360B2 (en) * 2014-04-07 2017-02-28 Lam Research Corporation Monolithic ceramic component of gas delivery system and method of making and use thereof
CN105459515B (zh) * 2014-08-28 2017-09-29 比亚迪股份有限公司 一种陶瓷基板及其制备方法和一种功率模块
JP6630722B2 (ja) 2014-08-28 2020-01-15 ビーワイディー カンパニー リミテッド セラミック基板およびその製造方法、パワーモジュール
CN105986245A (zh) * 2015-02-16 2016-10-05 中微半导体设备(上海)有限公司 改善mocvd反应工艺的部件及改善方法
CN106558522B (zh) * 2015-09-25 2021-01-29 北京北方华创微电子装备有限公司 卡盘及承载装置
CN105246260B (zh) * 2015-11-12 2017-12-12 浙江展邦电子科技有限公司 一种铝基线路板的制造方法
US20170211185A1 (en) * 2016-01-22 2017-07-27 Applied Materials, Inc. Ceramic showerhead with embedded conductive layers
CN106711379A (zh) * 2016-12-06 2017-05-24 江苏楚汉新能源科技有限公司 一种陶瓷隔膜及其制备方法、应用和包含隔膜的电池
TW201841869A (zh) * 2017-03-21 2018-12-01 美商康波能再造工程公司 於高腐蝕或侵蝕半導體製程應用中使用的陶瓷材料組件
US10731260B2 (en) 2017-06-12 2020-08-04 Raytheon Technologies Corporation Rotor with zirconia-toughened alumina coating
CN109423606A (zh) * 2017-08-24 2019-03-05 中微半导体设备(上海)有限公司 聚焦环及其耐腐蚀防护方法
DE102018101750A1 (de) * 2018-01-26 2019-08-01 Rogers Germany Gmbh Verbundkeramik für eine Leiterplatte und Verfahren zu deren Herstellung
US11124659B2 (en) * 2018-01-30 2021-09-21 Lam Research Corporation Method to selectively pattern a surface for plasma resistant coat applications
US11014853B2 (en) 2018-03-07 2021-05-25 Applied Materials, Inc. Y2O3—ZrO2 erosion resistant material for chamber components in plasma environments
CN209550608U (zh) * 2018-07-27 2019-10-29 佛山市顺德区美的电热电器制造有限公司 制备锅具的系统以及锅具
CN109877012A (zh) * 2019-02-09 2019-06-14 沈阳富创精密设备有限公司 一种制备高致密氧化钇涂层的方法
CN112481602B (zh) * 2019-09-11 2023-12-15 艾特材料有限公司 一种在陶瓷背板上沉积金属氧化物薄膜的方法及设备
CN113594014B (zh) * 2020-04-30 2024-04-12 中微半导体设备(上海)股份有限公司 零部件、等离子体反应装置及零部件加工方法
WO2021262508A1 (en) * 2020-06-25 2021-12-30 Lam Research Corporation Matched chemistry component body and coating for a semiconductor processing chamber
CN113845367B (zh) * 2021-10-08 2022-08-26 江西信达航科新材料科技有限公司 高温抗氧化碳纤维增韧氧化锆陶瓷材料的制备方法及高温抗氧化碳纤维增韧氧化锆陶瓷材料
US20230187182A1 (en) * 2021-12-10 2023-06-15 Applied Materials, Inc. Plasma resistant arc preventative coatings for manufacturing equpiment components
DE102022204358A1 (de) * 2022-05-03 2023-11-09 Robert Bosch Gesellschaft mit beschränkter Haftung Schutzelement und Plasmaätzkammer mit einem Schutzelement

Family Cites Families (64)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US17516A (en) * 1857-06-09 Botary pump
US4340462A (en) 1981-02-13 1982-07-20 Lam Research Corporation Adjustable electrode plasma processing chamber
FR2538987A1 (fr) 1983-01-05 1984-07-06 Commissariat Energie Atomique Enceinte pour le traitement et notamment la gravure de substrats par la methode du plasma reactif
US4820666A (en) * 1985-03-22 1989-04-11 Noritake Co., Limited Zirconia base ceramics
IT1186728B (it) * 1985-06-04 1987-12-16 Montedison Spa Ossidi misti di allumina e zirconia sotto forma di particelle sferiche ed aventi distribuzione granulometrica ristretta e processo per la loro preparazione
JPS62103379A (ja) 1985-10-29 1987-05-13 Showa Alum Corp Cvd装置およびドライ・エツチング装置における真空チヤンバの製造方法
JPS6379777A (ja) * 1986-09-24 1988-04-09 科学技術庁金属材料技術研究所長 セラミツクス基板上への被覆体の製造法
US4751109A (en) 1987-01-20 1988-06-14 Gte Laboratories Incorporated A process for depositing a composite ceramic coating on a hard ceramic substrate
US4702970A (en) 1987-01-20 1987-10-27 Gte Laboratories Incorporated Composite coatings on ceramic substrates
US5262029A (en) 1988-05-23 1993-11-16 Lam Research Method and system for clamping semiconductor wafers
US4948458A (en) 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
US5304248A (en) 1990-12-05 1994-04-19 Applied Materials, Inc. Passive shield for CVD wafer processing which provides frontside edge exclusion and prevents backside depositions
US5200232A (en) 1990-12-11 1993-04-06 Lam Research Corporation Reaction chamber design and method to minimize particle generation in chemical vapor deposition reactors
JP3307391B2 (ja) 1991-04-09 2002-07-24 モダー,インコーポレイテッド 可燃性腐食性物質の酸化を高温の水酸化装置内の高温の水の酸化環境の中で行なう方法
JPH04333573A (ja) * 1991-05-09 1992-11-20 Canon Inc マイクロ波プラズマcvd装置
US5494558A (en) 1992-08-12 1996-02-27 The Regents Of The University Of California Production of fullerenes by sputtering
JP2651332B2 (ja) * 1992-09-21 1997-09-10 松下電工株式会社 ジルコニア系複合セラミック焼結体及びその製法
FR2699554B1 (fr) 1992-12-23 1995-02-24 Metallisation Ind Ste Nle Barrières thermiques, matériau et procédé pour leur élaboration.
US5366585A (en) * 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
EP0689618B1 (en) * 1993-03-24 2003-02-26 Georgia Tech Research Corporation Method and apparatus for the combustion chemical vapor deposition of films and coatings
US5522932A (en) 1993-05-14 1996-06-04 Applied Materials, Inc. Corrosion-resistant apparatus
US5558903A (en) 1993-06-10 1996-09-24 The Ohio State University Method for coating fullerene materials for tribology
US5603875A (en) * 1993-06-11 1997-02-18 Aerospace Coating Systems, Inc. Method for producing ceramic-based components
JP2829221B2 (ja) * 1993-06-30 1998-11-25 財団法人国際超電導産業技術研究センター 熱プラズマ蒸発法による金属基板上への酸化物の成膜方法
ATE216688T1 (de) 1993-07-28 2002-05-15 Univ Monash Zirkoniumoxid partikel
US5798016A (en) 1994-03-08 1998-08-25 International Business Machines Corporation Apparatus for hot wall reactive ion etching using a dielectric or metallic liner with temperature control to achieve process stability
US5680013A (en) 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
WO1995031822A1 (fr) 1994-05-17 1995-11-23 Hitachi, Ltd. Dispositif et procede de traitement au plasma
US5641375A (en) 1994-08-15 1997-06-24 Applied Materials, Inc. Plasma etching reactor with surface protection means against erosion of walls
US5597495A (en) 1994-11-07 1997-01-28 Keil; Mark Method and apparatus for etching surfaces with atomic fluorine
US5885356A (en) 1994-11-30 1999-03-23 Applied Materials, Inc. Method of reducing residue accumulation in CVD chamber using ceramic lining
JPH0920561A (ja) 1995-06-30 1997-01-21 Kyocera Corp 半導体用セラミック焼結体およびその製造方法
US5824605A (en) 1995-07-31 1998-10-20 Lam Research Corporation Gas dispersion window for plasma apparatus and method of use thereof
US6027792A (en) 1995-10-03 2000-02-22 Kabushiki Kaisha Kobe Seiko Sho Coating film excellent in resistance to halogen-containing gas corrosion and halogen-containing plasma corrosion, laminated structure coated with the same, and method for producing the same
KR0165869B1 (ko) 1995-10-17 1998-12-15 김은영 내 저온열화 지르코니아 재료 및 그것의 제조방법
US6123997A (en) 1995-12-22 2000-09-26 General Electric Company Method for forming a thermal barrier coating
US5838529A (en) 1995-12-22 1998-11-17 Lam Research Corporation Low voltage electrostatic clamp for substrates such as dielectric substrates
EP0803900A3 (en) * 1996-04-26 1999-12-29 Applied Materials, Inc. Surface preparation to enhance the adhesion of a dielectric layer
US5820723A (en) 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US5863376A (en) 1996-06-05 1999-01-26 Lam Research Corporation Temperature controlling method and apparatus for a plasma processing chamber
US6048798A (en) 1996-06-05 2000-04-11 Lam Research Corporation Apparatus for reducing process drift in inductive coupled plasma etching such as oxide layer
US5788799A (en) 1996-06-11 1998-08-04 Applied Materials, Inc. Apparatus and method for cleaning of semiconductor process chamber surfaces
US5900283A (en) 1996-11-12 1999-05-04 General Electric Company Method for providing a protective coating on a metal-based substrate and related articles
US6117560A (en) 1996-12-12 2000-09-12 United Technologies Corporation Thermal barrier coating systems and materials
US5702766A (en) 1996-12-20 1997-12-30 Eastman Kodak Company Process of forming a ceramic article containing a core comprising zirconia and a shell comprising zirconium boride
GB9717245D0 (en) 1997-08-15 1997-10-22 Rolls Royce Plc A metallic article having a thermal barrier coaring and a method of application thereof
US6074488A (en) 1997-09-16 2000-06-13 Applied Materials, Inc Plasma chamber support having an electrically coupled collar ring
US5879523A (en) 1997-09-29 1999-03-09 Applied Materials, Inc. Ceramic coated metallic insulator particularly useful in a plasma sputter reactor
US6087285A (en) 1997-10-13 2000-07-11 Tosoh Corporation Zirconia sintered body, process for production thereof, and application thereof
US6379575B1 (en) 1997-10-21 2002-04-30 Applied Materials, Inc. Treatment of etching chambers using activated cleaning gas
US6641939B1 (en) * 1998-07-01 2003-11-04 The Morgan Crucible Company Plc Transition metal oxide doped alumina and methods of making and using
US6123791A (en) * 1998-07-29 2000-09-26 Applied Materials, Inc. Ceramic composition for an apparatus and method for processing a substrate
US6106959A (en) 1998-08-11 2000-08-22 Siemens Westinghouse Power Corporation Multilayer thermal barrier coating systems
JP3812232B2 (ja) * 1998-10-23 2006-08-23 日新電機株式会社 多結晶シリコン薄膜形成方法及び薄膜形成装置
US6383964B1 (en) * 1998-11-27 2002-05-07 Kyocera Corporation Ceramic member resistant to halogen-plasma corrosion
JP3046288B1 (ja) 1998-12-28 2000-05-29 京セラ株式会社 半導体・液晶製造装置用部材
TW465017B (en) * 1999-04-13 2001-11-21 Applied Materials Inc A corrosion-resistant protective coating for an apparatus and method for processing a substrate
US6227140B1 (en) * 1999-09-23 2001-05-08 Lam Research Corporation Semiconductor processing equipment having radiant heated ceramic liner
US6408786B1 (en) * 1999-09-23 2002-06-25 Lam Research Corporation Semiconductor processing equipment having tiled ceramic liner
US6296716B1 (en) * 1999-10-01 2001-10-02 Saint-Gobain Ceramics And Plastics, Inc. Process for cleaning ceramic articles
US6391146B1 (en) * 2000-04-11 2002-05-21 Applied Materials, Inc. Erosion resistant gas energizer
AU2001288566A1 (en) * 2000-11-15 2002-05-27 Gt Equipment Technologies Inc. A protective layer for quartz crucibles used for silicon crystallization
US6537429B2 (en) * 2000-12-29 2003-03-25 Lam Research Corporation Diamond coatings on reactor wall and method of manufacturing thereof
US6533910B2 (en) * 2000-12-29 2003-03-18 Lam Research Corporation Carbonitride coated component of semiconductor processing equipment and method of manufacturing thereof

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107112275A (zh) * 2014-12-19 2017-08-29 应用材料公司 用于基板处理腔室的边缘环
CN107112275B (zh) * 2014-12-19 2020-10-30 应用材料公司 用于基板处理腔室的边缘环
US11417561B2 (en) 2014-12-19 2022-08-16 Applied Materials, Inc. Edge ring for a substrate processing chamber

Also Published As

Publication number Publication date
KR20030068569A (ko) 2003-08-21
JP2004526053A (ja) 2004-08-26
US20020086153A1 (en) 2002-07-04
KR100898531B1 (ko) 2009-05-20
US6620520B2 (en) 2003-09-16
IL156698A0 (en) 2004-01-04
DE60141047D1 (de) 2010-02-25
WO2002054453A1 (en) 2002-07-11
TW548737B (en) 2003-08-21
US7255898B2 (en) 2007-08-14
WO2002054453A9 (en) 2003-08-21
EP1356501A2 (en) 2003-10-29
CN1489779A (zh) 2004-04-14
EP1356501B1 (en) 2010-01-06
ATE454710T1 (de) 2010-01-15
US20040023047A1 (en) 2004-02-05
JP4331479B2 (ja) 2009-09-16

Similar Documents

Publication Publication Date Title
CN1260770C (zh) 在半导体加工设备中的氧化锆增韧陶瓷组件和涂层及其制造方法
US6830622B2 (en) Cerium oxide containing ceramic components and coatings in semiconductor processing equipment and methods of manufacture thereof
US8935990B2 (en) Low contamination components for semiconductor processing apparatus and methods for making components
US6613442B2 (en) Boron nitride/yttria composite components of semiconductor processing equipment and method of manufacturing thereof
US6533910B2 (en) Carbonitride coated component of semiconductor processing equipment and method of manufacturing thereof
EP1358363A2 (en) Diamond coatings on reactor wall and method of manufacturing thereof

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CX01 Expiry of patent term
CX01 Expiry of patent term

Granted publication date: 20060621