CN1290310A - 电镀设备及方法 - Google Patents

电镀设备及方法 Download PDF

Info

Publication number
CN1290310A
CN1290310A CN99802920A CN99802920A CN1290310A CN 1290310 A CN1290310 A CN 1290310A CN 99802920 A CN99802920 A CN 99802920A CN 99802920 A CN99802920 A CN 99802920A CN 1290310 A CN1290310 A CN 1290310A
Authority
CN
China
Prior art keywords
substrate
anode
equipment
electroplating
electrolytic solution
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN99802920A
Other languages
English (en)
Other versions
CN1222641C (zh
Inventor
王晖
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ACM Research Inc
Original Assignee
ACM Research Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ACM Research Inc filed Critical ACM Research Inc
Publication of CN1290310A publication Critical patent/CN1290310A/zh
Application granted granted Critical
Publication of CN1222641C publication Critical patent/CN1222641C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/02Electroplating of selected surface areas
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/08Electroplating with moving electrolyte e.g. jet electroplating
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/02Electroplating of selected surface areas
    • C25D5/026Electroplating of selected surface areas using locally applied jets of electrolyte
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/18Electroplating using modulated, pulsed or reversing current
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D21/00Processes for servicing or operating cells for electrolytic coating
    • C25D21/12Process control or regulation

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Electrochemistry (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Electroplating Methods And Accessories (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

一种在其上有阻挡层的基片上直接电镀导电膜的设备,包括:置于管(109)内的阳极棒(1)、分别放在圆柱壁(107,105)、(103,101)之间的阳极环(2和3)。阳极(1,2,3)分别由电源(13,12,11)供电。电解液(34)由泵(33)抽出通过过滤器(32)并到达液体质量流量控制器(LMFC)(21,22,23)的入口。然后LMFC(21,22,23)分别以设定的流量输送电解液到包含阳极(3,2,1)的子电镀槽。在流过晶片(31)和圆柱壁(101,103,105,107和109)顶部之间的间隙之后,电解液分别通过圆柱壁(100,101)、(103,105)、(107,109)之间的空间流回容器(36)。压力泄漏阀(38)置于泵(33)出口和电解液池(36)之间,当LMFC(21,22,23)关闭时使电解液排回电解液池(36)。由晶片夹盘(29)固定的晶片(31)连接到电源(11,12,13)。驱动机构(30)用于绕z轴旋转晶片(31)并在所示x、y、z方向振荡晶片。过滤器(32)过滤大于0.1或0.2μm的颗粒以便获得低颗粒填充的电镀工艺。

Description

电镀设备及方法
本发明一般地涉及一种电镀薄膜的方法和设备,更具体地涉及电镀金属膜以形成半导体器件中的内部连线。
由于半导体器件的特征是根据Moore定律持续收缩,如果仍然使用铝(Al)和SiO2,那么对于0.18μm级器件,内部连线延迟比器件门延迟更大。为了减少内部连线延迟,铜和低k电介质是一种可能的解决方法。铜/低k内部连线与传统Al/SiO2方法相比有几个优点,包括可显著减少内部连线延迟,同时还可减少所需金属层的数量、使能量耗散最小以及减少制造成本。铜提供更好的可靠性,其对电迁移的阻力比铝好得多。已经开发了许多用于淀积铜的技术,包括从传统的物理汽相淀积(PVD)和化学汽相淀积(CVD)技术到新的电镀方法。PVD铜淀积通常具有尖点问题,当用大纵横比填注小间隙(<0.18μm)时导致产生空穴。CVD铜在淀积过程中有较多包含在膜内的杂质,需要高温退火排出杂质以获得低电阻率的铜膜。只有电镀铜能同时提供低电阻率和优良的间隙填注能力。另一个重要的因素是成本,电镀设备的成本分别是PVD或CVD设备成本的三分之二或一半。还有,在顺利生成器件时电镀铜时的低工艺温度(30-60℃)和低k电介质(聚合物、干凝胶和气凝胶)是有利的。
电镀铜已经在印制电路板、芯片组件的凸点电镀和磁头中应用了很多年。在常规的电镀机器中,晶片外围的电镀电流密度比晶片中心的更大。这导致晶片的外围比中心有更高的电镀速率。Grandia等的4304841号美国专利公开了为使基片获得均匀的电镀电流和电解液流而在基片和阳极之间放置扩散器。Mori的5443707号美国专利公开了通过缩小阳极的尺寸控制电镀电流。Tzanavaras的5421987号美国专利公开了具有多个喷嘴的旋转阳极以获得均匀和较高的电镀速率。Lowery的5670034号美国专利公开了在旋转晶片的前面布置横向往复式阳极来提高电镀厚度的均匀性。Ang的5820581号美国专利公开了由独立电源供电的取样环以控制晶片上电镀电流的分布。
所有的这些现有技术的方法在铜电镀之前都需要铜籽晶层。通常铜籽晶层在扩散膜的顶部。该铜籽晶层用物理蒸汽淀积(PVD)或化学蒸汽淀积(CVD)进行淀积。然而如前所述,PVD铜通常有有尖点问题,当用大纵横比填注小间隙(<0.18μm)且有后续的铜电镀时会产生空穴。CVD铜在淀积过程中有较高包含在膜内的杂质率,需要高温退火排出杂质以获得低电阻率的铜籽晶层。当器件特征尺寸缩小时这种铜籽晶层会成为更严重的问题。而且铜籽晶层淀积增加额外的工艺,增加IC制造成本。
现有技术的另一不利之处是电镀电流和电解液流型是非独立控制的,或者只有电镀电流可控制。这限制工艺调整窗口,因为为了同时获得优良的间隙填注能力、厚度均匀性和电均匀性以及粒径和结构的均匀性,最佳电镀电流条件不一定与最佳电解液流条件同步。
现有技术的另一不利之处是电镀头或电镀系统臃肿且台面面积大,使用户的使用成本增加。
本发明的目的是提供一种新的在阻挡层上直接电镀金属膜的方法和设备,不需使用由除电镀外的工艺制造的籽晶层。
本发明的另一目的是提供一种新的把金属膜电镀到比现有技术中所使用的更薄的籽晶层上的方法和设备。
本发明的又一目的是提供一种新的在晶片上电镀厚度更均匀的薄膜的方法和设备。
本发明的另一目的是提供一种新的在晶片上电镀导电率更均匀的导电膜的方法和设备。
本发明的另一目的是提供一种新的其电镀薄膜结构、粒径、组织和取向性更均匀的薄膜的方法和设备。
本发明的另一目的是提供一种新的电镀薄膜的方法和设备,该电镀提高了晶片间隙填注能力。
本发明的另一目的是提供一种新的电镀金属膜的方法和设备,该金属膜用于集成电路IC芯片的内部连线。
本发明的另一目的是提供一种新的电镀薄膜的方法和设备,该方法和设备具有独立的电镀电流控制和电解液流型控制。
本发明的另一目的是提供一种新的用于金属镶嵌(damascene)工艺的电镀金属薄膜的方法和设备。
本发明的另一目的是提供一种新的电镀低杂质金属膜的方法和设备。
本发明的另一目的是提供一种新的电镀铜的方法和设备,该电镀薄膜的应力小且结合力大。
本发明的另一目的是提供一种新的电镀填充颗粒密度低的金属膜的方法和设备。
本发明的另一目的是提供一种新的台面面积小的电镀系统。
本发明的另一目的是提供一种新的使用成本低的电镀系统。
本发明的另一目的是提供一种新的电镀系统,它在一定时间只电镀一个晶片。
本发明的另一目的是提供一种新的具有原位膜厚均匀性监视器的电镀系统。
本发明的另一目的是提供一种新的电镀系统,它具有内置的使晶片可干进干出的清洁系统。
本发明的另一目的是提供一种新的晶片生产率高的电镀系统。
本发明的另一目的是提供一种新的能处理尺寸超过300mm晶片的电镀系统。
本发明的另一目的是提供一种新的具有多个电镀槽和清洁/干燥室的电镀系统。
本发明的另一目的是提供一种新的具有层叠电镀室和清洁/干燥室结构的电镀系统。
本发明的另一目的是提供一种新的电镀系统,它具有标准机械界面(SMIF)、自动引导车辆(AGV)和SEMI设备通信标准/通用设备机器(SECS/GEM)的自动化特征。
本发明的另一目的是提供一种新的符合“半导体设备和材料国际(SEMI)和欧洲安全规范”的电镀系统。
本发明的另一目的是提供一种新的电镀系统,它生产率高,具有大的平均故障时间(MTBF)、小的计划停工时间和大的设备正常运行时间。
本发明的另一目的是提供一种新的由具有标准操作系统的个人计算机控制的电镀系统,例如Windows NT环境下的IBM PC。
本发明的另一目的是提供一种新的具有图形用户界面例如触摸屏的电镀系统。
本发明的上述和相关的目的和优点可通过使用此处公开的新方法和设备来实现。根据本发明的一种在基片表面上电镀所需厚度的膜的方法包括,在基片表面第一部分上电镀所需厚度的膜,然后在基片至少第二部分上电镀所需厚度的膜,以在基片上获得所需厚度的连续膜。对与已电镀了膜的一个或更多个部分相邻和接触的基片表面的其它部分,根据需要进行电镀,以便在整个基片表面上得到连续膜。
根据本发明的一种在基片上电镀薄膜的设备,具有定位基片以便与电镀电解液接触的基片夹具。该设备至少有一个提供电镀电流到基片的阳极和至少有两个连接的流量控制器以提供与基片接触的电解液。有至少一个控制系统与上述至少一个阳极和至少两个流量控制器结合,向基片的连续部分提供电解液和电镀电流组合,通过在基片部分上连续的膜电镀而在基片上形成连续的均匀厚度的膜。
在本发明的另一个方面,根据本发明的在基片上电镀薄膜的设备,具有定位基片以便与电镀电解液接触的基片夹具。该设备至少有两个向基片提供电镀电流的阳极和至少一个连接的流量控制器以提供与基片接触的电解液。有至少一个控制系统与至少两个阳极和至少一个流量控制器相结合,向基片的连续部分提供电解液和电镀电流组合,通过在基片部分上连续的膜电镀,而在基片上形成连续的均匀厚度的膜。
在本发明的另一个方面,根据本发明的在基片上电镀薄膜的设备,具有定位基片以便与电镀电解液接触的基片夹具。该设备至少有一个提供电镀电流到基片的阳极和至少有一个连接的流量控制器以提供与基片接触的电解液。上述至少一个流量控制器包括至少三个圆柱壁。位于基片中心部分之下的第一个圆柱壁向上延伸得比第二个圆柱壁更靠近基片,该第二个圆柱壁位于基片从外围到中心的第二部分之下。驱动机构与基片夹具结合以驱动基片夹具上下移动来控制一个或更多的与电解液接触的基片部分。有至少一个控制系统与至少一个阳极和至少一个流量控制器结合,向基片的连续部分提供电解液和电镀电流组合,通过在基片部分上连续的膜电镀,而在基片上形成连续的均匀厚度的膜。
在本发明的另一个方面,根据本发明的在基片上电镀薄膜的设备,具有定位基片以便与电镀电解液接触的基片夹具。该设备至少有一个提供电镀电流到基片的阳极和至少有一个连接的流量控制器以提供与基片接触的电解液。上述至少一个流量控制器包括至少三个圆柱壁,该圆柱壁可向上移动接近基片、向下移动远离基片,以便调节基片和每个圆柱壁之间的间隙控制一个或更多的与电解液接触的基片部分。驱动机构与基片夹具结合,以驱动基片夹具上下移动来控制一个或更多的与电解液接触的基片部分。有至少一个控制系统与至少一个阳极和至少一个流量控制器结合,向基片的连续部分提供电解液和电镀电流组合,通过在基片部分上连续的膜电镀而在基片上形成连续的均匀厚度的膜。
在本发明的另一个方面,在基片上电镀薄膜的设备,具有在电解液内定位基片的基片夹具。有至少一个可移动的喷射阳极提供电镀电流和电解液到基片。该可移动的喷射阳极在与基片表面平行的方向上可以移动。流量控制器控制流过可移动的喷射阳极的电解液。有至少一个控制系统与可移动的喷射阳极和流量控制器结合,向基片的连续部分提供电解液和电镀电流组合,通过在基片部分上连续的膜电镀,而在基片上形成连续的均匀厚度的膜。
在本发明的另一个方面,在基片上电镀薄膜的设备,具有在电解液表面之上定位基片的基片夹具。第一驱动机构与基片夹具结合,使基片夹具向着或远离电解液表面移动,以控制与电解液接触的基片表面部分。电解液槽至少有一个安装在槽内的阳极。第二驱动机构与槽结合,绕垂直轴旋转槽形成电解液表面的大致成抛物面的形状。控制系统与第一和第二驱动机构及至少一个阳极结合,向基片的连续部分提供电解液和电镀电流组合,通过在基片部分上连续的膜电镀而在基片上形成连续的均匀厚度的膜。
在本发明的另一个方面,在基片上电镀薄膜的设备,具有在电解液表面之上定位基片的基片夹具。第一驱动机构与基片夹具结合,使基片夹具向着或远离电解液表面移动,以控制与电解液接触的基片表面部分。第二驱动机构与基片夹具结合,绕与基片表面垂直的轴旋转基片夹具。第三驱动机构与基片夹具结合,使基片夹具倾斜于电解液表面。电解液槽至少有一个安装在槽内的阳极。控制系统与第一、第二和第三驱动机构及至少一个阳极结合,向基片的连续部分提供电解液和电镀电流组合,通过在基片部分上连续的膜电镀而在基片上形成连续的均匀厚度的膜。
在本发明的另一个方面,在基片表面上电镀所需厚度膜的方法包括,提供多个层叠的电镀组件和基片输送机构;用基片输送机构从基片夹具中提取基片;用基片输送机构把基片装入第一个层叠的电镀组件;在第一个层叠的电镀组件中把膜电镀在基片上;用基片输送机构把基片送回基片夹具。
在本发明的另一方面中,在基片上电镀薄膜的自动化装置包括,至少两个以层叠关系设置的电镀槽、至少一个基片夹具和基片输送机构。有框架支撑电镀槽、基片夹具和基片输送机构。控制系统与基片输送机构、基片夹具和电镀槽结合,在多个基片上连续进行均匀膜的淀积。
方法1:晶片表面的一部分与电解液接触(静止阳极)
本发明的上述和其它目的,进一步可通过在其上有阻挡层的基片上直接电镀薄膜的方法来实现,该方法包括:1)使电解液流向其上有阻挡层的基片表面的一部分上;2)打开DC或脉冲电源在基片的该区域上电镀金属膜,直到膜厚度达到预设值;3)通过使电解液流向基片相同的其它部分,对基片其它部分重复步骤1和2;4)重复步骤3,直到整个基片表面电镀上薄籽晶层;5)使电解液流向基片的整个面积;6)通电,施加正电势到所有阳极,电镀薄膜直到膜厚度达到所需的厚度值。
方法2:整个晶片表面与电解液接触(静止阳极)
在本发明的另一方面,提供另一种在其上有阻挡层的基片上直接电镀薄膜的方法,其中包括:1)使电解液流向基片的整个表面上;2)通过向接近晶片表面某一部分的阳极施加正电势和向所有其它接近基片表面其余部分的阳极施加负电势,仅在基片该部分的表面上电镀薄膜,直到基片该部分上的电镀薄膜厚度达到预设值;3)对基片其余部分重复步骤2;4)重复步骤3,直到整个基片表面电镀上薄籽晶层;5)通过向所有阳极施加正电势,同时在基片的整个区域上电镀薄膜,直到在基片整个表面上的膜厚达到预设厚度值。
方法3:在开始时整个晶片表面与电解液接触,然后把已电镀的晶片部分移出电解液
在本发明的另一方面,提供另一种在其上有阻挡层的基片上直接电镀薄膜的方法,其中包括:1)使电解液流向基片的整个表面上;2)通过向接近基片表面某一部分的阳极施加正电势和向所有其它接近基片表面其余部分的阳极施加负电势,仅在基片该部分的表面上电镀薄膜,直到该部分基片的表面上的电镀薄膜厚度达到预设值;3)让电解液移出,与所有已电镀的基片部分脱离接触,并保持电解液仍然与基片其余未电镀部分接触;4)重复步骤2和3,电镀基片的下一部分;5)重复步骤4,直到整个基片表面电镀上薄籽晶层;6)通过向所有阳极施加正电势和使电解液流向基片的整个表面上,同时在整个基片上电镀薄膜,直到在基片整个表面上的膜厚达到预设厚度值。
方法4:在开始时部分基片与电解液接触,然后基片的已电镀部分和待电镀部分都与电解液接触
在本发明的另一方面,提供另一种在其上有阻挡层的基片上直接电镀薄膜的方法,其中包括:1)使电解液流向基片表面的第一部分上;2)通过向接近基片表面第一部分的阳极施加正电势,仅在基片表面的第一部分上电镀薄膜,直到基片第一部分上的电镀薄膜厚度达到预设值;3)移动电解液使之与基片表面的第二部分接触,同时使之保持仍与基片表面的第一部分接触;4)通过向接近基片表面第二部分的阳极施加正电势和向接近基片表面第一部分的阳极施加负电势,仅在基片表面的第二部分上电镀薄膜;5)重复步骤3和4,电镀基片表面的第三部分;6)重复步骤4,直到基片表面的整个区域电镀上薄籽晶层;7)通过向所有阳极施加正电势和使电解液流向基片的整个表面上,同时在整个晶片上电镀薄膜,直到基片整个表面上的膜厚达到预设厚度值。
方法5:在只电镀籽晶层时,部分基片表面与电解液接触(可移动阳极)
在本发明的另一方面,提供另一种在其上有阻挡层的基片上直接电镀薄膜的方法,其中包括:1)通过可移动的喷射阳极使电解液流向其上有阻挡层的基片的部分表面上;2)打开DC或脉冲电源,在部分基片上电镀金属膜,直到膜厚达到预设值;3)通过移动可移动的喷射阳极接近基片的其它部分,对基片其它部分重复步骤1和2;4)重复步骤3,直到基片整个表面电镀上薄籽晶层。
方法6:在只电镀籽晶层时,整个基片表面与电解液接触(可移动阳极)
在本发明的另一方面,提供另一种在其上有阻挡层的基片上直接电镀薄膜的方法,其中包括:1)把整个基片表面浸入到电解液中;2)通过向接近基片表面第一部分的可移动阳极施加正电势,仅在基片表面第一部分上电镀薄膜;3)通过移动可移动阳极接近基片的其它部分,对基片其它部分重复步骤2;4)重复步骤3,直到基片整个区域电镀上薄籽晶层。
设备1:多个液体质量流量控制器(LMFC)和多个电源
在本发明的另一方面,提供一种在其上有阻挡层的基片上直接电镀薄膜的设备,其中包括:在电解液表面之上固定基片的基片夹具;至少两个阳极,每个阳极由绝缘圆柱壁分隔开;独立的液体质量流量控制器,用于控制从两个圆柱壁之间的空间流过接触一部分基片的电解液;独立的电源,用于在每个阳极和阴极或基片之间产生电势;只有当与基片部分对应的液体质量流量控制器和电源同时打开时,该基片表面部分才会被电镀。
设备2:一个公用LMFC和多个电源
在本发明的另一方面,提供另一种在其上有阻挡层的基片上直接电镀薄膜的设备,其中包括:在电解液表面之上固定基片的基片夹盘;驱动基片夹具上下移动以控制与电解液接触的表面区域部分的电机;至少两个阳极,每个阳极由两个绝缘圆柱壁分隔开,圆柱壁的高度沿着基片离心方向减小;一个公用的液体质量流量控制器,用于控制从每个相邻圆柱壁之间的空间流过到达基片表面的电解液;独立的电源,用于在每个阳极和阴极或基片之间产生电势;只有当与基片部分接近的阳极加以正电势、其余阳极加以负电势且同时基片部分与电解液接触时,该基片表面部分才被电镀。在电镀厚度达到籽晶层设置值之后,基片向上移动以使已电镀部分移出电解液。这样可使得当基片其它部分电镀时没有进一步的电镀或蚀刻。
设备3:多个LMFC和一个公用电源
在本发明的另一方面,提供另一种在其上有阻挡层的基片上直接电镀薄膜的设备,其中包括:在电解液表面之上固定基片的基片夹具;至少两个阳极,每个阳极由两个绝缘圆柱壁分隔开;独立的液体质量流量控制器,用于控制从两个圆柱壁之间的空间流过接触一部分基片的电解液;一个公用电源,用于在每个阳极和阴极或基片之间产生电势;只有当液体质量流量控制器和电源同时打开时,基片表面部分才被电镀。
设备4:一个公用液体质量流量控制器(LMFC)和一个公用电源
在本发明的另一方面,提供另一种在其上有阻挡层的基片上直接电镀薄膜的设备,其中包括:在电解液表面之上固定基片的基片夹具;至少两个阳极,每个阳极由两个绝缘圆柱壁分隔开;圆柱壁可以上下移动以调节基片和圆柱壁顶部之间的间隙,由此控制电解液与基片上和壁相邻的部分接触;一个液体质量流量控制器,用于控制从两个圆柱壁之间的空间流过的电解液;一个电源,用于在所有阳极和阴极或基片之间产生电势;只有当基片表面部分之下的圆柱壁向上移动以便电解液接触该部分基片且同时接通电源时,该基片表面部分才会被电镀。
设备5:可移动的阳极且基片不浸在电解液中
在本发明的另一方面,提供另一种在其上有阻挡层的基片上直接电镀薄膜的设备,其中包括:在电解液表面之上固定基片的基片夹具;放在基片之下且靠近它的可移动的阳极喷头,该可移动的阳极喷头能向基片表面移动,由此阳极喷头的电解液得以控制接触基片的任何部分;一个电源,用于在可移动的阳极喷头和阴极或基片之间产生电势;只有当基片表面部分与可移动的阳极喷头喷射的电解液接触时,该表面部分才被电镀。
设备6:可移动的阳极且基片浸在电解液中
在本发明的另一方面,提供另一种在其上有阻挡层的基片上直接电镀薄膜的设备,其中包括:固定基片的基片夹具,基片浸在电解液内;与基片相邻的可移动的阳极喷头,可移动的阳极喷头向着基片表面是可移动的,由此阳极喷头的电镀电流得以控制送到基片的任何部分;一个电源,用于在可移动的阳极喷头和阴极或基片之间产生电势;只有当基片部分靠近可移动的阳极喷头时该基片表面部分才被电镀。
方法7:通过全自动电镀装置把金属膜电镀到基片上
在本发明的另一方面,提供另一种通过全自动电镀装置把薄膜电镀到基片上的方法,其中包括:1)用机器人从盒内提取晶片并送到一个层叠的电镀槽;2)在晶片上电镀金属膜;3)在完成电镀后,用机器人从层叠的电镀槽提取已电镀晶片并输送到一个层叠的清洁/干燥室;4)清洁已电镀晶片;5)干燥已电镀晶片;6)用机器人从层叠的清洁/干燥室提取干燥的晶片并送到盒中。
设备7:用于把金属膜电镀到基片上的全自动装置
在本发明的另一方面,提供一种把金属膜电镀到基片上的全自动装置,其中包括:输送晶片的机器人;晶片盒;多个层叠的电镀槽;多个层叠的清洁/干燥槽;电解液容器;固定控制阀、过滤器、液体质量流量控制器和管路的管路箱。全自动装置进一步包括计算机和结合在计算机和自动装置其余元件之间的控制硬件、以及置于计算机之内的操作系统控制软件包。
方法8:电镀薄层—部分晶片表面与电解液接触,然后晶片已电镀部分和待电镀部分都与电解液接触,并用金属电镀
在本发明的另一方面,提供另一种在其上有阻挡层或薄籽晶层的基片上直接电镀薄膜的方法,其中包括:1)接通DC或脉冲电源;2)使基片表面的第一部分与电解液接触,使金属膜在基片第一部分上电镀;3)当金属膜厚度达到预设值时,通过使基片的一个或更多的其它部分与电解液接触,对基片一个或更多的其它部分重复步骤1和2,同时继续进行对基片第一部分和基片的任何先前的一个或更多的其它部分的电镀;4)重复步骤3直到基片整个区域电镀上薄籽晶层。
方法9:光电镀薄层再电镀厚层—部分晶片表面与电解液接触,然后晶片已电镀部分和待电镀部分与电解液接触并用金属电镀
在本发明的另一方面,提供另一种在其上有阻挡层或薄籽晶层的基片上直接电镀薄膜的方法,其中包括:1)接通DC或脉冲电源;2)使基片表面第一部分与电解液接触,以在基片第一部分上电镀金属膜;3)当金属膜厚度达到预设值时,通过使基片一个或更多的其它部分与电解液接触,对基片一个或更多的其它部分重复步骤1和2,同时继续进行对基片第一部分和基片任何先前的一个或更多的其它部分的电镀;4)重复步骤3直到基片所有部分电镀上薄籽晶层;5)基片所有部分与电解液接触;6)向与基片所有部分相邻的阳极施加正电势,电镀薄膜直到膜厚度达到所需厚度值。
方法10:电镀薄层—最初晶片表面的第一部分与电解液接触,然后晶片第一部分和第二部分都与电解液接触,但只有晶片第二部分进行电镀。
在本发明的另一方面,提供另一种在其上有阻挡层或薄籽晶层的基片上直接电镀薄膜的方法,其中包括:1)向与基片表面第一部分相邻的第一阳极上施加正电势;2)基片表面第一部分与电解液接触,以在基片表面第一部分上电镀薄膜;3)当基片表面第一部分上的膜厚达到预设值时,电解液进一步接触基片表面的第二部分同时保持电解液与基片表面第一部分的接触;4)通过向与基片表面第二部分相邻的第二阳极上施加正电势,只在基片表面第二部分上电镀薄膜,并且向与基片表面第一部分相邻的第一阳极施加足够的正电势,以使基片表面第一部分不电镀也不去除镀层;5)重复步骤3和4,电镀基片的第三部分同时避免去除基片表面第一和第二部分的镀层;6)对基片表面的后续部分重复步骤4直到基片整个区域电镀上薄籽晶层。
方法11:先电镀薄层再电镀厚层-开始时一部分晶片与电解液接触,然后晶片已电镀部分和待电镀部分都与电解液接触,但只有晶片待电镀部分被电镀
在本发明的另一方面,提供另一种在其上有阻挡层或薄籽晶层的基片上直接电镀薄膜的方法,其中包括:1)基片区域的第一部分与电解液接触;2)通过向与晶片表面第一部分邻近的第一阳极施加正电势,仅在基片表面相同部分上电镀薄膜,直到基片表面第一部分上的电镀薄膜厚度达到预设值;3)基片表面第二部分进一步与电解液接触,同时保持电解液与基片表面第一部分接触;4)通过向与基片表面第二部分相邻的第二阳极施加正电势,只在基片表面第二部分上电镀薄膜,并且向与基片表面第一部分相邻的第一阳极施加足够的正电势以使基片表面第一部分不电镀但也不去除镀层;5)重复步骤3和4,电镀基片第三部分,同时避免去除基片表面第一和第二部分的镀层;6)重复步骤4直到基片表面的整个区域都电镀上薄籽晶层;7)通过施加正电势到所有阳极并使基片表面整个区域与电解液接触,同时在整个晶片上继续电镀的金属膜,直到在整个基片表面上继续电镀的膜厚达到所需厚度值。
设备8:旋转电镀槽使电解液形成抛物面形状(单个阳极)
在本发明的另一方面,提供另一种在其上有阻挡层或薄籽晶层的基片上直接电镀薄膜的设备,其中包括:在电解液表面之上固定基片的基片夹盘;驱动基片夹具上下移动以控制部分表面区域与电解液接触的电机;浸有阳极的槽;控制流向并接触基片的电解液的液体质量流量控制器;在阳极和阴极或基片之间产生电势的电源;驱动电镀槽绕其中心轴以一定速度旋转的另一电机,该速度使电解液表面形成抛物面形状;只有当液体质量流量控制器和电源同时打开时部分基片表面才被电镀。在电镀厚度达到籽晶层预定的值后,基片向下移动使基片后续部分与电解液接触并电镀。
设备9:旋转电镀槽使电解液形成抛物面形状(多个阳极)
在本发明的另一方面,提供另一种在其上有阻挡层或薄籽晶层的基片上直接电镀薄膜的设备,其中包括:在电解液表面之上固定基片的基片夹盘;驱动基片夹具上下移动以控制与电解液接触的部分表面区域的电机;至少有两个阳极,每个阳极用两个绝缘圆柱壁分隔开;独立的液体质量流量控制器,用于控制从两个圆柱壁之间的空间流过接触一部分基片的电解液;独立的电源,用于在每个阳极和阴极或基片之间产生电势;驱动电镀槽绕其中心轴以一定速度旋转的另一电机,该速度使电解液表面形成抛物面形状;只有当靠近基片部分的阳极加以正电势且同时该部分基片与电解液接触时,该部分基片表面才会电镀。在电镀厚度达到预定值后,基片向下移动使基片后续部分与电解液接触并电镀。
设备10:绕y轴或x轴倾斜晶片夹具(单个阳极)
在本发明的另一方面,提供另一种在其上有阻挡层或薄籽晶层的基片上直接电镀薄膜的设备,其中包括:在电解液表面之上固定基片的基片夹盘;基片夹具可绕z轴旋转且可绕y轴或x轴倾斜;阳极;控制电解液与基片接触的液体质量流量控制器;在阳极和阴极或基片之间产生电势的电源;只有当基片夹盘绕y轴或x轴倾斜且绕z轴旋转使基片的外围部分与电解液接触时,且同时液体质量流量控制器和电源打开时,基片表面的外围部分才会电镀。
设备11:晶片夹具的倾斜旋转轴(多个阳极)
在本发明的另一方面,提供另一种在其上有阻挡层或薄籽晶层的基片上直接电镀薄膜的设备,其中包括:在电解液表面之上固定基片的基片夹盘;基片夹具可绕z轴旋转而且可绕y轴或x轴倾斜;至少两个阳极;每个阳极用两个绝缘圆柱壁分隔开;独立的液体质量流量控制器,用于控制从两个圆柱壁之间的空间流过接触一部分基片的电解液;独立的电源,用于在每个阳极和阴极或基片之间产生电势;只有当基片夹盘绕y轴或x轴倾斜且绕z轴旋转使基片外围部分与电解液接触时,且同时液体质量流量控制器和电源打开时,基片表面的外围部分才会电镀。
设备12:旋转电镀槽使电解液形成抛物面形状,并绕y轴或x轴倾斜晶片夹具(单个阳极)
在本发明的另一方面,提供另一种在其上有阻挡层或薄籽晶层的基片上直接电镀薄膜的设备,其中包括:在电解液表面之上固定基片的基片夹盘;驱动基片夹具上下移动以控制与电解液接触的部分表面区域的电机;基片夹具可绕z轴旋转而且可绕y轴或x轴倾斜;阳极;控制电解液与基片接触的液体质量流量控制器;在阳极和阴极或基片之间产生电势的电源;驱动电镀槽绕其中心轴以一定速度旋转的另一电机,该速度使电解液表面形成抛物面形状;只有当基片夹盘绕y轴或x轴倾斜且绕z轴旋转使基片外围部分与电解液接触时,且同时液体质量流量控制器和电源打开时,基片表面的外围部分才会电镀。
设备13:旋转电镀槽使电解液形成抛物面形状,并绕y轴或x轴倾斜晶片夹具(多个阳极)
在本发明的另一方面,提供另一种在其上有阻挡层或薄籽晶层的基片上直接电镀薄膜的设备,其中包括:在电解液表面之上固定基片的基片夹盘;驱动基片夹具上下移动以控制与电解液接触的部分表面区域的电机;基片夹具可绕z轴旋转而且可绕y轴或x轴倾斜;至少两个阳极;每个阳极由两个绝缘圆柱壁分隔开,与基片边缘相比,圆柱壁更靠近基片中心;独立的液体质量流量控制器,用于控制从两个圆柱壁之间的空间流过接触一部分基片的电解液;独立的电源,用于在每个阳极和阴极或基片之间产生电势;驱动电镀槽绕其中心轴以一定速度旋转的另一电机,该速度使电解液表面形成抛物面形状;只有当靠近基片部分的阳极加以正电势且同时该部分基片与电解液接触时,该部分基片表面才会电镀。在电镀厚度达到预定值后,基片向下移动使基片后续部分与电解液接触并电镀。
本发明的中心思想是无需使用由除电镀之外的工艺生产的籽晶层,就可进行金属膜电镀。它在一个时间内电镀晶片的一部分以减小阻挡层的电流载荷,因为阻挡层的电阻率通常比铜金属膜高100倍。关于细节,请看下面的理论分析。
本领域技术人员在阅读下面更详细的本发明描述及附图后,本发明的上述效果和相关的目的、优点和特征会更加清楚易见。
图1A示出现有技术领域电镀设备的一部分,这对理解有本发明有帮助。
图1B为图1中所示基片的俯视图。
图2示出根据本发明的电镀过程中的基片的对应俯视图。
图3A示出本发明电镀设备的一部分的俯视图。
图3B是一部分为沿图3A中3B-3B线的局部截面视图,另一部分为简图的形式的根据本发明的电镀设备。
图4A示出即将进行本发明的电镀的基片。
图4B是沿图4A基片的4A-4A线的截面视图。
图5为波形图,这对理解本发明图3A-3B实施例的操作有帮助。
图6A和6B示出已电镀基片的局部截面视图,这对进一步理解本发明有帮助。
图7和8为其它波形图,这对进一步理解本发明图3A-3B实施例的操作有帮助。
图9A-9D是本发明电镀设备的替代实施例的一部分的俯视图。
图10是在根据本发明的设备操作中获得的波形图。
图11示出根据本发明的工艺流程图。
图12示出根据本发明的工艺的另一实施例的波形图。
图13A示出本发明电镀设备的第二实施例的一部分的俯视图。
图13B是一部分为沿图13A中13B-13B线的截面视图而另一部分为简图形式的本发明电镀设备的第二实施例的一部分的俯视图。
图14A示出本发明电镀设备的第三实施例的一部分的俯视图。
图14B是一部分为沿图14A中14B-14B线的截面视图而另一部分为简图形式的本发明电镀设备的第三实施例。
图15A示出本发明电镀设备的第四实施例的一部分的俯视图。
图15B是一部分为沿图15A中15B-15B线剖分的截面视图而另一部分为简图形式的本发明电镀设备的第四实施例。
图16A示出本发明电镀设备的第五实施例的一部分的俯视图。
图16B是一部分为沿图16A中16B-16B线剖分的截面视图而另一部分为简图形式的本发明电镀设备的第五实施例。
图17示出本发明电镀设备的第五实施例的一部分的俯视图。
图18A示出本发明电镀设备的第六实施例的一部分的俯视图。
图18B是一部分为沿图18A中18B-18B线剖分的截面视图而另一部分为简图形式的本发明电镀设备的第六实施例。
图19A示出本发明电镀设备的第七实施例的一部分的俯视图。
图19B是一部分为沿图19A中19B-19B线剖分的截面视图而另一部分为简图形式的本发明电镀设备的第七实施例。
图20A和20B是一部分为截面视图而另一部分为简图形式的本发明电镀设备的第八实施例。
图21A和21B是一部分为截面视图而另一部分为简图形式的本发明电镀设备的第九实施例。
图22A示出本发明电镀设备的第十实施例的一部分的俯视图。
图22B是一部分为沿图22A中22B-22B线剖分的截面视图而另一部分为简图形式的本发明电镀设备的第十实施例。
图23A和23B示出本发明电镀设备的第十一和第十二实施例的一部分的俯视图。
图24A示出本发明电镀设备的第十三实施例的一部分的俯视图。
图24B是一部分为沿图24A中24B-24B线剖分的截面视图而另一部分为简图形式的本发明电镀设备的第十三实施例。
图25A-25C示出本发明电镀设备的第十四、第十五和第十六实施例的一部分的俯视图。
图26A示出本发明电镀设备的第十七实施例的一部分的俯视图。
图26B是以部分为沿图26A中26B-26B线剖分的截面视图而另一部分为简图形式的本发明电镀设备的第十七实施例。
图27-28示出本发明电镀设备的第十八和第十九实施例的一部分的俯视图。
图29A-29C示出本发明电镀设备的第二十、第二十一和第二十二实施例的一部分的俯视图。
图30A示出本发明电镀设备的第二十三实施例的一部分的俯视图。
图30B是一部分为沿图30A中30B-30B线剖分的截面视图而另一部分为简图形式的本发明电镀设备的第二十三实施例。
图31A示出本发明电镀设备的第二十四实施例的一部分的俯视图。
图31B是一部分为沿图31A中31B-31B线剖分的截面视图而另一部分为简图形式的本发明电镀设备的第二十四实施例。
图32A示出本发明电镀设备的第二十五实施例的一部分的俯视图。
图32B是一部分为沿图32A中32B-32B线剖分的截面视图而另一部分为简图形式的本发明电镀设备的第二十五实施例。
图33A示出本发明电镀设备的第二十六实施例的一部分的俯视图。
图33B是一部分为沿图33A中33B-33B线剖分的截面视图而另一部分为简图形式的本发明电镀设备的第二十六实施例。
图34A-34D示出本发明电镀设备的第二十七到第三十实施例的一部的截面视图。
图35示出在采用本发明工艺进行的电镀过程中的基片。
图36A-36D示出本发明电镀设备的第三十一到第三十四实施例的俯视图。
图37A-37B示出本发明电镀设备的第三十五和第三十六实施例的一部分的截面视图。
图38A示出本发明电镀设备的第三十七实施例的一部分的俯视图。
图38B是一部分为沿图38A中38B-38B线剖分的截面视图而另一部分为简图形式的本发明电镀设备的第三十七实施例。
图39为波形图,它对理解图38A和38B中电镀设备的操作有帮助。
图40A示出本发明电镀设备的第三十八实施例的一部分的俯视图。
图40B是一部分为沿图40A中40B-40B线剖分的截面视图而另一部分为简图形式的本发明电镀设备的第三十八实施例。
图41A示出本发明电镀设备的第三十九实施例的一部分的俯视图。
图41B是一部分为沿图41A中41B-41B线剖分的截面视图而另一部分为简图形式的本发明电镀设备的第三十九实施例。
图42A示出本发明电镀设备的第四十实施例的一部分的俯视图。
图42B是一部分为沿图42A中42B-42B线剖分的截面视图而另一部分为简图形式的本发明电镀设备的第四十实施例。
图43和44为波形图,它对理解图42A和42B中电镀设备的操作有帮助。
图45A示出本发明电镀设备的第四十一实施例的一部分的俯视图。
图45B是一部分为沿图45A中45B-45B线剖分的截面视图而另一部分为简图形式的本发明电镀设备的第四十一实施例。
图46A示出本发明电镀设备的第四十二实施例的一部分的俯视图。
图46B是一部分为沿图46A中46B-46B线剖分的截面视图而另一部分为简图的形式示出本发明电镀设备的第四十二实施例。
图47A示出本发明电镀设备的第四十三实施例的一部分的俯视图。
图47B是一部分为沿图47A中47B-47B线剖分的截面视图而另一部分为简图形式的本发明电镀设备的第四十三实施例。
图48A示出本发明电镀设备的第四十四实施例的一部分的俯视图。
图48B是一部分为沿图48A中48B-48B线剖分的截面视图而另一部分为简图形式的本发明电镀设备的第四十四实施例。
图49A示出本发明电镀设备的第四十五实施例的一部分的俯视图。
图49B是一部分为沿图49A中49B-49B线剖分的截面视图而另一部分为简图形式的本发明电镀设备的第四十五实施例。
图50是一部分为截面视图而另一部分为简图形式的本发明电镀设备的第四十六实施例。
图51是一部分为截面视图而另一部分为简图形式的本发明电镀设备的第四十七实施例。
图52A-52C示出本发明电镀系统第一实施例的示意俯视图、截面视图和侧视图。
图53为控制图52电镀系统的软件的操作流程图。
图54A-54C示出本发明电镀系统第二实施例的示意俯视图、截面视图和侧视图。
图55和56为本发明电镀系统第三和第四实施例的示意俯视图。
图57A-57C示出本发明电镀系统的示意俯视图、截面视图和侧视图。
图58A示出本发明电镀设备的第四十八实施例的一部分的俯视图。
图58B是一部分为沿图58A中58B-58B线剖分的截面视图而另一部分为简图形式的本发明电镀设备的第四十八实施例。
图59为示出图58A-58B实施例电镀过程中电源开/关序列的波形图。
图60A示出本发明电镀设备的第四十九实施例。
图60B为示出本发明电镀设备的第四十九实施例的沿图60A中60B-60B线的的局部截面视图。
图61是一部分为截面视图而另一部分为示意图形式的本发明电镀设备的第五十实施例。
图62-71示出本发明电镀设备的第五十一到六十实施例的示意图。
现在来看附图,尤其是图1A-1B,它示出现有技术电镀设备的一部分,这有助于理解本发明。
常规电镀过程中晶片中心和边缘之间的势能差的理论计算
图1A示出常规喷泉型电镀装置和具有薄阻挡层400的半导体晶片31的截面视图。下面的理论计算用于求出正常电镀过程中晶片中心和外围之间的势能差。假设在整个晶片表面上的电镀电流密度是相同的,势能差可通过下式计算: V = ( I 0 ρ s 4 πr 0 2 ) ( r 2 - r 0 2 ) - - - - - - - - - - - - - - ( 1 )
其中:r为半径(cm),r0为晶片半径(cm),I0为流向晶片的总电镀电流(A.),ρs为阻挡层的表面电阻(Ω/□)。
假定原子半径=3_,那么我们就能计算出表面密度为1E15(即,1×1015)原子/cm2。流向晶片的电流密度可以表示为: I D = ( 2 × 1 E 15 60 ) ( qP . R . D atom ) - - - - - - - - - - - ( 2 )
其中:ID为电镀电流密度(A/cm2),q为电子的电荷(C),P.R.为电镀速率(_/min),Datom为原子直径。把P.R.=2000_/min、q=1.82E-19C、和Datom=3_代入公式(2): I D = ( 2 × 1 E 15 60 ) ( 1 . 62 E - 19 × 2000 3 ) = 3 . 6 E - 3 A / cm 2 - - - - - - - - ( 3 )
流向200mm晶片的总电流为:
I0=πr0 2ID=3.14×100×3.6E-3=1.13A       (4)
表面电阻随膜厚和淀积膜的方法而改变。厚度为200_且用常规PVD或CVD方法淀积时表面电阻在100-300Ω/□的范围内。把以上I0=1.13A、ρs=100-300Ω/□和r=0、r0=10cm代入式(1),晶片中心和外围(边缘)之间的势能差为:
V=8.96-26.9V                   (5)
在酸铜电镀中常规电镀电压在2-4V的范围内。很清楚,这样的电势差不可能用常规电镀装置直接在阻挡层上电镀。即使金属仍然可用过电压在晶片中心上电镀,在晶片的外围大量的H+离子会与金属离子一起脱离,造成劣质的金属膜。对于用作半导体内部连线,电镀铜膜的电阻率很大并且表面形貌不好。
本发明的电镀过程中电镀区域外部和内部之间势能差的理论计算
如图2所示,本发明在一个时间内只电镀晶片的一部分。半径r2位置和半径r1位置之间的势能差可以表示为:
Figure 99802920003525
最坏的情况发生在晶片的外围。把r1=9cm、r2=10cm、ID=3.6E-3A。(对应于P.R.=2000_/min)、ρs=100-300Ω/□代入式(6):
V21=0.173~0.522V                 (7)
氢过电压为约0.83V。显然在根据本发明的电镀过程中没有氢跑出。
在描述本发明各种实施例时,不同附图中的相应部分赋予相同的标号,以尽量减少重复。
1.多个电源和多个LMFC
图3A-3B示出本发明设备的一个实施例,用于在其上有阻挡层的基片上直接电镀导电膜。电镀槽包括置于管109内的阳极棒1,以及分别置于圆柱壁107和105之间、103和101之间的阳极环2和3。阳极1、2和3分别由电源13、12和11供电。电解液34由泵33抽出通过过滤器32到达液体质量流量控制器(LMFC)21、22和23的入口。然后LMFC21、22和23以预设流量分别把电解液输送到包含阳极3、2和1的子电镀槽。在流过晶片31和圆柱壁101、103、105、107和109顶部之间的间隙之后,电解液分别通过圆柱壁100和101、103和105、107和109之间的空间流回容器36。压力泄漏阀38置于泵33的出口和电解液池36之间,以使当LMFC21、22和23关闭时电解液流回电解液池36。槽的温度由加热器42、温度感应器40和加热器控制器44控制。由晶片夹盘29固定的晶片31连接到电源11、12和13。驱动机构30用于绕z轴旋转晶片31,并在图示x、y和z方向振荡晶片。LMFC耐酸或耐腐蚀,且是本领域中众所周知的无污染型质量流量控制器。过滤器32过滤掉大于0.1或0.2μm的颗粒,以获得低颗粒填充的电镀工艺。泵33应为耐酸或耐腐蚀并为无污染型泵。圆柱壁100、101、103、105、107和109由电绝缘、耐酸或耐腐蚀、不溶于酸的且无金属的材料制成,例如四氟乙烯、聚氯乙烯(PVC)、聚偏氟乙烯(PVDF)、聚丙烯等。
图4A-4B示出在其上有阻挡层203的晶片31。阻挡层203用于阻碍电镀金属扩散进入硅晶片。通常使用氮化钛或氮化钽。为了减小阴极导线和阻挡层之间的接触电阻,用PVD或CVD把金属膜201淀积在晶片31的外围。金属膜201的厚度在500-2000_范围内。膜201的材料优选与随后电镀的材料相同。例如铜优选作用于电镀铜膜的膜201的材料。
1A.在阻挡层上直接电镀导电膜(或籽晶层)的工艺步骤
步骤1:只打开LMFC 21,使电解液只接触到晶片31的在阳极3之上的部分。
步骤2:在电解液流稳定后,接通电源11。正金属离子会电镀到晶片31的在阳极3之上的部分区域上。
步骤3:当金属导电膜的厚度达到设定值或设定厚度时,关闭电源11并关闭LMFC 21。
步骤4:对阳极2重复步骤1-3,使用LMFC 22和电源12。
步骤5:对阳极1重复步骤4,使用LMFC 23和电源13。
在以上电镀工艺中,电源可以以DC模式、脉冲模式或DC脉冲混合模式操作。在DC模式时,电源可以恒定电流模式、或恒定电压模式、或恒定电流模式与恒定电压模式相结合进行操作。恒定电流模式与恒定电压模式相结合意味着在电镀工艺中电源可从一种模式切换到其它模式。图5示出在代表性的籽晶层电镀过程中每个电源开/关的序列。Tp称为电镀时间,即一个周期的正时间脉冲;Te称为蚀刻时间,即一个周期的负时间脉冲。Te/Tp称为蚀刻电镀比。它一般在0-1的范围内。如图6A和6B所示,大比例的Te/Tp意味着更好的间隙填注或更少的尖点,但电镀速率更慢。小比例的Te/Tp意味着更高的电镀速率,但间隙填注不好或有更多的尖点。
1B.在工艺1A中电镀的金属籽晶层上继续进行金属电镀的工艺步骤
步骤6:打开LMFC 21、22和23。原则上,每个LMFC的电解液流量设定成与晶片的被相应阳极覆盖的面积成正比。
步骤7:在所有流量稳定后,接通电源11、12和13。原则上,每个电源的电流也设定成与晶片的被相应阳极覆盖的面积成正比。
步骤8:当电镀电流用作厚度均匀性调整变量时,同时关闭电源11、12和13。作为替代方案,为了调节电镀薄膜的厚度均匀性,还可在不同时间关闭电源。
图7示出在预先已电镀的金属籽晶层上电镀金属膜的代表性序列。如上所述,当用电镀电流作为调整晶片内厚度均匀性的变量时,总电镀时间T3、T2和T1可以相同,当用电镀时间调整晶片内厚度均匀性时总电镀时间也可以不同。
阳极数量可为大于1的任意数。电极越多,膜均匀性就可望更好。折衷考虑性能和成本,通常阳极数量在电镀200mm晶片时为7-20,在电镀300mm晶片时为10-30。
如图8所示,可使用变形的正弦波脉冲波形(b)、单极脉冲波形(c)、脉冲换向波形(d)、脉冲上的脉冲波形(e)或双工脉冲波形(f)来取代双极脉冲波形(a)。
在籽晶层电镀工艺中,通常优选阳极3、然后阳极2、然后阳极1的序列。但电镀序列也可为如下所示:
1)阳极1、然后阳极2、然后阳极3;
2)阳极2、然后阳极1、然后阳极3;
3)阳极2、然后阳极3、然后阳极1;
4)阳极3、然后阳极1、然后阳极2;或者
5)阳极1、然后阳极3、然后阳极2;
图9A-9D示出阳极和壁形状的其它实施例的示意图。可看出在图3情形中,电极103和105之间的空间之上的晶片部分比阳极3之上的晶片部分接收到更少的电镀电流。如果晶片在电镀工艺中只是旋转,这将导致晶片上的厚度变化。为了电镀得到均匀性更好的膜且不在x和y方向上振荡晶片,阳极和壁的形状可为例如三角形、正方形、矩形、五边形、多边形或椭圆。在这些情况下,电镀电流在晶片上分布平均。
图10是检验籽晶层是否在整个晶片上为连续膜的机理。由于阻挡层(Ti/TiN或Ta/TaN)的电阻率是金属铜的50-100倍,电镀籽晶层前的边缘和中心之间的势能差比电镀连续铜籽晶层后的高得多。该电阻可通过测量图10中所示电源11、12和13的输出电压和电流而计算。当籽晶层成为连续膜时,负载电阻显著减小。在此情况下,还可判断哪个区域不被连续膜覆盖。例如:
逻辑表1
1)如果V11、V12小而V13大,那么位于晶片的在阳极1之上的部分的膜是不连续的;
2)如果V11小而V12和V13大,那么至少位于晶片在阳极2之上的部分的膜是不连续的;
在条件(2)下进一步:
如果V12和V13相互接近,那么位于晶片的在阳极1之上的部分的膜是连续的;
如果V12和V13显著不同,那么位于晶片的在阳极1之上的部分的膜是不连续的;
3)如果V11、V12和V13大,那么至少位于晶片的在阳极3之上的部分的膜是不连续的;
在条件(3)下进一步:
如果V12和V13显著不同,那么位于晶片的在阳极2和阳极1之上的部分的膜是不连续的;
如果V11和V12显著不同,并且V12和V13相互接近,那么位于晶片的在阳极2之上的部分的膜是不连续的,但位于晶片的在阳极1之上的部分的膜是连续的;
如果V11和V12相互接近,并且V12和V13显著不同,那么位于晶片的在阳极2之上的部分的膜是连续的,但位于晶片的在阳极1之上的部分的膜是不连续的;
如果V12和V13接近于V11,那么位于晶片的在阳极1和2之上的部分的膜是连续的。
通过如图11所示的逻辑检查,可判断出籽晶层何处是连续的。然后可进行进一步的籽晶层电镀。
图12示出电镀籽晶层的工艺序列,此时晶片的整个面积都浸在图3A-3B的实施例使用的电解液中。在第一个半周期,在阳极3之上的晶片部分处于电镀模式,在阳极2和1之上的晶片部分处于蚀刻模式。在第二个半周期,阳极3之上的晶片部分处于蚀刻模式,晶片在阳极2和1之上的部分处于电镀模式。在这种情况下,部分电镀电流被蚀刻电流抵消,由此流向晶片外围的总电流显著减小。还可使用如图7所示的其它脉冲波形来替代双极脉冲波形。
图13A-13B示出根据本发明的用于电镀导电膜的设备的另一实施例。图13A-13B的实施例与图3A-3B的实施例相似,不同之处在于LMFC 21、22和23由阀51、52、53和LMFC 55取代。阀51、52和53为开/关阀。LMFC 55的流量设定可根据每个阀的状态判断如下:
LMFC 55的流量设定=F.R.3×f(阀 51)+F.R.2×f(阀 52)+F.R.1×f(阀53)
其中:F.R.1为阳极1的流量设定,F.R.2为阳极2的流量设定,F.R.3为阳极3的流量设定;而f(阀#)为阀的状态函数,定义如下:
f(阀#)=1,      当阀#打开时;
        0,      当阀#关闭时。
图14A-14B示出根据本发明的用于电镀导电膜的设备的另一实施例。图14A-14B的实施例与图3A-3B的实施例相似,不同之处在于LMFC 21、22和23由开/关阀51、52、53和三个泵33取代。流向每个阳极的电解液各自独立地由一个泵33和一个开/关阀来控制。
图15A-15B示出根据本发明的用于电镀导电膜的设备的另一实施例。图15A-15B的实施例与图3A-3B的实施例相似,不同之处在于分别在圆柱壁109和107之间、圆柱壁103和105之间增加辅助阳极5和4,取出阳极3和圆柱壁101,并且开/关阀81、82、83、84插到LMFC21、22、23、24的出口和容器36之间。
2A.在阻挡层上直接电镀导电膜(或籽晶层)的工艺步骤
步骤1:打开LMFC 21和阀82、83和84;关闭LMFC 22、23、24和阀81,以使电解液只接触到在阳极4之上的晶片部分,随后通过圆柱壁100和103之间的回路空间、并通过阀82、83和84流回容器36。
步骤2:在电解液流稳定后,接通电源11。正金属离子会电镀到晶片31的在阳极4之上的部分上。
步骤3:当导电膜的厚度达到预定的设置值或设定厚度时,关闭电源11并关闭LMFC 21。
步骤4:对阳极3重复步骤1-3(打开LMFC22、阀81、83、84和电源12,关闭LMFC 21、23、24、阀82、电源11、13、14)。
步骤5:对阳极2重复步骤4(打开LMFC 23、阀81、82、84和电源13,关闭LMFC 21、22、24、阀83、电源11、12、14)。
步骤6:对阳极1重复步骤4(打开LMFC24、阀81、82、83和电源14,关闭LMFC 21、22、23、阀84、电源11、12、13)。
在上述籽晶层电镀工艺中,还可从晶片中心到晶片外围进行电镀或者可用随机选择的阳极序列进行电镀,来取代从晶片外围到晶片中心进行的电镀。
2B.在工艺2A中电镀的金属籽晶层上继续进行金属电镀的工艺步骤
步骤7:打开LMFC 21、22、23和24,关闭阀81、82、83和84。原则上,每个LMFC的电解液流量设定成与晶片的被相应阳极覆盖的面积成正比。
步骤8:在所有流量稳定后,接通电源11、12、13和14。原则上,每个电源的电流也设定成与晶片的被相应阳极覆盖的面积成正比。
步骤9:当电镀电流用作厚度均匀性调整变量时,同时关闭电源11、12、13和14。为了调节电镀薄膜的厚度均匀性,也可在不同时间关闭电源。
图16A-16B示出根据本发明的用于电镀导电膜的设备的另一实施例。图16A-16B的实施例与图15A-15B的实施例相似,不同之处在于取消开/关阀81、82、83和84,并且电解液回路减少到只有位于圆柱壁100和103之间的一个。
3A.在阻挡层上直接电镀导电膜(或籽晶层)的工艺步骤
步骤1:仅打开LMFC 21,关闭LMFC 22、23、24。整个晶片浸在电解液中。然而,只有在阳极4之上的晶片部分面向从LMFC 21流来的电解液。
步骤2:在电解液流稳定后,接通电源11向电极4输出正电势并接通电源12、13和14分别向电极3、2和1输出负电势。由此,正金属离子只会电镀到晶片31的在阳极4之上的部分上。
步骤3:当导电膜的厚度达到预定的设置值或厚度时,关闭电源11并关闭LMFC 21。
步骤4:仅打开LMFC 22、关闭LMFC 21、23、24。在此情况中,即使整个晶片浸在电解液中,也只有在阳极3之上的晶片部分面向从LMFC 22流来的电解液。
步骤5:对于阳极3重复步骤2-3(接通电源12输出正电势到阳极3,接通电源11、13和14向阳极4、2和1输出负电势,并关闭LMFC21、23、24)。
步骤6:对阳极2重复步骤4-5(打开LMFC 23,接通电源13向阳极2输出正电势,接通电源11、12和14输出负电势到阳极4、3和1,并关闭LMFC 21、22、24)。
步骤7:对阳极1重复步骤4-5(打开LMFC 24,接通电源14输出正电势到阳极1,接通电源11、12和13输出负电势到阳极4、3和2,并关闭LMFC 21、22、23)。
在以上籽晶层电镀工艺中,还可从晶片中心到外围进行电镀或者可用随机选择的阳极序列进行电镀,来取代从晶片外围到晶片中心进行的电镀。
3B.在工艺3A中电镀的金属籽晶层上继续进行金属电镀的工艺步骤
步骤8:打开LMFC 21、22、23和24。原则上,每个LMFC的电解液流量设定成与晶片的被相应阳极覆盖的面积成正比。
步骤9:在所有流量稳定后,接通电源11、12、13和14。原则上,每个电源的电流也设定成与晶片的被相应阳极覆盖的面积成正比。
步骤10:当电镀电流用作厚度均匀性调整变量时,同时关闭电源11、12、13和14。为了调节电镀薄膜的厚度均匀性,也可在不同时间关闭电源。
图17示出根据本发明的用于电镀导电膜的设备的另一实施例。图17的实施例与图3A-3B的实施例相似,不同之处在于在每个阳极上增加扩散环112使沿着其圆柱壁的流量均匀。扩散器可通过在扩散环上打很多孔来制成,或者直接由孔隙率在10%-90%范围内的多孔材料制成。制造扩散器的材料耐酸、耐腐蚀、颗粒状且无污染。
图18A-18B示出根据本发明的用于电镀导电膜的设备的另一实施例。图18A-18B的实施例与图3A-3B的实施例相似,不同之处在于在每个电源增加电荷累加测量仪以便精确测量每个电源在电镀工艺中提供的电荷。例如,总的铜原子数可由把累加电荷除以2计算得到,因为铜离子的化学价为二。
图19A-19B示出根据本发明的用于电镀导电膜的设备的另一实施例。图19A-19B的实施例与图3A-3B的实施例相似,不同之处在于到电镀槽的电解液入口的数量是两个而不是一个。这会进一步增加流量沿着圆柱壁外围的均匀性。为了使沿着圆柱壁外围的流量均匀,入口数量还可为3、4、5、6…即任意大于2的数目。
图20A-20B示出根据本发明的用于电镀导电膜的设备的另一实施例。图20A-20B的实施例与图15A-15B和图16A-16B的实施例相似,不同之处在于在图20A中圆柱壁的高度沿着离心方向增加,而在图20B中沿着离心方向减小。这提供了控制电解液流型和电镀电流的辅助变量,以便优化电镀条件。
图21A-21B示出根据本发明的用于电镀导电膜的设备的另一实施例。图21A-21B的实施例与图3A-3B的实施例相似,不同之处在于在图21A中圆柱壁的高度沿着离心方向增加,而在图21B中沿着离心方向减小。这提供了控制电解液流型和电镀电流的辅助变量,以便优化电镀条件。
图22A-22B示出根据本发明的用于电镀导电膜的设备的另一实施例。图22A-22B的实施例与图3A-3B的实施例相似,不同之处在于圆柱壁可上下移动调节流型。如图22B所示,圆柱壁105和107向上移动以使电解液流向晶片的在壁105和107之上的部分。电镀工艺步骤如下所述:
4A.在阻挡层上直接电镀导电膜(或籽晶层)的工艺步骤
步骤1:仅打开LMFC 21并移动圆柱壁101、103接近晶片,使电解液仅接触到晶片的在圆柱壁101和103之上的部分。
步骤2:在电解液流稳定后,接通电源11。正金属离子会电镀到晶片31的在圆柱壁101和103之上的部分。
步骤3:当导电膜的厚度达到预定的设置值或厚度时,关闭电源11,关闭LMFC 21并移动圆柱壁101、103到更低的位置。
步骤4:对圆柱壁105和107重复步骤1-3(LMFC22、圆柱壁105和107、电源12)。
步骤5:对管109重复步骤4(LMFC23、管109、和电源13)。
4B.在工艺4A中电镀的金属籽晶层上继续进行金属电镀的工艺步骤
步骤6:打开LMFC 21、22、23,移动所有的圆柱壁101、103、105、107和管109接近晶片31。原则上,每个LMFC的电解液流量设定成与晶片的被相应LMFC覆盖的面积成正比。
步骤7:在所有流量稳定后,接通电源11、12、13。原则上,每个电源的电流也设定成与晶片的被相应阳极或电源覆盖的面积成正比。
步骤8:当电镀电流用作厚度均匀性调整变量时,同时关闭电源11、12、13。为了调节电镀薄膜的厚度均匀性,也可在不同时间关闭电源。
图23A-23B示出根据本发明的用于电镀导电膜的设备的另两个实施例。图23A-23B的实施例与图15A-15B和图3A-3B实施例的圆柱壁和阳极环相似,不同之处在于圆柱壁和阳极环被板113分成6段。段的数量可为任意大于2的数。下表2示出阳极和电源连接与每段和LMFC连接的可能组合。表2
组合类型     阳极在每段与电源的连接 段与LMFC的连接
    1 每个阳极连接到一独立电源 每段连接到一独立LMFC
    2 每个阳极连接到一独立电源 在相同半径上的段连接到一独立LMFC
    3 每个阳极连接到一独立电源 所有的段连接到一公用LMFC
    4 在相同半径上的阳极连接到一独立电源 每段连接到一独立LMFC
    5 在相同半径上的阳极连接到一独立电源 在相同半径上的段连接到一独立LMFC
    6 在相同半径上的阳极连接到一独立电源 所有的段连接到一公用LMFC
    7 所有阳极连接到一公用电源 每段连接到一独立LMFC
    8 所有阳极连接到一公用电源 在相同半径上的段连接到一独立LMFC
    9 所有阳极连接到一公用电源 所有的段连接到一公用LMFC
在上表中,组合类型1、2、4和5的操作与以上描述的相同。在组合类型1、2和3的情况中,晶片旋转机构可以去掉,因为在不同段的每个阳极由一独立的电源控制。例如,部分基片上的电镀薄膜厚度可通过控制电镀电流或在基片相同部分下的阳极的电镀时间来操作。组合类型3、6、7、8、9的操作会在后面详细讨论。
图24A-24B示出根据本发明的用于电镀导电膜的设备的另一实施例。图24A-24B的实施例与图3A-3B的实施例相似,不同之处在于圆柱壁和阳极环由多个棒型阳极1和管109取代。从管109出来的电解液接触到晶片表面,然后通过多个孔500流回容器(未示出)。在圆环内的管和阳极放置于同一圆上。在两个相邻的管和阳极圆环之间有多个孔用于让电解液排回容器36。下表3示出阳极和电源连接与每段和LMFC连接的可能组合。表3
组合类型     阳极在每管与电源的连接 管与LMFC的连接
    1 每个阳极连接到一独立电源 每个管连接到一独立LMFC
    2 每个阳极连接到一独立电源 在相同半径上的管连接到一独立LMFC
    3 每个阳极连接到一独立电源 所有的管连接到一公用LMFC
    4 在相同半径上的阳极连接到一独立电源 每个管连接到一独立LMFC
    5 在相同半径上的阳极连接到一独立电源 在相同半径上的管连接到一独立LMFC
    6 在相同半径上的阳极连接到一独立电源 所有的管连接到一公用LMFC
    7 所有阳极连接到一公用电源 每个管连接到一独立LMFC
    8 所有阳极连接到一公用电源 在相同半径上的管连接到一独立LMFC
    9 所有阳极连接到一公用电源 所有的管连接到一公用LMFC
在上表中,组合类型1、2、4和5的操作与以上描述的相同。在组合类型1、2和3的情况中,晶片旋转机构可以去掉,因为在不同管的每个阳极由一独立的电源控制。例如,部分基片上的电镀薄膜厚度可通过控制电镀电流或在基片相同部分下阳极的电镀时间来操作。组合类型3、6、7、8、9的操作会在后面详细讨论。
除了把管和阳极放在圆环上,管和阳极还可放在三角形环、正方形环、矩形环、五边形环、多边形环和椭圆环上。三角形环、正方形环和椭圆环如图25A-25C所示。
2.多个LMFC和单个电源
图26A-26B示出根据本发明的用于电镀导电膜的设备的另一实施例。图26A-26B的实施例与图3A-3B的实施例相似,不同之处在于阳极环和圆柱壁由单个阳极240、条242和阀202、204、206、208、210、212、214、216、218取代。电源减少到单个电源200。新阀为开/关阀,用于控制流向晶片部分的电解液。阀208和212、206和214、204和216、202和218在条242上分别对称放置。
5A.在阻挡层上直接电镀导电膜(或籽晶层)的工艺步骤
步骤1:打开泵33、LMFC 55、阀202和218以及驱动器30,使从阀202和218出来的电解液仅接触晶片在阀202和218之上的外围部分。
步骤2:在电解液流稳定后,接通电源200。正金属离子会电镀到晶片31的在阀202和218之上的外围部分。
步骤3:当导电膜的厚度达到预定的设置值或厚度时,关闭电源200并关闭LMFC 55、阀202和218。
步骤4:对阀204和216重复步骤1-3。
步骤5:对阀206和214重复步骤4。
步骤6:对阀208和212重复步骤4。
步骤7:对阀210重复步骤4。
在以上电镀工艺中,电源可以DC模式工作,也可以图8所示任何形式的脉冲模式工作。
5B.在工艺5A中电镀的金属籽晶层上继续进行金属电镀的工艺步骤
步骤8:打开LMFC 55和所有的阀202、204、206、208、210、212、214、216、218,使电解液与整个晶片面积接触。
步骤9:在所有的流稳定后,接通电源200。
步骤10:当膜厚度达到设定值时关闭电源200和所有的阀。为了调节晶片内电镀薄膜厚度均匀性,也可在电源200打开时在不同时间关闭阀。
图27示出根据本发明的用于电镀导电膜的设备的另一实施例。图27的实施例与图26A-26B的实施例相似,不同之处在于所有的阀以不同的半径放在条242上,以使电镀金属更均匀。电镀工艺步骤如下所述:
6A.在阻挡层上直接电镀导电膜(或籽晶层)的工艺步骤
步骤1:打开泵33、LMFC 55、阀218以及驱动器30,使从阀218出来的电解液仅接触晶片的在阀218之上的外围部分。
步骤2:在电解液流稳定后,接通电源200。正金属离子会电镀到晶片31的在阀218之上的外围部分。
步骤3:当导电膜的厚度达到预定的设置值或厚度时,关闭电源200、LMFC 55和阀218。
步骤4:对阀204重复步骤1-3。
步骤5:对阀216重复步骤4。
步骤6:对阀206重复步骤4。
步骤7:分别对阀214、208、212和210重复步骤4。
在以上电镀工艺中,电源可以DC模式工作,或以图8所示任何形式的脉冲模式工作。
6B.在工艺6A中电镀的金属籽晶层上继续进行金属电镀的工艺步骤
步骤8:打开LMFC 55和所有的阀202、204、206、208、210、212、214、216、218,使电解液与整个晶片面积接触。
步骤9:在所有的流稳定后,接通电源200。
步骤10:当膜厚度达到设定值时关闭电源200和所有的阀。为了调节晶片内电镀薄膜的厚度均匀性,也可在电源200打开时在不同时间关闭阀。
图28示出根据本发明的用于电镀导电膜的设备的另一实施例。图28的实施例与图26的实施例相似,不同之处在于增加辅助条形成交叉形状条结构244。阀202和218、204和216、206和214、208和212在条结构244的水平部分上对称放置。相似地,阀220和236、222和234、224和232在条结构244的垂直部分上对称放置。在条244水平部分上的所有阀还与在条244垂直部分上的阀分别有不同的半径。电镀工艺步骤如下所述:
7A.在阻挡层上直接电镀导电膜(或籽晶层)的工艺步骤
步骤1:打开泵33、LMFC 55、阀218和202以及驱动器30,使从阀218出来的电解液仅接触晶片的在阀218和202之上的外围部分。
步骤2:在电解液流稳定后,接通电源200。正金属离子会电镀到晶片31的在阀218和202之上的外围部分。
步骤3:当导电膜的厚度达到预定的设置值或厚度时,关闭电源200、LMFC 55和阀218和202。
步骤4:对阀220和236重复步骤1-3。
步骤5:对阀204和216重复步骤4。
步骤6:对阀222和234重复步骤4。
步骤7:分别对阀206和214、224和232、208和212、以及仅仅210重复步骤4。
在以上电镀工艺中,电源可以DC模式工作,也可以图8所示任何形式的脉冲模式工作。
7B.在工艺7A中电镀的金属籽晶层上继续进行金属电镀的工艺步骤
步骤8:打开LMFC55和所有的阀202、204、206、208、210、212、214、216、218、220、222、224、232、234、236,使电解液与整个晶片面积接触。
步骤9:在所有的流稳定后,接通电源200。
步骤10:当膜厚度达到设定值时关闭电源200和所有的阀。为了调节晶片内电镀薄膜的厚度均匀性,还可在电源200打开时在不同时间关闭阀。
图29A-29C示出根据本发明的用于电镀导电膜的设备的另外三个实施例。图29A的实施例与图26A-26B的实施例相似,不同之处在于把条的数量增加到3。两个相邻条之间的角度是60°。图29B实施例与图26A-26B实施例相似,不同之处在于把条的数量增加到4。两个相邻条之间的角度是45°。图29C实施例与图26A-26B实施例相似,不同之处在于把条的数量减少到0.5,即半条。另外,条的数量可为5、6、7、或更多。
电镀步骤序列可从接近晶片外围的阀开始、或从晶片中心开始、或随机开始。优选从晶片外围开始,因为之前已电镀的金属籽晶层(直径更大)可传导电流用于电镀随后的籽晶层(直径更小)。
图30A-30B示出根据本发明的用于电镀导电膜的设备的另一实施例。图30A-30B实施例与图26A-26B实施例相似,不同之处在于固定位置阀(喷头)由两个可动阳极喷头254替代。阳极喷头254放在晶片31下面并位于导条250上。阳极喷头254喷射电解液到部分晶片31上,并能在x方向移动,如图30B所示。新鲜的电解液通过柔性管258提供。此实施例尤其优选用于电镀籽晶层。籽晶层电镀工艺如下所示:
8A.在阻挡层上直接电镀导电膜(或籽晶层)的工艺步骤
步骤1:打开泵33、LMFC 55、阀356以及驱动器30,使从阀356出来的电解液仅接触晶片在阀356之上的外围部分。
步骤2:在电解液流稳定后,接通电源200。正金属离子会电镀到晶片31在阀356之上的外围部分。
步骤3:当导电膜的厚度达到预定的设置值或厚度时,关闭电源200、LMFC 55和阀356。
步骤4:移动阳极喷头254到下一更小半径的位置。
步骤5:重复步骤1-4直到整个晶片区域电镀上薄膜。
上述工艺步骤可如下变更:
步骤1:与上述相同。
步骤2:与上述相同。
步骤3:当导电膜的厚度达到预定的设置值或厚度的一定百分比时,开始缓慢地把阳极喷头254向着晶片中心径向移动。移动阳极喷头254的速率由预定的设置值或厚度来决定。而且因为被阳极喷头254电镀的表面面积与阳极喷头254位置的半径成正比,所以随着阳极喷头254移向晶片中心,移动速率增加。
步骤4:当阳极喷头254到达晶片中心时,关闭电源200、LMFC 55和阀356。
图31A-31B示出根据本发明的用于电镀导电膜的设备的另一实施例。图31A-31B实施例与图30A-30B实施例相似,不同之处在于两个辅助移动喷头增加到Y方向,以便增加电镀速度。工艺序列与图30A-30B实施例的相似。
图32A-32B示出根据本发明的用于电镀导电膜的设备的另一实施例。图32A-32B实施例与图30A-30B实施例相似,不同之处在于晶片31浸入到电解液中。可移动的阳极放得与晶片31非常接近,以把电镀电流集中到晶片31的一部分上。间隙尺寸在0.1mm-5mm范围内,并优选1mm。工艺序列与图30实施例的相似。
图33A-33B示出根据本发明的用于电镀导电膜的设备的另一实施例。图33A-33B实施例与图32A-32B实施例相似,不同之处在于新鲜电解液通过管260从槽的中心输入而不是通过柔性管258从阳极喷头254输入。晶片31也浸入到电解液中。相似地,可动阳极放得与晶片31非常接近,以把电镀电流集中到一部分晶片31上。间隙尺寸在0.1mm-5mm范围内,并优选1mm。工艺序列与图30实施例的相似。
图34A-34D示出根据本发明的可移动阳极的四个实施例。图34A示出包括阳极252和壳体262的阳极结构。壳体262由绝缘材料例如四氟乙烯、PVC、PVDF或聚丙烯制成。图34B示出包括阳极266和壳体264的阳极结构。电解液通过壳体264底部的孔输入。图34C示出包括阳极262、电极274和270、绝缘隔离物272、壳体262和电源276、278的阳极结构。电极274连接到电源276的负输出,而电极270连接到阴极晶片31。电极274的作用是捕获从壳体262流出的所有金属离子,由此在壳体262以外的晶片区域上没有电镀薄膜。电极270的作用是防止电极274的电场泄漏以使所有蚀刻效果最小。图34D实施例与图34C实施例相似,不同之处在于壳体264在底部有用于电解液从其中流过的孔。
图35示出在电镀过程中晶片的表面状态。晶片区域280已通过籽晶层电镀,区域284正处于电镀过程中,而晶片区域282还未被电镀。
图36A-36C示出根据本发明的用于电镀导电膜的设备的另外三个实施例。图36A实施例与图30A-30B实施例相似,不同之处在于条的数量增加到3。两相邻条之间的角度是60°。图36B实施例与图30A-30B实施例相似,不同之处在于条的数量增加到4。两个相邻条之间的角度是45°。图36C实施例与图30A-30B实施例相似,不同之处在于条的数量减少到0.5,即半条。另外,条的数量可为5、6、7、或更多。
图36D实施例与图30A-30B实施例相似,不同之处在于条250的形状是螺旋形而不是直线形。可动阳极喷头254可沿着螺旋条移动,从而不需旋转晶片即可获得良好的电镀均匀性。这样就简化了晶片夹盘机构。
图37A和37B示出根据本发明的用于电镀导电膜的设备的另外两个实施例。图37A和37B实施例与图30A-30B实施例相似,不同之处在于晶片分别为翻转和垂直放置。
图38A-38B示出根据本发明的用于电镀导电膜的设备的另一实施例。图38A-38B实施例与图16A-16B实施例相似,不同之处在于所有的阳极由一个阳极8取代。阳极8连接到单个电源11。使用此实施例的工艺步骤如下所述:
9A.在阻挡层上直接电镀导电膜(或籽晶层)的工艺步骤
步骤1:打开LMFC 21和阀82、83、84,并关闭LMFC 22、23、24和阀81,使电解液只与在子电镀槽66之上的晶片部分接触并随后沿圆柱壁100和103、105和107、107和109以及管109之间的空间组成的回路流回容器36。
步骤2:在电解液流稳定后,接通电源11。正金属离子会电镀到晶片31的在子电镀槽66之上的部分上。
步骤3:当导电膜的厚度达到预定的设置值或厚度时,关闭电源11并关闭LMFC 21。
步骤4:对LMFC 22重复步骤1-3(打开LMFC 22、阀81、83、84和电源11,关闭LMFC 21、23、24和阀82)。
步骤5:对LMFC 23重复步骤4(打开LMFC 23、阀81、82、84和电源11,关闭LMFC 21、22、24和阀83)。
步骤6:对LMFC 24重复步骤4(打开LMFC 24、阀81、82、83和电源11,关闭LMFC 21、22、23和阀84)。
在上述籽晶层电镀工艺中,还可从晶片中心到晶片外围进行电镀或者可用随机选择的阳极序列进行电镀,以取代从晶片外围到晶片中心进行的电镀。
9B.在工艺9A中电镀的金属籽晶层上继续进行金属电镀的工艺步骤
步骤7:打开LMFC 21、22、23和24,关闭阀81、82、83、84。原则上,每个LMFC的电解液流量设定成与晶片被其相应LMFC覆盖的面积成正比。
步骤8:在所有流动稳定后,接通电源11。
步骤9:当膜厚达到设置值时关闭电源11。
如图39所示,为了调节电镀薄膜厚的均匀性,LMFC也可在不同时间关闭。在时间t1,只有LMFC 21、23、24关闭,而且阀81、83和84也关闭。因此,电解液除了接触在子电镀槽64之上的晶片区域外,其它区域都不接触。由于电源11仍然保持打开,金属离子会只电镀到子电镀槽64之上的区域上。然后LMFC 22在时间t2关闭。相似地,LMFC 24在时间t3打开并且在时间t4关闭,以获得在子电镀槽60之上的晶片区域上的额外电镀。关闭时间t2和t4可通过测量晶片厚度均匀性来进行微调。
图40A-40B示出根据本发明的用于电镀导电膜的设备的另一实施例。图40A-40B实施例与图3A-3B实施例相似,不同之处在于所有阳极连接到单个电源11上。由于在籽晶层电镀工艺过程中电解液只接触晶片在阳极之上的部分,电镀电流只会通过该阳极到达晶片该部分上。电镀工艺步骤与图3A-3B的相似,只是用电源11取代电源12和13。
图41A-41B示出根据本发明的用于电镀导电膜的设备的另一实施例。图41A-41B实施例与图40A-40B实施例相似,不同之处在于圆柱壁可以上下移动调节流型。如图41B所示,圆柱壁105和107向上移动以便电解液流向晶片在壁105和107之上的部分。此实施例的电镀工艺步骤如下所述:
10A.在阻挡层上直接电镀导电膜(或籽晶层)的工艺步骤
步骤1:只打开LMFC 21并移动圆柱壁101、103接近晶片,以使电解液只接触晶片的在圆柱壁101和103之上的部分。
步骤2:在电解液流稳定后,接通电源11。正金属离子会电镀到晶片31在圆柱壁101和103之上的部分上。
步骤3:当导电膜厚度达到预定的设置值或厚度时,关闭电源11和LMFC 21并移动圆柱壁101和103到更低位置。
步骤4:对圆柱壁105和107重复步骤1-3(LMFC 22、圆柱壁105和107)。
步骤5:对管109重复步骤4(LMFC 23和管109)。
10B.在工艺10A中电镀的金属籽晶层上继续进行金属电镀的工艺步骤
步骤6:打开LMFC 21、22、23,并移动所有的圆柱壁101、103、105、107和管109接近晶片31。每个LMFC的电解液流量设定成基本上与晶片的被其相应LMFC覆盖的面积成正比。
步骤7:在所有的流稳定后,接通电源11。
步骤8:把所有圆柱壁向下移动到更低位置,同时关闭所有LMFC,然后当膜厚达到预定的设置值时关闭电源11。每对圆柱壁也可在电源11打开时在不同的时间向下移动,以调节厚度均匀性。例如如图41B所示,圆柱壁105和107在LMFC 22打开时保持在更高的位置。晶片在圆柱壁105和107之上的区域在此部分上有额外的电镀薄膜。额外的电镀时间和位置可由分析晶片上电镀薄膜的厚度均匀性来确定。
3.多个电源和单个LMFC
图42A-42B示出根据本发明的、用于在其上具有阻挡层的基片上直接电镀导电膜的、且有多个电源和单个LMFC的设备的实施例。图42A-42B与图16A-16B实施例相似,不同之处在于LMFC 21、22、23和24由单个LMFC 55替代。
11A.在阻挡层上直接电镀导电膜(或籽晶层)的工艺步骤
步骤1:打开LMFC55并把整个晶片浸在电解液中。
步骤2:在电解液流稳定后,接通电源11输出正电势到电极4,接通电源12、13和14分别输出负电势到电极3、2、1。因此正金属离子只会电镀到晶片31的在阳极4之上的部分上。
步骤3:当导电膜厚度达到预定的设置值或厚度时,关闭电源11。
步骤4:对阳极3重复步骤2-3(接通电源12输出正电势到阳极3,接通电源11、13和14输出负电势到阳极2和1)。
步骤5:对阳极2重复步骤4(接通电源13输出正电势到阳极2,接通电源14输出负电势到阳极1)。
步骤6:对阳极1重复步骤4(接通电源14输出正电势到阳极1)。
图43示出用于电镀晶片区域4(阳极4之上)、3、2和1的电源开关序列。电源输出波形可从各种波形例如变形的正弦波形、单极脉冲、换向脉冲、脉冲上的脉冲或双工脉冲中选择,如图44所示。
在上述籽晶层电镀工艺中,还可从晶片中心到晶片外围进行电镀或者可用随机选择的阳极序列进行电镀,来取代从晶片外围到晶片中心进行的电镀。
11B.在工艺11A中电镀的金属籽晶层上继续进行金属电镀的工艺步骤
步骤7:打开LMFC 55。
步骤8:在所有流动稳定后,接通电源11、12、13和14。原则上,每个电源的电流设定成与晶片的被相应阳极覆盖的面积成正比。
步骤9:当电镀电流用作厚度均匀性调节变量时,同时关闭电源11、12、13和14。可替换地,为了调节电镀薄膜的厚度均匀性,电源可在不同时间关闭。
图45A-45B示出根据本发明的、用于在其上具有阻挡层的基片上直接电镀导电膜的、且有多个电源和单个LMFC的设备的另一实施例。图45A-45B与图42A-42B实施例相似,不同之处在于圆柱壁可以上下移动调节流型。如图45B所示,圆柱壁105和107向上移动,使电解液流向晶片在壁105和107之上的部分。本实施例的电镀工艺步骤如下所述:
12A.在阻挡层上直接电镀导电膜(或籽晶层)的工艺步骤
步骤1:打开LMFC 55并移动圆柱壁101和103接近晶片,以使电解液只接触晶片的在圆柱壁101和103之上的部分。
步骤2:在电解液流稳定后,接通电源11。正金属离子会电镀到晶片31的在圆柱壁101和103之上的部分上。
步骤3:当导电膜厚度达到预定的设置值或厚度时,关闭电源11并移动圆柱壁101和103到更低的位置。
步骤4:对圆柱壁105和107重复步骤1-3(圆柱壁105和107以及电源12)。
步骤5:对管109重复步骤4(管109和电源13)。
12B.在工艺12A中电镀的金属籽晶层上继续进行金属电镀的工艺步骤
步骤6:打开LMFC 55,移动所有的圆柱壁101、103、105、107和管109接近晶片31。
步骤7:在所有的流稳定后,接通电源11、12和13。原则上,每个电源的电流与晶片被其相应阳极或电源覆盖的面积成正比。
步骤8:当电镀电流用作厚度均匀性调节变量时,同时关闭电源11、12和13。可替换地,为了调节电镀薄膜的厚度均匀性,电源也可在不同时间关闭。
图46A-46B示出根据本发明的、用于在其上具有阻挡层的基片上直接电镀导电膜的、且有多个电源和单个LMFC的设备的另一实施例。图46A-46B与图42A-42B实施例相似,不同之处在于圆柱壁的高度沿着离心方向减小,如图46B所示。电解液的形状或流型可以通过上下移动圆柱壁120来调节。当圆柱壁移动到最高位置时,整个晶片区域会与电解液接触,然而当圆柱壁120移动到最低位置时晶片的中心部分会与电解液接触。本实施例的电镀工艺步骤如下所述:
13A.在阻挡层上直接电镀导电膜(或籽晶层)的工艺步骤
步骤1:打开LMFC 55并移动圆柱壁120到最高位置,以使电解液接触晶片31的整个面积。
步骤2:在电解液流稳定后,接通电源11输出正电势到阳极4,接通电源12、13和14分别输出负电势到阳极3、2、1。因此正金属离子只会电镀到晶片31在阳极4之上的外围部分上。
步骤3:当晶片外围部分上的导电膜厚度达到预定的设置值或厚度时,关闭电源11。
步骤4:移动圆柱壁120到更低的位置以只把在步骤3中电镀了金属薄膜的晶片外围部分移出电解液。
步骤5:对阳极3重复步骤2-3(接通电源12输出正电势到阳极3,接通电源13和14输出负电势到阳极2和1)。
步骤6:移动圆柱壁120到下一个更低的位置以只把在步骤5中电镀了金属薄膜的晶片外围部分移出电解液。
步骤7:对阳极2重复步骤2-3(接通电源13输出正电势到阳极2,接通电源14输出负电势到阳极1)。
步骤8:移动圆柱壁120到下一个更低的位置以只把在步骤7中电镀了金属薄膜的晶片外围部分移出电解液。
步骤9:对阳极1重复步骤2-3(接通电源14输出正电势到阳极1)。
13B.在工艺13A中电镀的金属籽晶层上继续进行金属电镀的工艺步骤
步骤10:打开LMFC 55,移动圆柱壁120到最高位置,以使晶片31的整个面积与电解液接触。
步骤11:在流动稳定后,接通电源11、12、13和14。原则上,每个电源的电流与晶片被其相应阳极或电源覆盖的面积成正比。
步骤12:当电镀电流用作厚度均匀性调节变量时,同时关闭电源11、12、13和14。可替换地,为了调节膜的厚度均匀性,每个电源也可在不同时间关闭。
图47A-47B示出根据本发明的、用于在其上具有阻挡层的基片上直接电镀导电膜的、且有多个电源和单个LMFC的设备的另一实施例。图47A-47B与图46A-46B实施例相似,不同之处在于圆柱壁120的位置是固定的,且电解液液面通过调节电解液流量来改变。当电解液流量大时,电解液液面高,因此整个晶片面积与电解液接触。当电解液流量小时,电解液液面低,因此晶片31的外围部分如图47B所示那样不与电解液接触。本实施例的电镀工艺步骤如下所述:
14A.在阻挡层上直接电镀导电膜(或籽晶层)的工艺步骤
步骤1:打开LMFC 55并设定流量足够大,使电解液接触晶片31的整个面积。
步骤2:在电解液流稳定后,接通电源11输出正电势到阳极4,接通电源12、13和14分别输出负电势到阳极3、2、1。因此正金属离子只会电镀到晶片31的在阳极4之上的外围部分上。
步骤3:当晶片外围部分上的导电膜厚度达到设置值或厚度时,关闭电源11。
步骤4:减小电解液流量到这样一个值,使得只有在步骤3中电镀了金属薄膜的晶片外围部分不与电解液接触。
步骤5:对阳极3重复步骤2-3(接通电源12输出正电势到阳极3,接通电源13和14输出负电势到阳极2和1)。
步骤6:减小电解液流量以使只有在步骤5中电镀了金属薄膜的晶片外围部分不与电解液接触。
步骤7:对阳极2重复步骤2-3(接通电源13输出正电势到阳极2,接通电源14输出负电势到阳极1)。
步骤8:减小电解液流量以使只有在步骤7中电镀了金属薄膜的晶片外围部分不与电解液接触。
步骤9:对阳极1重复步骤2-3(接通电源14输出正电势到阳极1)。
14B.在工艺14A中电镀的金属籽晶层上继续进行金属电镀的工艺步骤
步骤10:增加电解液流量,以使晶片31的整个面积都与电解液接触。
步骤11:在流动稳定后,接通电源11、12、13和14。原则上,每个电源的电流与晶片被其相应阳极或电源覆盖的面积成正比。
步骤12:当电镀电流用作厚度均匀性调节变量时,同时关闭电源11、12、13和14。可替换地,为了调节膜的厚度均匀性,每个电源也可在不同时间关闭。
图48A-48B示出根据本发明的、用于在其上具有阻挡层的基片上直接电镀导电膜的,且有多个电源和单个LMFC的设备的另一实施例。图48A-48B与图47A-47B实施例相似,不同之处在于电解液液面是固定的,且晶片31自身可以上下移动调节晶片与电解液接触的面积大小。当晶片31移动到最低位置时,整个晶片面积接触到电解液。当晶片移动到最高位置时,只有晶片31的中心区域接触到电解液,如图48B所示。本实施例的电镀工艺步骤如下所述:
15A.在阻挡层上直接电镀导电膜(或籽晶层)的工艺步骤
步骤1:打开LMFC 55并移动晶片31到这样一个位置,使电解液接触到晶片31的整个面积。
步骤2:在电解液流稳定后,接通电源11输出正电势到阳极4,接通电源12、13和14分别输出负电势到阳极3、2、1。因此正金属离子只会电镀到晶片31的在阳极4之上的外围部分上。
步骤3:当晶片外围部分上的导电膜厚度达到预定的设置值或厚度时,关闭电源11。
步骤4:向上移动晶片31到这样一个位置,以使只有在步骤3中电镀了金属薄膜的晶片外围部分与电解液脱离接触。
步骤5:对阳极3重复步骤2-3(接通电源12输出正电势到阳极3,接通电源13和14输出负电势到阳极2和1)。
步骤6:向上移动晶片31到这样一个位置,以使只有在步骤5中电镀了金属薄膜的晶片外围部分与电解液脱离接触。
步骤7:对阳极2重复步骤2-3(接通电源13输出正电势到阳极2,接通电源14输出负电势到阳极1)。
步骤8:向上移动晶片31到这样一个位置,以使只有在步骤7中电镀了金属薄膜的晶片外围部分与电解液脱离接触。
步骤9:对阳极1重复步骤2-3(接通电源14输出正电势到阳极1)。
15B.在工艺15A中电镀了金属籽晶层上继续进行金属电镀的工艺步骤
步骤10:向下移动晶片31到这样一个位置,以使晶片31的整个面积与电解液接触。
步骤11:在流动稳定后,接通电源11、12、13和14。原则上,每个电源的电流与晶片的被相应阳极或电源覆盖的面积成正比。
步骤12:当电镀电流用作厚度均匀性调节变量时同时关闭电源11、12、13和14。可替换地,为了调节膜的厚度均匀性,每个电源也可在不同时间关闭。
4.单个电源和单个LMFC
图49A-49B示出根据本发明的、用于在其上具有阻挡层的基片上直接电镀导电膜的、且有单个电源和单个LMFC的设备的另一实施例。图49A-49B实施例与图45A-45B实施例相似,不同之处在于电源数量减少到一个并且所有的阳极连接到单个电源11。相似地,圆柱壁可以上下移动调节流型。如图49B所示,圆柱壁105和107向上移动,使得电解液流向壁105和107之上的晶片部分。本实施例的电镀工艺步骤如下所述:
16A.在阻挡层上直接电镀导电膜(或籽晶层)的工艺步骤
步骤1:打开LMFC 55并移动圆柱壁101和103接近晶片,以使电解液只接触晶片的在圆柱壁101和103之上的部分。
步骤2:在电解液流稳定后,接通电源11。正金属离子会电镀到晶片31的在圆柱壁101和103之上的部分上。
步骤3:当导电膜厚度达到预定的设置值或厚度时,关闭电源11并移动圆柱壁101和103到更低的位置。
步骤4:对圆柱壁105和107重复步骤1-3(向上移动圆柱壁105和107接近晶片31,并且接通电源11)。
步骤5:对管109重复步骤4(向上移动管109接近晶片31,并且接通电源11)。
16B.在工艺16A中电镀的金属籽晶层上继续进行金属电镀的工艺步骤
步骤6:打开LMFC 55,向上移动所有的圆柱壁101、103、105、107和管109接近晶片31。
步骤7:在所有的流稳定后,接通电源11。
步骤8:同时向下移动所有的圆柱壁到更低的位置,然后当膜厚达到预定的设置值时关闭电源11。为了调节厚度均匀性,在电源11开着的时候,每对圆柱壁也可在不同时间向下移动。例如,如图49B所示,圆柱壁105和107在电源11开着的时候保持在更高的位置。在圆柱壁105和107之上的晶片区域会在该部分有额外的电镀薄膜。额外的电镀时间长度和位置可通过在稍后的膜特性中分析晶片上薄膜的厚度均匀性来确定。
5.其它可能的组合
流量调节器,例如图17实施例中的扩散器,可插入到所有使用单个LMFC的实施例中。可使用多级过滤器,例如两个串联的过滤器,第一级粗过滤器用于过滤大于1μm的颗粒,第二级精细过滤器用于过滤大于0.1μm的颗粒。而且,为了在晶片内获得良好的膜均匀性,可在电镀过程中旋转电镀槽来替代旋转晶片。在此情形中,应该使用传导电流的集流环,它也设置成输送电解液。可替换地,可以使用独立的结构来输送电解液。
原位厚度均匀性监视器可加入到根据本发明的电镀槽中,如图50所示。可在不同半径的每个子电镀槽或流道之下设置一个厚度检测器500。在检测厚度信号以后,检测器500传送信号给计算机502。计算机502处理信号并输出厚度均匀性。另外,晶片旋转位置可输入计算机500来定位沿着圆周方向的位置。在此情形中,电镀槽的底部由透明材料制成或者有激光束可从其穿过的窗口。
图51为具有厚度均匀性监视器的设备的另一实施例。本实施例与图50实施例相似,不同之处在于使用光纤504。来自检测器500的激光束通过光纤504到达晶片。从晶片反射的激光束还通过光纤504返回检测器500。本实施例的好处在于电镀槽的底部不需要由透明材料制成。
用本发明的设备和方法,可电镀各种金属。例如铜、镍、铬、锌、镉、银、金、铑、钯、铂、锡、铅、铁和铟都可用本发明电镀。
在电镀铜的情况下,可使用三种类型的电解液:氰化物、酸和焦磷酸盐复合电解液。氰化铜电解液的基本成分为:氰化铜、氰化钠、碳酸钠、氢氧化钠和罗谢尔盐(四水酒石酸钾钠)。酸铜电解液的基本成分为:硫酸铜、硫酸、氟酸铜、氟酸和硼酸。焦磷酸铜电解液的基本成分为:焦磷酸铜、焦磷酸钾、硝酸铵和氨。考虑到工艺集成,优选采用酸铜电解液在半导体晶片上电镀铜。
在电镀银的情况下,使用氰化物电解液。氰化物电解液的基本成分为:氰化银、氰化钾、碳酸钾、氢氧化钾和硝酸钾。
在电镀金的情况下,使用氰化物电解液。氰化物电解液的基本成分为:氰化钾金、氰化钾、碳酸钾、磷酸氢二钾、氢氧化钾、磷酸二氢钾和硝酸钾。
可使用添加剂提高膜在以下方面的质量:光滑表面、小颗粒尺寸、减小树状化趋势、小的膜应力、低电阻率、良好的粘附力以及更好的间隙填注能力。在酸铜电镀的情况下,下述材料可用作添加剂:胶、葡萄糖、苯酚磺酸、糖浆和硫脲。用于氰化铜电镀的添加剂包括具有活性硫官能团的和/或包含准金属例如硒或碲的化合物、有机胺或其具有含活性硫化合物的反应产品、包含例如硒、碲、铅、铊、锑、砷的金属的无机化合物、以及有机氮和硫杂环化合物。
5.系统结构设计(层叠结构)
图52A-52C为根据本发明的、在半导体晶片上电镀导电膜的电镀系统实施例的示意图。它是一独立的、全计算机控制的系统,且有自动晶片传送和具有晶片干进-干出能力的清洁组件。它包括五个层叠的电镀槽300、302、304、306、308、五个层叠的清洁/干燥室310、312、314、316、318、机器人322、晶片盒321、322、电解液容器36和管路箱330。如上所述,电镀槽300包括阳极、圆柱壁或管、晶片夹盘和在电镀工艺过程中旋转或振荡晶片的驱动器。电解液容器36包括温度控制。管路箱330包括泵、LMFC、阀、过滤器和管路接头。电镀系统进一步包括计算机控制硬件、电源和操作系统控制软件包。机器人322有大的z向行程。优选采用Genmark Automation Inc.制造的具有全球定位能力的套管型(层叠)机器人。本实施例的操作工艺序列如下所述:
单个晶片电镀操作序列
步骤A:手动或用机器人把晶片盒320、321装入电镀装置。
步骤B:选择一种方法,并开始工艺周期。
步骤C:控制软件初始化该系统,包括检查该方法技术要求中所有的系统参数和确定没有系统警告。
步骤D:在完成初始化后,机器人322从盒320或321提取晶片并送到一个电镀槽(300、或302、或304、或306、或308)。
步骤E:在晶片上电镀金属膜。
步骤F:在完成电镀后,机器人322从电镀槽提取已电镀晶片并传送到一个清洁/干燥室(310、或312、或314、或316、或318)。
步骤G:清洁已电镀晶片。
步骤H:通过旋转式干燥和/或N2清洗来干燥已电镀晶片。
步骤I:机器人322提取已干燥的晶片并传送到盒320或321。
图53示出同时电镀多个晶片的工艺序列。电镀多个晶片的工艺序列与电镀单个晶片的相似,不同之处在于计算机在工艺步骤I后检查是否有任何未处理的晶片保留在盒320或321内。如果没有未处理的晶片保留在盒320或321内,系统返回步骤A,即装入新盒或更换盒。如果有任何未处理的晶片保留在盒320和/或321内,随后系统返回步骤D,即:机器人从盒中提取未处理的晶片并送到一个电镀槽。
步骤E可包括两个工艺步骤,第一在阻挡层上直接电镀籽晶层,第二在已电镀籽晶层上电镀金属膜。
可以在不同的槽中进行两个工艺步骤,以替代在一个槽中执行籽晶层电镀和在籽晶层上电镀金属。在不同槽中进行两个工艺步骤的好处是获得更好的工艺控制或更宽的工艺窗口,因为用于籽晶层电镀的电解液可以与随后在籽晶层上进行电镀的电解液不同。在此,不同的电解液指不同类型的酸、不同的酸浓度、不同的添加剂、不同的添加浓度或不同的工艺温度。而且,考虑到籽晶层电镀需要例如:高密度成核位置、光滑形貌、在很早的阶段成为连续膜(<几百_)以及成为共形层,电镀器具也会不同。在籽晶层上进行的后续电镀需要高电镀速率、单晶结构、特定的晶粒取向以及没有空穴的间隙填注。
清洁工艺可在不同的室中进行,以替代在一个室中清洁晶片。清洁工艺可包括几个步骤,每个步骤使用不同的溶液或不同的溶液浓度,或使用不同的器具。机器人可倒转悬挂在框架301的顶部,以替代把机器人322安装在框架301的底部。
电镀槽的数量和清洁/干燥室的数量可从1到10,以取代五个电镀槽和五个清洁/干燥室的设置,如下表所示。
Figure 99802920006627
优选的范围如上表阴影所示。
图54A-54C为根据本发明的、在半导体晶片上电镀导电膜的电镀系统另一实施例的示意图。图54A-54C实施例与图52A-52C实施例相似,不同之处在于盒320由机器人323上下移动。盒320的位置上下移动来匹配机器人的位置,使得当机器人322从盒320提取未处理的晶片或把已电镀干燥晶片放回盒320时不需要在Z方向移动。这增加了机器人的传送速度。
图55为根据本发明的、在半导体晶片上电镀导电膜的电镀系统另一实施例的示意图。图55与图52A-52C实施例相似,不同之处在于机器人322自身可在X方向移动。以这种方式,机器人不需要绕Z轴旋转的功能。
图56为根据本发明的、在半导体晶片上电镀导电膜的电镀系统另一实施例的示意图。图56系统与图52A-52C实施例相似,不同之处在于电镀槽和清洁/干燥室放在一个圆筒内。与图52实施例相比较,本系统的台面面积减小;然而其生产率降低。
图57A-57C为根据本发明的、在半导体晶片上电镀导电膜的电镀系统另一实施例的示意图。它包括三列电镀槽和清洁/干燥室、可线性移动的机器人322、显示屏340、两个层叠盒、管路箱330以及电解液容器。电镀工艺步骤与图52A-52C实施例所描述的相似。
图58A-58C为根据本发明的、在其上有阻挡层或薄籽晶层的基片上直接电镀导电膜的设备另一实施例的示意图。电镀槽包括置于管109内的阳极棒1以及分别置于圆柱壁107和105、103和101之间的阳极环2和3。阳极1、2和3分别由电源13、12和11供电。在电镀工艺中每个电源输送的电荷分别由电荷计11A、12A和13A监视。电解液34由泵33抽出穿过过滤器32到达液体质量流量控制器(LMFC)21、22和23的入口。接着LMFC 21、22和23分别以设定的流量传送电解液到包含阳极3、2和1的子电镀槽。在流过晶片31和圆柱壁顶部之间的间隙后,电解液分别通过圆柱壁100和101、103和105、107和109之间的空间反馈回容器36。压力泄漏阀38置于泵出口和电解液容器36之间,当LMFC 21、22和23关闭时让电解液排回容器36。槽温度由加热器42、温度感应器40和加热器控制器44控制。由晶片夹盘29夹住的晶片31连接到电源11、12和13。机构30用于绕Z轴以速度ωzl旋转晶片31,并在x、y和z方向振荡晶片31。LMFC为耐酸或耐腐蚀、且无污染型的质量流量控制器。为了获得低颗粒填充的电镀工艺,过滤器32应过滤大于0.05或0.1μm的颗粒。泵33应为耐酸或耐腐蚀、且无污染的泵。圆柱壁100、101、103、105、107和109由电绝缘材料制成。该材料也耐酸或耐腐蚀、不溶于酸、为不含金属的材料例如特弗隆(聚四氟乙烯)、CPVC、PVDF、或聚丙烯。
16.在阻挡层或超薄籽晶层上直接电镀导电膜的工艺步骤
步骤1:接通电源11。
步骤2:只打开LMFC 21,以便电解液只接触到晶片在阳极3之上的部分。正金属离子会电镀到晶片31在阳极3之上的区域上。
步骤3:当导电膜的厚度达到设置值或厚度时,进行步骤4而且电源11和LMFC 21处于打开状态。
步骤4:对阳极2重复步骤1-3(LMFC 22和电源12)进行步骤5而且电源11、12以及LMFC 21和22处于打开状态。
步骤5:对阳极1重复步骤4(LMFC 23和电源13)。当整个晶片上的膜厚达到设置值时,同时关闭所有电源和LMFC。
在以上电镀工艺中,电源可以DC模式、或脉冲模式、或DC脉冲混合模式操作。图59示出在籽晶层电镀过程中每个电源的开/关序列。在完成步骤3后,电源11的输出电压可减小到没有电镀和镀层去除在阳极3之上的晶片部分发生的水平。而且在完成步骤3和4后,电源11、12的输出电压可减小到这样一个水平,使在时间T3、T2和T1中输送到阳极3、2和1的总电荷满足以下要求:
Q3/(阳极3之上面积)=
Q2/(阳极2之上面积)=
Q1/(阳极1之上面积)=预设值
此处Q3为在整个电镀工艺过程中输送到阳极3的总电荷,Q2为输送到阳极2的总电荷,而Q1为在整个电镀工艺过程中输送到阳极1的总电荷。
电荷监视器11A、12A和13A用作原位厚度监视器。例如因任何电源波动引起的电荷变化可反馈回计算机。计算机可通过调节相同电源输送的电流或通过调节电镀时间来纠正该变化。
上述工艺的好处是在整个电镀工艺过程中没有发生镀层去除。此种镀层去除会引起额外的厚度变化,并可引起电镀薄膜的腐蚀。
图60A-60B示出根据本发明的、用于电镀导电膜的设备的另一实施例。图60A-60B实施例与图58A-58B实施例相似,不同之处在于每个流道的输出由多个小喷嘴800承担。那些喷嘴会增强膜的均匀性。
图61示出示出根据本发明的、用于电镀导电膜的设备的另一实施例。电镀槽88由机械装置(未示出)旋转形成电解液抛物面。阳极804设置在槽88内并连接到电源806。晶片夹盘29在x、y和z运动方向受到驱动并绕z轴旋转。
17.在阻挡层或超薄籽晶层上直接电镀导电膜的工艺步骤
步骤1:输送电解液到槽800。
步骤2:以ωz2速度绕z轴旋转槽800,在电解液顶部形成抛物面。
步骤3:接通电源806。
步骤4:以一定速度向下移动夹盘直到整个晶片表面与电解液接触。旋转角度或倾斜角度在0-180°的范围内。夹盘向下移动的速度决定初始膜厚分布。该初始厚度分布在随后的电镀过程中影响晶片上的电势。
步骤5:当膜达到预设值时,关闭电解液泵、电源,以及驱动槽800的驱动装置。
在上述工艺中,夹盘可以绕z轴旋转进一步增强膜的均匀性。夹盘的旋转方向优选与槽800的方向相反。
图62和63示出根据本发明的、用于电镀导电膜的设备的另两个实施例。图62和63的实施例与图61的相似,不同之处在于单个阳极由多个阳极取代。位于槽边缘的绝缘壁高度比位于槽中心的更高。这两个实施例的优点是提供控制晶片上膜均匀性的辅助变量。
图64和65示出根据本发明的、用于电镀导电膜的设备的另两个实施例。图64和65的实施例与图62和63的相似,不同之处在于位于槽中心的绝缘壁高度与位于槽边缘的相同。
图66示出根据本发明的、用于电镀导电膜的设备的另一实施例。图66的实施例与图61的相似,不同之处在于夹盘29可以绕y轴或x轴旋转,以使只有晶片的外围部分与电解液接触。旋转角度或倾斜角度在0-180°的范围内。
18.在阻挡层或超薄籽晶层上直接电镀导电膜的工艺步骤
步骤1:输送电解液到槽800。
步骤2:以θy角度绕y轴旋转夹盘29。
步骤3:以ωz1速度绕z轴旋转夹盘29。
步骤4:接通电源806。
步骤5:以一定速度向下(z轴)移动夹盘29,直到整个晶片表面与电解液接触。夹盘向下移动的速度决定初始膜厚分布。该初始厚度分布在随后的电镀过程中影响晶片上的电势。
步骤6:当膜达到预设值时,关闭电解液泵、电源以及驱动夹盘29的驱动装置。
在工艺步骤5中,在晶片被电解液完全接触到之后,晶片夹盘可绕y轴旋转以使之水平。这会增强膜的均匀性。
图67和图68示出根据本发明的、用于电镀导电膜的设备的另两个实施例。图67和68的实施例与图66的相似,不同之处在于单个阳极被多个阳极取代。这两个实施例的优点是提供控制晶片上膜均匀性的辅助变量。
图69示出根据本发明的、用于电镀导电膜的设备的另一实施例。图69实施例为图61和图66实施例的结合。此实施例的优点是提供控制晶片相对电解液表面的位置的辅助变量。
19.在阻挡层或超薄籽晶层上直接电镀导电膜的工艺步骤
步骤1:输送电解液到槽800。
步骤2:以θy角度绕y轴旋转夹盘29。
步骤3:以ωz1速度绕z轴旋转夹盘29。
步骤4:以ωz2速度绕z轴旋转槽800在电解液顶部形成抛物面。
步骤5:接通电源806。
步骤6:以一定速度向下(z轴)移动夹盘29,直到整个晶片表面与电解液接触。夹盘向下移动的速度决定初始膜厚分布。该初始厚度分布在随后的电镀过程中影响晶片上的电势。
步骤7:当膜达到预设值时,关闭电解液泵、电源以及驱动槽800和夹盘29的驱动装置。
在工艺步骤6中,在晶片被电解液完全接触到之后,晶片夹盘29可绕y轴旋转以使之水平。这会增强膜的均匀性。
图70和71示出根据本发明的、用于电镀导电膜的设备的另两个实施例。图70和71的实施例与图69的相似,不同之处在于单个阳极被多个阳极取代。这两个实施例的优点是提供控制晶片上薄膜均匀性的辅助变量。
对本领域技术人员应该清楚,可以对本发明所述的形式和细节进行各种变化。这些变化都应包括在后附权利要求的精神和范围内。

Claims (109)

1.一种在基片表面上电镀薄膜到所需厚度的方法,其中包括下列步骤:
在基片表面的第一部分上电镀薄膜到所需厚度;以及
至少在基片表面的第二部分上电镀薄膜到所需厚度,以在基片上获得所需厚度的连续膜。
2.如权利要求1所述的方法,其中所需厚度是基片上的薄膜的连续籽晶层的厚度。
3.如权利要求2所述的方法,其中进一步包括以下步骤:
在连续籽晶层上电镀另一厚度,以得到第二均匀厚度的连续膜,该第二均匀厚度大于基片上的籽晶层的所需厚度。
4.如权利要求3所述的方法,其中基片第一部分上的薄膜是如下电镀的:使电解液流到基片表面第一部分并施加电镀电流到基片第一部分上,电镀薄膜直到膜达到所需厚度;对基片至少第二部分重复电解液流动和电镀电流流动的步骤,在基片第二部分上电镀薄膜到所需厚度;以及使电解液流向基片第一部分和至少第二部分,施加电镀电流到至少第二部分,直到获得第二均匀厚度。
5.如权利要求4所述的方法,其中:通过向基片第一和第二部分的电镀电极独立地提供电镀电流,在基片第一和第二部分上电镀薄膜。
6.如权利要求5所述的方法,其中:电解液独立地流向基片第一和第二部分。
7.如权利要求1所述的方法,其中基片第一和第二部分上的薄膜是如下电镀的:使电解液同时流到基片第一和第二部分,并分别向第一和第二部分的电镀电极施加电镀电流。
8.如权利要求7所述的方法,其中还包括以下步骤:向基片第一部分提供足够电流,以免在基片第一部分上的膜达到所需厚度之后,向基片第二部分施加电镀电流时发生镀层去除。
9.如权利要求7所述的方法,其中还包括以下步骤:向基片第二部分提供足够电镀电压,以免在向基片第一部分施加电镀电流时发生镀层去除。
10.如权利要求7所述的方法,其中还包括以下步骤:在基片第一部分上的膜达到所需厚度之后,在向基片第二部分施加电镀电流时把基片第一部分移出电解液。
11.如权利要求1所述的方法,其中基片第一和第二部分上的薄膜是如下电镀的:在基片第一部分上电镀薄膜时使电解液流到基片第一部分,在基片第二部分上电镀薄膜时使电解液同时流到基片第一和第二部分。
12.如权利要求11所述的方法,其中还包括以下步骤:提供足够电镀电压到基片第一部分,以免在基片第一部分上的膜达到所需厚度之后,在向基片第二部分施加电镀电流时发生镀层去除。
13.如权利要求1所述的方法,其中基片第一和第二部分上的薄膜是如下电镀的:借助移动可移动的喷射阳极靠近基片第一部分使电解液只流到基片第一部分,且借助移动可移动的喷射阳极靠近基片第二部分使电解液只流到基片第二部分。
14.如权利要求1所述的方法,其中还包括以下步骤:把基片表面浸入电解液中,通过分别移动可移动的喷射阳极靠近基片第一部分且移动可移动的喷射阳极靠近基片第二部分,进行基片第一和第二部分上膜的电镀。
15.如权利要求1所述的方法,其中:当在基片第二部分上电镀薄膜时继续在基片第一部分上电镀薄膜。
16.如权利要求15所述的方法,其中基片第一和第二部分上的薄膜是如下电镀的:在基片第一部分上电镀薄膜时使电解液流到基片第一部分上,且在基片第一和第二部分上同时电镀薄膜时使电解液同时流到基片第一和第二部分。
17.如权利要求16所述的方法,其中:在基片第一和第二部分上电镀薄膜到所需厚度以得到连续籽晶层,该方法还包括以下步骤:
在连续籽晶层上电镀另一厚度得到第二均匀厚度的连续膜,该第二均匀厚度大于基片上籽晶层的所需厚度。
18.如权利要求1所述的方法,其中基片第一和第二部分上的薄膜是如下电镀的:在基片第一部分上电镀薄膜时使电解液只流到基片第一部分,且在基片第二部分上电镀薄膜时使电解液同时流到基片第一和第二部分。
19.如权利要求18所述的方法,其中还包括以下步骤:提供足够电镀电压到基片第一部分,以免在基片第一部分上的膜达到所需厚度之后,在向基片第二部分施加电镀电流时发生镀层去除。
20.如权利要求19所述的方法,其中:在基片第一和第二部分上电镀薄膜到所需厚度以得到连续籽晶层,该方法还包括以下步骤:
在连续籽晶层上电镀另一厚度得到第二均匀厚度的连续膜,该第二均匀厚度大于基片上籽晶层的所需厚度。
21.如权利要求1所述的方法,其中基片第二部分与基片第一部分是相邻接的。
22.如权利要求1所述的方法,其中基片为半导体晶片。
23.如权利要求22所述的方法,其中半导体晶片为硅晶片。
24.如权利要求23所述的方法,其中在硅晶片上有阻挡层。
25.如权利要求24所述的方法,其中阻挡层为钛、氮化钛、钽或氮化钽。
26.如权利要求24所述的方法,其中半导体晶片还包括在阻挡层上的籽晶层。
27.如权利要求26所述的方法,其中半导体晶片上靠近外围的区域上的籽晶层更厚,而内部区域上的籽晶层更薄。
28.如权利要求22所述的方法,其中在半导体晶片上集成电路内薄膜包含内部连线。
29.如权利要求28所述的方法,其中内部连线为金属镶嵌(damascene)结构。
30.一种在基片上电镀薄膜的设备,其中包括:
定位基片使之与电镀电解液接触的基片夹具;
至少一个向基片提供电镀电流的阳极;
至少两个连接的流量控制器,用于提供与基片接触的电解液;
与所述至少一个阳极和所述至少两个流量控制器结合的控制系统,用于向基片连续部分提供电解液和电镀电流组合,通过在基片的连续的各部分上电镀薄膜而在基片上形成连续的均匀厚度的膜。
31.如权利要求30所述的设备,其中所述至少一个阳极包括至少两个由绝缘壁分隔开的阳极,所述至少两个阳极中的每一个都被这些绝缘壁包围。
32.如权利要求31所述的设备,其中每个阳极的绝缘壁的高度相同。
33.如权利要求31所述的设备,其中每个阳极的绝缘壁的高度不同。
34.如权利要求31所述的设备,其中贴近基片中心的每个阳极的绝缘壁比贴近所述基片边缘的每个阳极的绝缘壁更高。
35.如权利要求31所述的设备,其中贴近基片中心的每个阳极的绝缘壁比贴近所述基片边缘的每个阳极的绝缘壁更低。
36.如权利要求31所述的设备,其中所述至少两个流量控制器是分离的阀,用于有选择性地向基片与所述至少两个阳极中的每一个相邻的部分提供电镀电解液,该设备还包括与独立的阀结合的泵至少一个。
37.如权利要求36所述的设备,其中至少一个泵包括两个泵。
38.如权利要求36所述的设备,其中还包括与至少一个泵的出口结合的压力泄漏阀。
39.如权利要求36所述的设备,其中阀为液体质量流量控制阀。
40.如权利要求31所述的设备,其中至少一个控制系统设置为有选择性地向所述至少两个阳极提供电镀电流。
41.如权利要求31所述的设备,其中还包括多个设置为向基片的连续部分提供电解液的电解液流道。
42.如权利要求41所述的设备,其中所述多个电解液流道中的每一个有进口和多个面向所述基片夹具的喷嘴。
43.如权利要求41所述的设备,其中两个相邻的电解液流道包括至少一个在两个相邻电解液流道之间的电解液回路。
44.如权利要求30所述的设备,其中所述基片夹具可以上下移动以调节所述基片和所述阳极之间的间隙。
45.如权利要求30所述的设备,其中所述基片夹具在电镀过程中在水平方向上是可振荡的。
46.如权利要求30所述的设备,其中所述基片夹具在电镀工艺过程中可绕与基片垂直的轴旋转。
47.如权利要求30所述的设备,其中还包括在电镀工艺过程中保持所述电解液为常温的温度控制器件。
48.如权利要求30所述的设备,其中还包括与所述至少两个流量控制器结合的容器和过滤器,以在电镀工艺过程中循环电解液。
49.如权利要求30所述的设备,其中所述控制系统包括至少两个在恒定电流模式中可操作的DC电源。
50.如权利要求30所述的设备,其中所述控制系统包括至少两个在恒定电压模式中可操作的DC电源。
51.如权利要求50所述的设备,其中至少两个DC电源在恒定电压模式和恒定电流模式中均可操作。
52.如权利要求30所述的设备,其中所述控制系统包括至少两个脉冲电源。
53.如权利要求52所述的设备,其中至少两个脉冲电源在双极脉冲、变形的正弦波、单极脉冲、脉冲换向、脉冲上的脉冲或双工脉冲模式中均可操作。
54.如权利要求52所述的设备,其中所述至少两个脉冲电源在相位移动模式中是可操作的。
55.如权利要求30所述的设备,其中所述控制系统包括至少一个电荷监视器以测量电镀薄膜的厚度。
56.如权利要求55所述的设备,其中所述控制系统包括基于从至少一个电荷监视器输入的厚度来控制在基片上电镀薄膜的厚度均匀性的软件。
57.如权利要求30所述的设备,其中所述至少一个阳极为圆形、椭圆形或多边形。
58.如权利要求57所述的设备,其中多边形为三角形、正方形、矩形或五边形。
59.如权利要求57所述的设备,其中所述阳极包括至少两个其位置设置得形成圆形、椭圆形或多边形的子阳极。
60.如权利要求59所述的设备,其中子阳极彼此电绝缘。
61.如权利要求30所述的设备,其中所述控制系统还包括在基片部分上进行连续的薄膜电镀后检查薄膜连续性的逻辑表。
62.如权利要求30所述的设备,其中还包括多个电解液流道,且所述至少两个流量控制器中的每一个包括阀和所述多个电解液流道中一个的出口。
63.如权利要求62所述的设备,其中每个阀和出口相对于基片中心呈径向设置。
64.如权利要求62所述的设备,其中所述多个流量控制器中的每一个还包括液体质量流量控制器和泵,而且所述控制系统设置为在位于由一个流量控制器控制的流道出口之上的所述基片部分上电镀薄膜时关闭一个流量控制器的阀。
65.如权利要求62所述的设备,其中所述至少一个阳极为单个电极。
66.如权利要求62所述的设备,其中所述至少一个阳极包括至少两个电连接的电极,每个电极位于所述多个电解液流道中的不同流道内。
67.一种在基片上电镀薄膜的设备,其中包括:
定位基片使之与电镀电解液接触的基片夹具;
至少两个向基片提供电镀电流的阳极;
至少一个流量控制器,用于控制与基片接触的电解液;
至少一个与所述至少一个阳极和所述至少一个流量控制器结合的控制系统,用于向基片连续部分提供电解液和电镀电流组合,通过在基片的各部分上连续电镀薄膜而在基片上形成连续的均匀厚度的膜。
68.如权利要求67所述的设备,其中所述至少两个阳极由绝缘壁分隔开,所述至少两个阳极中的每一个都被这些绝缘壁包围。
69.如权利要求67所述的设备,其中所述至少一个控制系统设置为有选择性地向所述至少两个阳极提供电镀电流。
70.如权利要求67所述的设备,其中还包括多个设置为向基片连续部分提供电解液的多个电解液流道。
71.如权利要求70所述的设备,其中所述多个电解液流道中的每一个都有多个面向所述基片夹具的喷嘴。
72.如权利要求67所述的设备,其中至少一个流量控制器为至少一个质量流量控制器。
73.一种在基片上电镀薄膜的设备,其中包括:
定位基片使之与电镀电解液接触的基片夹具;
至少一个向基片提供电镀电流的阳极;
至少一个流量控制器,用于控制与基片接触的电解液,所述至少一个流量控制器包括至少三个圆柱壁,位于基片中心部分之下的第一圆柱壁向上伸展,且比位于基片第二部分之下的第二圆柱壁更靠近基片,该基片的第二部分比中心部分更靠近外围;
与所述基片夹具结合的驱动机构,用来驱动所述基片夹具上下移动以控制基片的一个或更多的部分与电解液接触。
至少一个与所述至少一个阳极和所述至少一个流量控制器结合的控制系统,用于向基片连续部分提供电解液和电镀电流组合,通过在基片的各部分上连续电镀薄膜而在基片上形成连续的均匀厚度的薄膜。
74.一种在基片上电镀薄膜的设备,其中包括:
定位基片使之与电镀电解液接触的基片夹具;
至少一个提供电镀电流到基片的阳极;
控制与基片接触的电解液的流量控制器,所述至少一个流量控制器包括至少三个可朝着基片向上移动和远离基片向下移动的圆柱壁,以调节基片和每个圆柱壁之间的间隙,控制基片的一个或更多的部分与电解液接触;
至少一个与所述至少一个阳极和所述流量控制器结合的控制系统,用于向基片连续部分提供电解液和电镀电流组合,通过在基片的各部分上连续电镀薄膜而在基片上形成连续的均匀厚度的薄膜。
75.如权利要求74所述的设备,其中所述至少一个阳极包括至少两个阳极。
76.如权利要求75所述的设备,其中所述流量控制器还包括至少两个用来控制电解液向基片不同部分流动的阀。
77.一种在基片上电镀薄膜的设备,其中包括:
在电解液表面之上定位基片的基片夹具;
至少一个向基片提供电镀电流和电解液的可移动的喷射阳极,所述可移动的喷射阳极在与基片表面平行的方向上可移动;
至少一个用来控制从所述可移动的喷射阳极流过的电解液的流量控制器。
至少一个与所述可移动的喷射阳极和所述流量控制器结合的控制系统,用于向基片连续部分提供电解液和电镀电流组合,通过在基片的各部分上连续电镀薄膜从而在基片上形成连续的均匀厚度的薄膜。
78.如权利要求77所述的设备,其中所述基片夹具可绕与基片垂直的轴旋转。
79.如权利要求77所述的设备,其中所述基片夹具可移动进入电解液使基片完全浸入到电解液中,并可从电解液中移动出来。
80.如权利要求77所述的设备,其中所述可移动的喷射阳极包括一个阳极和包围该阳极的电解液流喷嘴。
81.如权利要求80所述的设备,其中所述可移动的喷射阳极还包括在喷嘴外部并环绕喷嘴的第二电极。
82.如权利要求81所述的设备,其中所述可移动的喷射阳极还包括环绕第二电极设置的绝缘壁、以及环绕绝缘壁设置的第三电极。
83.如权利要求77所述的设备,其中所述可移动的喷射阳极在平行于基片的直道上可移动。
84.如权利要求77所述的设备,其中所述可移动的喷射阳极在平行于基片的曲道上可移动。
85.如权利要求84所述的设备,其中所述曲道为螺旋轨道。
86.一种在基片上电镀薄膜的设备,其中包括:
在电解液内部定位基片的基片夹具;
至少一个可移动的向基片提供电镀电流和电解液的喷射阳极,所述可移动的喷射阳极在与基片表面平行的方向上可移动;
控制从所述可移动的喷射阳极流过的电解液的流量控制器。
至少一个与所述可移动的喷射阳极和所述流量控制器结合的控制系统,用于向基片连续部分提供电解液和电镀电流组合,通过在基片的各部分上连续电镀薄膜而在基片上形成连续的均匀厚度的薄膜。
87.如权利要求86所述的设备,其中所述可移动的喷射阳极在平行于基片的直道上可移动。
88.如权利要求86所述的设备,其中所述可移动的喷射阳极在平行于基片的曲道上可移动。
89.如权利要求88所述的设备,其中曲道为螺旋轨道。
90.如权利要求86所述的设备,其中基片水平设置,在所述可移动的喷射阳极之下并与之相邻。
91.如权利要求86所述的设备,其中基片垂直设置,与所述可移动的喷射阳极相邻。
92.一种在基片上电镀薄膜的设备,其中包括:
在电解液表面之上定位基片的基片夹具;
与所述基片夹具结合的第一驱动机构,用于朝着和远离电解液表面移动所述基片夹具以控制基片的一部分表面与电解液接触。
电解液槽;
至少一个安装在所述槽内的阳极;
与所述槽结合的第二驱动机构,用于绕垂直轴旋转所述槽使电解液表面成大致抛物面形状;
与所述第一和第二驱动机构以及所述至少一个阳极结合的控制系统,用于向基片连续部分提供电解液和电镀电流组合,通过在基片的各部分上连续电镀薄膜而在基片上形成连续的均匀厚度的膜。
93.如权利要求92所述的设备,其中还包括至少一个在电镀过程中提供新鲜电解液的流量控制器。
94.如权利要求92所述的设备,其中所述至少一个阳极包括多个阳极。
95.如权利要求92所述的设备,其中进一步包括与所述基片夹具结合的第三驱动机构,用于绕与基片表面垂直的轴旋转所述基片夹具。
96.一种在基片上电镀薄膜的设备,其中包括:
在电解液表面之上定位基片的基片夹具;
与所述基片夹具结合的第一驱动机构,用于朝着和远离电解液表面移动所述基片夹具以控制基片的一部分表面与电解液接触。
与所述基片夹具结合的第二驱动机构,用于绕与基片表面垂直的轴旋转所述基片夹具;
与所述基片夹具结合的第三驱动机构,用于相对于电解液表面倾斜所述基片夹具;
电解液槽;
至少一个安装在所述槽内的阳极;
与所述第一、第二和第三驱动机构以及所述至少一个阳极结合的控制系统,用于向基片连续部分提供电解液和电镀电流组合,通过在基片的各部分上连续电镀薄膜而在基片上形成连续的均匀厚度的膜。
97.如权利要求96所述的设备,其中还包括至少一个在电镀过程中提供新鲜电解液的流量控制器。
98.如权利要求96所述的设备,其中所述至少一个阳极包括多个阳极。
99.如权利要求96所述的设备,其中第三驱动机构设置为在大约0-180°倾角范围内倾斜基片夹具。
100.如权利要求96所述的设备,其中还包括与所述槽结合的第四驱动机构,用于绕垂直轴旋转所述槽使电解液表面成大致抛物面形状。
101.一种在基片表面上电镀薄膜到所需厚度的方法,其中包括:
提供多个层叠的电镀组件和基片输送机构;
用基片输送机构从基片夹具提取基片;
用基片输送机构把基片装入第一个层叠的电镀组件内;
在第一个层叠的电镀组件内向基片电镀薄膜;
用基片输送机构把基片送回所述基片夹具。
102.如权利要求101所述的方法,其中还包括以下步骤:
在基片上电镀薄膜之后,通过旋转基片或把干燥气体引到基片上中的至少一种方法来干燥基片。
103.如权利要求101所述的方法,其中多个电镀组件中的至少第二个为清洁组件,该方法还包括以下步骤:
在电镀后,用基片输送机构从第一个层叠的电镀组件中提取基片;
把基片放入第二个层叠的电镀组件中用于清洁;
在第二个层叠的电镀组件中清洁基片;以及
在第二个层叠的电镀组件中干燥基片。
104.一种在基片上电镀薄膜的自动化装置,其中包括:
至少两个以层叠关系设置的电镀槽;
至少一个基片夹具;
基片输送机构;
支撑所述电镀槽、所述基片夹具和所述基片输送机构的框架;以及
与所述基片输送机构、基片夹具和所述电镀槽结合的控制系统,用来在多个基片上连续进行均匀的薄膜淀积。
105.如权利要求104所述的自动化装置,其中还包括:与所述至少两个电镀槽以层叠关系设置的至少两个清洁组件。
106.如权利要求104所述的自动化装置,其中基片输送机构包括可在x、y和z轴移动的套管部件。
107.如权利要求104所述的自动化装置,其中所述基片输送机构安装在所述框架的底部。
108.如权利要求104所述的自动化装置,其中所述基片输送机构安装在所述框架的顶部。
109.如权利要求104所述的自动化装置,其中还包括:至少第二套以层叠关系设置的电镀槽以及至少两个辅助的与所述第二套电镀槽以层叠关系设置的清洁组件。
CNB998029203A 1998-02-12 1999-01-15 电镀设备及方法 Expired - Fee Related CN1222641C (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US7446698P 1998-02-12 1998-02-12
US9421598P 1998-07-27 1998-07-27
US60/074,466 1998-07-27
US60/094,215 1998-07-27

Publications (2)

Publication Number Publication Date
CN1290310A true CN1290310A (zh) 2001-04-04
CN1222641C CN1222641C (zh) 2005-10-12

Family

ID=26755698

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB998029203A Expired - Fee Related CN1222641C (zh) 1998-02-12 1999-01-15 电镀设备及方法

Country Status (9)

Country Link
US (3) US6391166B1 (zh)
EP (1) EP1055020A2 (zh)
JP (2) JP3523197B2 (zh)
KR (1) KR100474746B1 (zh)
CN (1) CN1222641C (zh)
AU (1) AU2233399A (zh)
CA (1) CA2320278C (zh)
TW (2) TWI240019B (zh)
WO (1) WO1999041434A2 (zh)

Cited By (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100378253C (zh) * 2003-01-21 2008-04-02 大日本网目版制造株式会社 电镀装置、电镀杯以及阴极圈
CN101748459A (zh) * 2008-12-01 2010-06-23 盛美半导体设备(上海)有限公司 在半导体晶片上超均匀沉积铜膜的方法
CN102041531A (zh) * 2010-12-30 2011-05-04 东莞铭励电器制品有限公司 一种局部喷镀银接触钉之喷镀装置及一种局部喷镀银接触钉
CN101188337B (zh) * 2006-11-24 2011-12-14 富士通株式会社 端子和移动终端装置
CN101601121B (zh) * 2006-12-01 2012-05-09 应用材料公司 电镀卷式柔性太阳能电池基板的方法与设备
CN103590092A (zh) * 2012-08-16 2014-02-19 盛美半导体设备(上海)有限公司 一种电化学抛光/电镀装置及方法
CN103938257A (zh) * 2014-05-08 2014-07-23 中国科学院宁波材料技术与工程研究所 多用途电化学池装置及电镀和分析方法
CN105316754A (zh) * 2014-07-29 2016-02-10 盛美半导体设备(上海)有限公司 电化学加工工艺及电化学加工装置
CN105590987A (zh) * 2014-10-20 2016-05-18 苏州易益新能源科技有限公司 一种水平电化学沉积金属的方法
CN105986304A (zh) * 2015-02-13 2016-10-05 华亚科技股份有限公司 电化学电镀装置及其阳极部件
CN106917121A (zh) * 2017-03-02 2017-07-04 北京半导体专用设备研究所(中国电子科技集团公司第四十五研究所) 一种晶圆电镀装置及电镀方法
CN109475884A (zh) * 2016-07-20 2019-03-15 技术公司 在半导电晶片上电沉积均匀厚度的金属层
CN110923761A (zh) * 2019-12-26 2020-03-27 重庆切普电子技术有限公司 一种喷淋电镀系统
CN113423874A (zh) * 2018-12-28 2021-09-21 盛美半导体设备(上海)股份有限公司 电镀装置及电镀方法
CN113493920A (zh) * 2020-03-19 2021-10-12 芯恩(青岛)集成电路有限公司 改善电镀薄膜均匀性的装置及方法

Families Citing this family (345)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6921467B2 (en) * 1996-07-15 2005-07-26 Semitool, Inc. Processing tools, components of processing tools, and method of making and using same for electrochemical processing of microelectronic workpieces
US6752584B2 (en) * 1996-07-15 2004-06-22 Semitool, Inc. Transfer devices for handling microelectronic workpieces within an environment of a processing machine and methods of manufacturing and using such devices in the processing of microelectronic workpieces
US6749390B2 (en) 1997-12-15 2004-06-15 Semitool, Inc. Integrated tools with transfer devices for handling microelectronic workpieces
US7244677B2 (en) * 1998-02-04 2007-07-17 Semitool. Inc. Method for filling recessed micro-structures with metallization in the production of a microelectronic device
US6565729B2 (en) * 1998-03-20 2003-05-20 Semitool, Inc. Method for electrochemically depositing metal on a semiconductor workpiece
TW593731B (en) * 1998-03-20 2004-06-21 Semitool Inc Apparatus for applying a metal structure to a workpiece
US6416647B1 (en) * 1998-04-21 2002-07-09 Applied Materials, Inc. Electro-chemical deposition cell for face-up processing of single semiconductor substrates
US6261433B1 (en) 1998-04-21 2001-07-17 Applied Materials, Inc. Electro-chemical deposition system and method of electroplating on substrates
KR100654413B1 (ko) * 1998-04-30 2006-12-05 가부시키가이샤 에바라 세이사꾸쇼 기판의 도금방법
US6497801B1 (en) * 1998-07-10 2002-12-24 Semitool Inc Electroplating apparatus with segmented anode array
US6248222B1 (en) * 1998-09-08 2001-06-19 Acm Research, Inc. Methods and apparatus for holding and positioning semiconductor workpieces during electropolishing and/or electroplating of the workpieces
US6919010B1 (en) 2001-06-28 2005-07-19 Novellus Systems, Inc. Uniform electroplating of thin metal seeded wafers using rotationally asymmetric variable anode correction
US6773571B1 (en) 2001-06-28 2004-08-10 Novellus Systems, Inc. Method and apparatus for uniform electroplating of thin metal seeded wafers using multiple segmented virtual anode sources
US6258220B1 (en) * 1998-11-30 2001-07-10 Applied Materials, Inc. Electro-chemical deposition system
US7204924B2 (en) * 1998-12-01 2007-04-17 Novellus Systems, Inc. Method and apparatus to deposit layers with uniform properties
US6582578B1 (en) * 1999-04-08 2003-06-24 Applied Materials, Inc. Method and associated apparatus for tilting a substrate upon entry for metal deposition
US6837978B1 (en) 1999-04-08 2005-01-04 Applied Materials, Inc. Deposition uniformity control for electroplating apparatus, and associated method
US6368475B1 (en) * 2000-03-21 2002-04-09 Semitool, Inc. Apparatus for electrochemically processing a microelectronic workpiece
JP4288010B2 (ja) * 1999-04-13 2009-07-01 セミトゥール・インコーポレイテッド 処理流体の流れ具合を向上させる処理チャンバを備えた加工物処理装置
US7264698B2 (en) * 1999-04-13 2007-09-04 Semitool, Inc. Apparatus and methods for electrochemical processing of microelectronic workpieces
US7189318B2 (en) * 1999-04-13 2007-03-13 Semitool, Inc. Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US7438788B2 (en) * 1999-04-13 2008-10-21 Semitool, Inc. Apparatus and methods for electrochemical processing of microelectronic workpieces
US6916412B2 (en) * 1999-04-13 2005-07-12 Semitool, Inc. Adaptable electrochemical processing chamber
US7020537B2 (en) * 1999-04-13 2006-03-28 Semitool, Inc. Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US7585398B2 (en) * 1999-04-13 2009-09-08 Semitool, Inc. Chambers, systems, and methods for electrochemically processing microfeature workpieces
EP1052062A1 (en) * 1999-05-03 2000-11-15 Applied Materials, Inc. Pré-conditioning fixed abrasive articles
US6623609B2 (en) 1999-07-12 2003-09-23 Semitool, Inc. Lift and rotate assembly for use in a workpiece processing station and a method of attaching the same
US20050205111A1 (en) * 1999-10-12 2005-09-22 Ritzdorf Thomas L Method and apparatus for processing a microfeature workpiece with multiple fluid streams
WO2001027357A1 (en) * 1999-10-12 2001-04-19 Semitool, Inc. Method and apparatus for executing plural processes on a microelectronic workpiece at a single processing station
US6660139B1 (en) * 1999-11-08 2003-12-09 Ebara Corporation Plating apparatus and method
US6299741B1 (en) 1999-11-29 2001-10-09 Applied Materials, Inc. Advanced electrolytic polish (AEP) assisted metal wafer planarization method and apparatus
US6547937B1 (en) * 2000-01-03 2003-04-15 Semitool, Inc. Microelectronic workpiece processing tool including a processing reactor having a paddle assembly for agitation of a processing fluid proximate to the workpiece
US6537144B1 (en) 2000-02-17 2003-03-25 Applied Materials, Inc. Method and apparatus for enhanced CMP using metals having reductive properties
US20030213703A1 (en) * 2002-05-16 2003-11-20 Applied Materials, Inc. Method and apparatus for substrate polishing
US20040020789A1 (en) * 2000-02-17 2004-02-05 Applied Materials, Inc. Conductive polishing article for electrochemical mechanical polishing
US20050092621A1 (en) * 2000-02-17 2005-05-05 Yongqi Hu Composite pad assembly for electrochemical mechanical processing (ECMP)
US7303462B2 (en) * 2000-02-17 2007-12-04 Applied Materials, Inc. Edge bead removal by an electro polishing process
US7029365B2 (en) * 2000-02-17 2006-04-18 Applied Materials Inc. Pad assembly for electrochemical mechanical processing
US6979248B2 (en) * 2002-05-07 2005-12-27 Applied Materials, Inc. Conductive polishing article for electrochemical mechanical polishing
US7303662B2 (en) * 2000-02-17 2007-12-04 Applied Materials, Inc. Contacts for electrochemical processing
US7374644B2 (en) * 2000-02-17 2008-05-20 Applied Materials, Inc. Conductive polishing article for electrochemical mechanical polishing
US7670468B2 (en) * 2000-02-17 2010-03-02 Applied Materials, Inc. Contact assembly and method for electrochemical mechanical processing
US7125477B2 (en) * 2000-02-17 2006-10-24 Applied Materials, Inc. Contacts for electrochemical processing
US20040182721A1 (en) * 2003-03-18 2004-09-23 Applied Materials, Inc. Process control in electro-chemical mechanical polishing
US20080156657A1 (en) * 2000-02-17 2008-07-03 Butterfield Paul D Conductive polishing article for electrochemical mechanical polishing
US7059948B2 (en) * 2000-12-22 2006-06-13 Applied Materials Articles for polishing semiconductor substrates
US6991528B2 (en) * 2000-02-17 2006-01-31 Applied Materials, Inc. Conductive polishing article for electrochemical mechanical polishing
US6962524B2 (en) * 2000-02-17 2005-11-08 Applied Materials, Inc. Conductive polishing article for electrochemical mechanical polishing
US7066800B2 (en) * 2000-02-17 2006-06-27 Applied Materials Inc. Conductive polishing article for electrochemical mechanical polishing
US6848970B2 (en) * 2002-09-16 2005-02-01 Applied Materials, Inc. Process control in electrochemically assisted planarization
US6991526B2 (en) * 2002-09-16 2006-01-31 Applied Materials, Inc. Control of removal profile in electrochemically assisted CMP
US7678245B2 (en) * 2000-02-17 2010-03-16 Applied Materials, Inc. Method and apparatus for electrochemical mechanical processing
US8308931B2 (en) * 2006-08-16 2012-11-13 Novellus Systems, Inc. Method and apparatus for electroplating
US8475636B2 (en) 2008-11-07 2013-07-02 Novellus Systems, Inc. Method and apparatus for electroplating
US20050183959A1 (en) * 2000-04-13 2005-08-25 Wilson Gregory J. Tuning electrodes used in a reactor for electrochemically processing a microelectric workpiece
US6495005B1 (en) * 2000-05-01 2002-12-17 International Business Machines Corporation Electroplating apparatus
US6913680B1 (en) 2000-05-02 2005-07-05 Applied Materials, Inc. Method of application of electrical biasing to enhance metal deposition
JP2003532306A (ja) 2000-05-04 2003-10-28 ケーエルエー・テンコール・テクノロジーズ・コーポレーション リソグラフィ・プロセス制御のための方法およびシステム
JP2001316887A (ja) * 2000-05-08 2001-11-16 Tokyo Electron Ltd メッキ処理装置
US7622024B1 (en) 2000-05-10 2009-11-24 Novellus Systems, Inc. High resistance ionic current source
US6527920B1 (en) 2000-05-10 2003-03-04 Novellus Systems, Inc. Copper electroplating apparatus
US6808612B2 (en) 2000-05-23 2004-10-26 Applied Materials, Inc. Method and apparatus to overcome anomalies in copper seed layers and to tune for feature size and aspect ratio
WO2001090434A2 (en) * 2000-05-24 2001-11-29 Semitool, Inc. Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
WO2001094656A2 (en) * 2000-06-05 2001-12-13 Applied Materials, Inc. Plating apparatus with individually controllable anode segments and associated method
US20050284751A1 (en) * 2004-06-28 2005-12-29 Nicolay Kovarsky Electrochemical plating cell with a counter electrode in an isolated anolyte compartment
US20050145499A1 (en) * 2000-06-05 2005-07-07 Applied Materials, Inc. Plating of a thin metal seed layer
US7273535B2 (en) * 2003-09-17 2007-09-25 Applied Materials, Inc. Insoluble anode with an auxiliary electrode
US20050109627A1 (en) * 2003-10-10 2005-05-26 Applied Materials, Inc. Methods and chemistry for providing initial conformal electrochemical deposition of copper in sub-micron features
US7234477B2 (en) * 2000-06-30 2007-06-26 Lam Research Corporation Method and apparatus for drying semiconductor wafer surfaces using a plurality of inlets and outlets held in close proximity to the wafer surfaces
US7584761B1 (en) * 2000-06-30 2009-09-08 Lam Research Corporation Wafer edge surface treatment with liquid meniscus
US6428673B1 (en) * 2000-07-08 2002-08-06 Semitool, Inc. Apparatus and method for electrochemical processing of a microelectronic workpiece, capable of modifying processing based on metrology
US6747734B1 (en) * 2000-07-08 2004-06-08 Semitool, Inc. Apparatus and method for processing a microelectronic workpiece using metrology
WO2002004887A1 (en) * 2000-07-08 2002-01-17 Semitool, Inc. Methods and apparatus for processing microelectronic workpieces using metrology
EP1470268A2 (en) * 2000-10-03 2004-10-27 Applied Materials, Inc. Method and associated apparatus for tilting a substrate upon entry for metal deposition
US6896776B2 (en) * 2000-12-18 2005-05-24 Applied Materials Inc. Method and apparatus for electro-chemical processing
JP2002212786A (ja) * 2001-01-17 2002-07-31 Ebara Corp 基板処理装置
US20040020780A1 (en) * 2001-01-18 2004-02-05 Hey H. Peter W. Immersion bias for use in electro-chemical plating system
JP2002220692A (ja) * 2001-01-24 2002-08-09 Ebara Corp めっき装置及び方法
US7232514B2 (en) * 2001-03-14 2007-06-19 Applied Materials, Inc. Method and composition for polishing a substrate
US7128825B2 (en) * 2001-03-14 2006-10-31 Applied Materials, Inc. Method and composition for polishing a substrate
US20060169597A1 (en) * 2001-03-14 2006-08-03 Applied Materials, Inc. Method and composition for polishing a substrate
US7323416B2 (en) * 2001-03-14 2008-01-29 Applied Materials, Inc. Method and composition for polishing a substrate
US6899804B2 (en) * 2001-12-21 2005-05-31 Applied Materials, Inc. Electrolyte composition and treatment for electrolytic chemical mechanical polishing
US6811680B2 (en) 2001-03-14 2004-11-02 Applied Materials Inc. Planarization of substrates using electrochemical mechanical polishing
US7160432B2 (en) * 2001-03-14 2007-01-09 Applied Materials, Inc. Method and composition for polishing a substrate
US7582564B2 (en) * 2001-03-14 2009-09-01 Applied Materials, Inc. Process and composition for conductive material removal by electrochemical mechanical polishing
US20020139684A1 (en) * 2001-04-02 2002-10-03 Mitsubishi Denki Kabushiki Kaisha Plating system, plating method, method of manufacturing semiconductor device using the same, and method of manufacturing printed board using the same
US7189647B2 (en) 2001-04-05 2007-03-13 Novellus Systems, Inc. Sequential station tool for wet processing of semiconductor wafers
US7137879B2 (en) * 2001-04-24 2006-11-21 Applied Materials, Inc. Conductive polishing article for electrochemical mechanical polishing
US7344432B2 (en) * 2001-04-24 2008-03-18 Applied Materials, Inc. Conductive pad with ion exchange membrane for electrochemical mechanical polishing
JP3530149B2 (ja) 2001-05-21 2004-05-24 新光電気工業株式会社 配線基板の製造方法及び半導体装置
US6551487B1 (en) 2001-05-31 2003-04-22 Novellus Systems, Inc. Methods and apparatus for controlled-angle wafer immersion
US20060011487A1 (en) * 2001-05-31 2006-01-19 Surfect Technologies, Inc. Submicron and nano size particle encapsulation by electrochemical process and apparatus
US7682498B1 (en) 2001-06-28 2010-03-23 Novellus Systems, Inc. Rotationally asymmetric variable electrode correction
US6908540B2 (en) * 2001-07-13 2005-06-21 Applied Materials, Inc. Method and apparatus for encapsulation of an edge of a substrate during an electro-chemical deposition process
US6746591B2 (en) 2001-10-16 2004-06-08 Applied Materials Inc. ECP gap fill by modulating the voltate on the seed layer to increase copper concentration inside feature
US20030072639A1 (en) * 2001-10-17 2003-04-17 Applied Materials, Inc. Substrate support
US7033465B1 (en) 2001-11-30 2006-04-25 Novellus Systems, Inc. Clamshell apparatus with crystal shielding and in-situ rinse-dry
US6755946B1 (en) 2001-11-30 2004-06-29 Novellus Systems, Inc. Clamshell apparatus with dynamic uniformity control
US20070295611A1 (en) * 2001-12-21 2007-12-27 Liu Feng Q Method and composition for polishing a substrate
US6830673B2 (en) 2002-01-04 2004-12-14 Applied Materials, Inc. Anode assembly and method of reducing sludge formation during electroplating
US6837983B2 (en) * 2002-01-22 2005-01-04 Applied Materials, Inc. Endpoint detection for electro chemical mechanical polishing and electropolishing processes
US6951599B2 (en) 2002-01-22 2005-10-04 Applied Materials, Inc. Electropolishing of metallic interconnects
TWI277473B (en) * 2002-01-31 2007-04-01 Ebara Corp Electrolytic processing apparatus and method, fixing method, fixing structure for ion exchanging member
US6991710B2 (en) * 2002-02-22 2006-01-31 Semitool, Inc. Apparatus for manually and automatically processing microelectronic workpieces
US20030159921A1 (en) * 2002-02-22 2003-08-28 Randy Harris Apparatus with processing stations for manually and automatically processing microelectronic workpieces
US7378356B2 (en) * 2002-03-16 2008-05-27 Springworks, Llc Biased pulse DC reactive sputtering of oxide films
JP3843871B2 (ja) * 2002-03-26 2006-11-08 ソニー株式会社 電解研磨方法および半導体装置の製造方法
US7854828B2 (en) * 2006-08-16 2010-12-21 Novellus Systems, Inc. Method and apparatus for electroplating including remotely positioned second cathode
US6911136B2 (en) * 2002-04-29 2005-06-28 Applied Materials, Inc. Method for regulating the electrical power applied to a substrate during an immersion process
US20050194681A1 (en) * 2002-05-07 2005-09-08 Yongqi Hu Conductive pad with high abrasion
US6893505B2 (en) * 2002-05-08 2005-05-17 Semitool, Inc. Apparatus and method for regulating fluid flows, such as flows of electrochemical processing fluids
US20030209523A1 (en) * 2002-05-09 2003-11-13 Applied Materials, Inc. Planarization by chemical polishing for ULSI applications
US7247223B2 (en) * 2002-05-29 2007-07-24 Semitool, Inc. Method and apparatus for controlling vessel characteristics, including shape and thieving current for processing microfeature workpieces
US20040072445A1 (en) * 2002-07-11 2004-04-15 Applied Materials, Inc. Effective method to improve surface finish in electrochemically assisted CMP
US6875331B2 (en) * 2002-07-11 2005-04-05 Applied Materials, Inc. Anode isolation by diffusion differentials
US7114903B2 (en) * 2002-07-16 2006-10-03 Semitool, Inc. Apparatuses and method for transferring and/or pre-processing microelectronic workpieces
US7223323B2 (en) * 2002-07-24 2007-05-29 Applied Materials, Inc. Multi-chemistry plating system
US7128823B2 (en) 2002-07-24 2006-10-31 Applied Materials, Inc. Anolyte for copper plating
US20040217005A1 (en) * 2002-07-24 2004-11-04 Aron Rosenfeld Method for electroplating bath chemistry control
US7247222B2 (en) * 2002-07-24 2007-07-24 Applied Materials, Inc. Electrochemical processing cell
US8236443B2 (en) 2002-08-09 2012-08-07 Infinite Power Solutions, Inc. Metal film encapsulation
US8021778B2 (en) 2002-08-09 2011-09-20 Infinite Power Solutions, Inc. Electrochemical apparatus with barrier layer protected substrate
US8404376B2 (en) 2002-08-09 2013-03-26 Infinite Power Solutions, Inc. Metal film encapsulation
US8394522B2 (en) 2002-08-09 2013-03-12 Infinite Power Solutions, Inc. Robust metal film encapsulation
US20070264564A1 (en) 2006-03-16 2007-11-15 Infinite Power Solutions, Inc. Thin film battery on an integrated circuit or circuit board and method thereof
US8431264B2 (en) 2002-08-09 2013-04-30 Infinite Power Solutions, Inc. Hybrid thin-film battery
US7993773B2 (en) 2002-08-09 2011-08-09 Infinite Power Solutions, Inc. Electrochemical apparatus with barrier layer protected substrate
US8445130B2 (en) 2002-08-09 2013-05-21 Infinite Power Solutions, Inc. Hybrid thin-film battery
JP4058307B2 (ja) 2002-08-29 2008-03-05 大日本スクリーン製造株式会社 メッキ装置
US7112270B2 (en) * 2002-09-16 2006-09-26 Applied Materials, Inc. Algorithm for real-time process control of electro-polishing
US20050061674A1 (en) * 2002-09-16 2005-03-24 Yan Wang Endpoint compensation in electroprocessing
US7513262B2 (en) * 2002-09-30 2009-04-07 Lam Research Corporation Substrate meniscus interface and methods for operation
US7293571B2 (en) * 2002-09-30 2007-11-13 Lam Research Corporation Substrate proximity processing housing and insert for generating a fluid meniscus
US7367345B1 (en) 2002-09-30 2008-05-06 Lam Research Corporation Apparatus and method for providing a confined liquid for immersion lithography
US7153400B2 (en) * 2002-09-30 2006-12-26 Lam Research Corporation Apparatus and method for depositing and planarizing thin films of semiconductor wafers
US7997288B2 (en) * 2002-09-30 2011-08-16 Lam Research Corporation Single phase proximity head having a controlled meniscus for treating a substrate
US7093375B2 (en) * 2002-09-30 2006-08-22 Lam Research Corporation Apparatus and method for utilizing a meniscus in substrate processing
US7069937B2 (en) * 2002-09-30 2006-07-04 Lam Research Corporation Vertical proximity processor
US6988326B2 (en) * 2002-09-30 2006-01-24 Lam Research Corporation Phobic barrier meniscus separation and containment
US8236382B2 (en) * 2002-09-30 2012-08-07 Lam Research Corporation Proximity substrate preparation sequence, and method, apparatus, and system for implementing the same
US7383843B2 (en) * 2002-09-30 2008-06-10 Lam Research Corporation Method and apparatus for processing wafer surfaces using thin, high velocity fluid layer
US7198055B2 (en) 2002-09-30 2007-04-03 Lam Research Corporation Meniscus, vacuum, IPA vapor, drying manifold
US7632376B1 (en) 2002-09-30 2009-12-15 Lam Research Corporation Method and apparatus for atomic layer deposition (ALD) in a proximity system
US6954993B1 (en) 2002-09-30 2005-10-18 Lam Research Corporation Concentric proximity processing head
US7045018B2 (en) * 2002-09-30 2006-05-16 Lam Research Corporation Substrate brush scrubbing and proximity cleaning-drying sequence using compatible chemistries, and method, apparatus, and system for implementing the same
US7389783B2 (en) * 2002-09-30 2008-06-24 Lam Research Corporation Proximity meniscus manifold
US7614411B2 (en) * 2002-09-30 2009-11-10 Lam Research Corporation Controls of ambient environment during wafer drying using proximity head
US7027143B1 (en) 2002-10-15 2006-04-11 Kla-Tencor Technologies Corp. Methods and systems for inspecting reticles using aerial imaging at off-stepper wavelengths
US7379175B1 (en) 2002-10-15 2008-05-27 Kla-Tencor Technologies Corp. Methods and systems for reticle inspection and defect review using aerial imaging
US7123356B1 (en) 2002-10-15 2006-10-17 Kla-Tencor Technologies Corp. Methods and systems for inspecting reticles using aerial imaging and die-to-database detection
AU2003298904A1 (en) * 2002-12-05 2004-06-30 Surfect Technologies, Inc. Coated and magnetic particles and applications thereof
US20040108212A1 (en) * 2002-12-06 2004-06-10 Lyndon Graham Apparatus and methods for transferring heat during chemical processing of microelectronic workpieces
JP2006517055A (ja) * 2002-12-09 2006-07-13 エーシーエム リサーチ,インコーポレイティド ウェファチャックと研磨/鍍金の容器との整列の測定
US20040226654A1 (en) * 2002-12-17 2004-11-18 Akihisa Hongo Substrate processing apparatus and substrate processing method
DE10261493A1 (de) * 2002-12-23 2004-07-08 METAKEM Gesellschaft für Schichtchemie der Metalle mbH Anode zur Galvanisierung
US20040154926A1 (en) * 2002-12-24 2004-08-12 Zhi-Wen Sun Multiple chemistry electrochemical plating method
US20060049038A1 (en) * 2003-02-12 2006-03-09 Surfect Technologies, Inc. Dynamic profile anode
WO2004075266A2 (en) * 2003-02-18 2004-09-02 Applied Materials, Inc. Method for immersing a substrate
US7205662B2 (en) * 2003-02-27 2007-04-17 Symmorphix, Inc. Dielectric barrier layer films
US7842169B2 (en) 2003-03-04 2010-11-30 Applied Materials, Inc. Method and apparatus for local polishing control
US7238628B2 (en) * 2003-05-23 2007-07-03 Symmorphix, Inc. Energy conversion and storage films and devices by physical vapor deposition of titanium and titanium oxides and sub-oxides
US8728285B2 (en) 2003-05-23 2014-05-20 Demaray, Llc Transparent conductive oxides
US20060141157A1 (en) * 2003-05-27 2006-06-29 Masahiko Sekimoto Plating apparatus and plating method
US7390429B2 (en) * 2003-06-06 2008-06-24 Applied Materials, Inc. Method and composition for electrochemical mechanical polishing processing
KR100545192B1 (ko) * 2003-06-19 2006-01-24 동부아남반도체 주식회사 증착 중단 시기 검출 장치 및 이를 이용한 반도체 소자의구리 배선 형성 방법
US7675000B2 (en) * 2003-06-24 2010-03-09 Lam Research Corporation System method and apparatus for dry-in, dry-out, low defect laser dicing using proximity technology
US7067048B2 (en) * 2003-08-08 2006-06-27 Lsi Logic Corporation Method to improve the control of electro-polishing by use of a plating electrode an electrolyte bath
DE10337669B4 (de) * 2003-08-08 2006-04-27 Atotech Deutschland Gmbh Wässrige, saure Lösung und Verfahren zum galvanischen Abscheiden von Kupferüberzügen sowie Verwendung der Lösung
JP4624738B2 (ja) * 2003-08-21 2011-02-02 株式会社荏原製作所 めっき装置
JP5232844B2 (ja) * 2003-08-21 2013-07-10 株式会社荏原製作所 めっき装置
US6972438B2 (en) * 2003-09-30 2005-12-06 Cree, Inc. Light emitting diode with porous SiC substrate and method for fabricating
US20050092620A1 (en) * 2003-10-01 2005-05-05 Applied Materials, Inc. Methods and apparatus for polishing a substrate
US20050092602A1 (en) * 2003-10-29 2005-05-05 Harald Herchen Electrochemical plating cell having a membrane stack
US20050092601A1 (en) * 2003-10-29 2005-05-05 Harald Herchen Electrochemical plating cell having a diffusion member
US20050095854A1 (en) * 2003-10-31 2005-05-05 Uzoh Cyprian E. Methods for depositing high yield and low defect density conductive films in damascene structures
US20050092611A1 (en) * 2003-11-03 2005-05-05 Semitool, Inc. Bath and method for high rate copper deposition
US20050121141A1 (en) * 2003-11-13 2005-06-09 Manens Antoine P. Real time process control for a polishing process
US7186164B2 (en) * 2003-12-03 2007-03-06 Applied Materials, Inc. Processing pad assembly with zone control
US6998337B1 (en) * 2003-12-08 2006-02-14 Advanced Micro Devices, Inc. Thermal annealing for Cu seed layer enhancement
US20070039827A1 (en) * 2003-12-09 2007-02-22 Acm Reasearch, Inc. Measuring alignment between a wafer chuck and polishing/plating receptacle
US20050178666A1 (en) * 2004-01-13 2005-08-18 Applied Materials, Inc. Methods for fabrication of a polishing article
US7390744B2 (en) * 2004-01-29 2008-06-24 Applied Materials, Inc. Method and composition for polishing a substrate
US20060021974A1 (en) * 2004-01-29 2006-02-02 Applied Materials, Inc. Method and composition for polishing a substrate
US20050230260A1 (en) * 2004-02-04 2005-10-20 Surfect Technologies, Inc. Plating apparatus and method
US8062471B2 (en) * 2004-03-31 2011-11-22 Lam Research Corporation Proximity head heating method and apparatus
US8623193B1 (en) 2004-06-16 2014-01-07 Novellus Systems, Inc. Method of electroplating using a high resistance ionic current source
US7285195B2 (en) * 2004-06-24 2007-10-23 Applied Materials, Inc. Electric field reducing thrust plate
US7214297B2 (en) * 2004-06-28 2007-05-08 Applied Materials, Inc. Substrate support element for an electrochemical plating cell
US7645364B2 (en) * 2004-06-30 2010-01-12 Lam Research Corporation Apparatus and method for plating semiconductor wafers
US20060030156A1 (en) * 2004-08-05 2006-02-09 Applied Materials, Inc. Abrasive conductive polishing article for electrochemical mechanical polishing
US7084064B2 (en) * 2004-09-14 2006-08-01 Applied Materials, Inc. Full sequence metal and barrier layer electrochemical mechanical processing
WO2006039436A2 (en) * 2004-10-01 2006-04-13 Applied Materials, Inc. Pad design for electrochemical mechanical polishing
US7520968B2 (en) * 2004-10-05 2009-04-21 Applied Materials, Inc. Conductive pad design modification for better wafer-pad contact
US20060102467A1 (en) * 2004-11-15 2006-05-18 Harald Herchen Current collimation for thin seed and direct plating
KR20070089975A (ko) * 2004-11-30 2007-09-04 이 아이 듀폰 디 네모아 앤드 캄파니 도전성 표면의 막 제한 선택적 전기 도금
CN101931097B (zh) 2004-12-08 2012-11-21 希莫菲克斯公司 LiCoO2的沉积
US7959769B2 (en) 2004-12-08 2011-06-14 Infinite Power Solutions, Inc. Deposition of LiCoO2
US7368042B2 (en) * 2004-12-30 2008-05-06 United Microelectronics Corp. Electroplating apparatus including a real-time feedback system
US7655565B2 (en) * 2005-01-26 2010-02-02 Applied Materials, Inc. Electroprocessing profile control
US20060163058A1 (en) * 2005-01-26 2006-07-27 Kiyonori Watanabe Apparatus for plating a semiconductor wafer and plating solution bath used therein
US20060169674A1 (en) * 2005-01-28 2006-08-03 Daxin Mao Method and composition for polishing a substrate
WO2006081589A2 (en) * 2005-01-28 2006-08-03 Applied Materials, Inc. Tungsten electroprocessing
US20060175201A1 (en) * 2005-02-07 2006-08-10 Hooman Hafezi Immersion process for electroplating applications
US20060219566A1 (en) * 2005-03-29 2006-10-05 Taiwan Semiconductor Manufacturing Co., Ltd. Method for fabricating metal layer
US20060219663A1 (en) * 2005-03-31 2006-10-05 Applied Materials, Inc. Metal CMP process on one or more polishing stations using slurries with oxidizers
US7427340B2 (en) * 2005-04-08 2008-09-23 Applied Materials, Inc. Conductive pad
US7820026B2 (en) * 2005-04-13 2010-10-26 Applied Materials, Inc. Method to deposit organic grafted film on barrier layer
US20060237319A1 (en) * 2005-04-22 2006-10-26 Akira Furuya Planting process and manufacturing process for semiconductor device thereby, and plating apparatus
US20060249395A1 (en) * 2005-05-05 2006-11-09 Applied Material, Inc. Process and composition for electrochemical mechanical polishing
US20060249394A1 (en) * 2005-05-05 2006-11-09 Applied Materials, Inc. Process and composition for electrochemical mechanical polishing
US7837851B2 (en) 2005-05-25 2010-11-23 Applied Materials, Inc. In-situ profile measurement in an electroplating process
US7838133B2 (en) * 2005-09-02 2010-11-23 Springworks, Llc Deposition of perovskite and other compound ceramic films for dielectric applications
KR100651919B1 (ko) * 2005-09-29 2006-12-01 엘지전자 주식회사 녹화 속도 조절 기능을 갖는 이동통신단말기 및 이를이용한 방법
JP2007123473A (ja) * 2005-10-27 2007-05-17 Alps Electric Co Ltd 軟磁性膜及びその製造方法、ならびに前記軟磁性膜を用いた薄膜磁気ヘッド及びその製造方法
US7570796B2 (en) 2005-11-18 2009-08-04 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
US20070141818A1 (en) * 2005-12-19 2007-06-21 Bulent Basol Method of depositing materials on full face of a wafer
US8029653B2 (en) * 2006-02-21 2011-10-04 Ebara Corporation Electroplating apparatus and electroplating method
US7655126B2 (en) * 2006-03-27 2010-02-02 Federal Mogul World Wide, Inc. Fabrication of topical stopper on MLS gasket by active matrix electrochemical deposition
US20070227633A1 (en) * 2006-04-04 2007-10-04 Basol Bulent M Composition control for roll-to-roll processed photovoltaic films
CN101454486B (zh) * 2006-04-04 2013-03-13 索罗能源公司 用于卷绕处理光电薄膜的组分控制
US20070254485A1 (en) * 2006-04-28 2007-11-01 Daxin Mao Abrasive composition for electrochemical mechanical polishing
US7928366B2 (en) * 2006-10-06 2011-04-19 Lam Research Corporation Methods of and apparatus for accessing a process chamber using a dual zone gas injector with improved optical access
US7422982B2 (en) * 2006-07-07 2008-09-09 Applied Materials, Inc. Method and apparatus for electroprocessing a substrate with edge profile control
US9822461B2 (en) 2006-08-16 2017-11-21 Novellus Systems, Inc. Dynamic current distribution control apparatus and method for wafer electroplating
US8813764B2 (en) 2009-05-29 2014-08-26 Lam Research Corporation Method and apparatus for physical confinement of a liquid meniscus over a semiconductor wafer
US8062708B2 (en) 2006-09-29 2011-11-22 Infinite Power Solutions, Inc. Masking of and material constraint for depositing battery layers on flexible substrates
US8197781B2 (en) 2006-11-07 2012-06-12 Infinite Power Solutions, Inc. Sputtering target of Li3PO4 and method for producing same
US20080128019A1 (en) * 2006-12-01 2008-06-05 Applied Materials, Inc. Method of metallizing a solar cell substrate
US7704352B2 (en) * 2006-12-01 2010-04-27 Applied Materials, Inc. High-aspect ratio anode and apparatus for high-speed electroplating on a solar cell substrate
US7736928B2 (en) * 2006-12-01 2010-06-15 Applied Materials, Inc. Precision printing electroplating through plating mask on a solar cell substrate
US8146902B2 (en) * 2006-12-21 2012-04-03 Lam Research Corporation Hybrid composite wafer carrier for wet clean equipment
US7799684B1 (en) * 2007-03-05 2010-09-21 Novellus Systems, Inc. Two step process for uniform across wafer deposition and void free filling on ruthenium coated wafers
US8464736B1 (en) 2007-03-30 2013-06-18 Lam Research Corporation Reclaim chemistry
US7975708B2 (en) * 2007-03-30 2011-07-12 Lam Research Corporation Proximity head with angled vacuum conduit system, apparatus and method
US20080293343A1 (en) * 2007-05-22 2008-11-27 Yuchun Wang Pad with shallow cells for electrochemical mechanical processing
DE102007026633B4 (de) 2007-06-06 2009-04-02 Atotech Deutschland Gmbh Vorrichtung und Verfahren zum elektrolytischen Behandeln von plattenförmiger Ware
US8141566B2 (en) * 2007-06-19 2012-03-27 Lam Research Corporation System, method and apparatus for maintaining separation of liquids in a controlled meniscus
US8528498B2 (en) * 2007-06-29 2013-09-10 Lam Research Corporation Integrated steerability array arrangement for minimizing non-uniformity
US7985325B2 (en) 2007-10-30 2011-07-26 Novellus Systems, Inc. Closed contact electroplating cup assembly
US7935231B2 (en) 2007-10-31 2011-05-03 Novellus Systems, Inc. Rapidly cleanable electroplating cup assembly
US8268488B2 (en) 2007-12-21 2012-09-18 Infinite Power Solutions, Inc. Thin film electrolyte for thin film batteries
KR20100102180A (ko) 2007-12-21 2010-09-20 인피니트 파워 솔루션스, 인크. 전해질 막을 위한 표적을 스퍼터링하는 방법
JP5705549B2 (ja) 2008-01-11 2015-04-22 インフィニット パワー ソリューションズ, インコーポレイテッド 薄膜電池および他のデバイスのための薄膜カプセル化
US8513124B1 (en) 2008-03-06 2013-08-20 Novellus Systems, Inc. Copper electroplating process for uniform across wafer deposition and void free filling on semi-noble metal coated wafers
US8703615B1 (en) 2008-03-06 2014-04-22 Novellus Systems, Inc. Copper electroplating process for uniform across wafer deposition and void free filling on ruthenium coated wafers
US7964506B1 (en) 2008-03-06 2011-06-21 Novellus Systems, Inc. Two step copper electroplating process with anneal for uniform across wafer deposition and void free filling on ruthenium coated wafers
US20090236447A1 (en) * 2008-03-21 2009-09-24 Applied Materials, Inc. Method and apparatus for controlling gas injection in process chamber
US8350519B2 (en) 2008-04-02 2013-01-08 Infinite Power Solutions, Inc Passive over/under voltage control and protection for energy storage devices associated with energy harvesting
US20090250352A1 (en) * 2008-04-04 2009-10-08 Emat Technology, Llc Methods for electroplating copper
CN101580945B (zh) * 2008-05-12 2012-12-05 盛美半导体设备(上海)有限公司 电沉积系统
WO2010014609A2 (en) 2008-07-28 2010-02-04 Kla-Tencor Corporation Computer-implemented methods, computer-readable media, and systems for classifying defects detected in a memory device area on a wafer
US8906523B2 (en) 2008-08-11 2014-12-09 Infinite Power Solutions, Inc. Energy device with integral collector surface for electromagnetic energy harvesting and method thereof
EP2332127A4 (en) 2008-09-12 2011-11-09 Infinite Power Solutions Inc ENERGY DEVICE HAVING AN INTEGRATED CONDUCTIVE SURFACE FOR DATA COMMUNICATION VIA ELECTROMAGNETIC ENERGY AND ASSOCIATED METHOD
US20110259752A1 (en) * 2008-09-16 2011-10-27 Acm Research (Shanghai) Inc. Method for substantially uniform copper deposition onto semiconductor wafer
WO2010042594A1 (en) 2008-10-08 2010-04-15 Infinite Power Solutions, Inc. Environmentally-powered wireless sensor module
US8858774B2 (en) 2008-11-07 2014-10-14 Novellus Systems, Inc. Electroplating apparatus for tailored uniformity profile
US10011917B2 (en) 2008-11-07 2018-07-03 Lam Research Corporation Control of current density in an electroplating apparatus
US11225727B2 (en) 2008-11-07 2022-01-18 Lam Research Corporation Control of current density in an electroplating apparatus
US20100126849A1 (en) * 2008-11-24 2010-05-27 Applied Materials, Inc. Apparatus and method for forming 3d nanostructure electrode for electrochemical battery and capacitor
US9512538B2 (en) 2008-12-10 2016-12-06 Novellus Systems, Inc. Plating cup with contoured cup bottom
JP5237924B2 (ja) 2008-12-10 2013-07-17 ノベルス・システムズ・インコーポレーテッド ベースプレート、及び電気メッキ装置
US8475637B2 (en) * 2008-12-17 2013-07-02 Novellus Systems, Inc. Electroplating apparatus with vented electrolyte manifold
US8262871B1 (en) 2008-12-19 2012-09-11 Novellus Systems, Inc. Plating method and apparatus with multiple internally irrigated chambers
JP5084766B2 (ja) * 2009-03-11 2012-11-28 住友電気工業株式会社 薄膜超電導線材および超電導ケーブル導体
US9455139B2 (en) 2009-06-17 2016-09-27 Novellus Systems, Inc. Methods and apparatus for wetting pretreatment for through resist metal plating
US8962085B2 (en) 2009-06-17 2015-02-24 Novellus Systems, Inc. Wetting pretreatment for enhanced damascene metal filling
US9677188B2 (en) 2009-06-17 2017-06-13 Novellus Systems, Inc. Electrofill vacuum plating cell
EP2474056B1 (en) 2009-09-01 2016-05-04 Sapurast Research LLC Printed circuit board with integrated thin film battery
US20110041899A1 (en) * 2009-10-30 2011-02-24 National Institute Of Standards And Technology Three Dimensionally Structured Thin Film Photovoltaic Devices with Self-Aligned Back Contacts
US9347987B2 (en) * 2009-11-06 2016-05-24 Intel Corporation Direct liquid-contact micro-channel heat transfer devices, methods of temperature control for semiconductive devices, and processes of forming same
US9138784B1 (en) 2009-12-18 2015-09-22 Novellus Systems, Inc. Deionized water conditioning system and methods
TWI397614B (zh) * 2009-12-22 2013-06-01 Zhen Ding Technology Co Ltd 電鍍治具
TWI410531B (zh) * 2010-05-07 2013-10-01 Taiwan Semiconductor Mfg 直立式電鍍設備及其電鍍方法
US9441295B2 (en) * 2010-05-14 2016-09-13 Solarcity Corporation Multi-channel gas-delivery system
US9385035B2 (en) 2010-05-24 2016-07-05 Novellus Systems, Inc. Current ramping and current pulsing entry of substrates for electroplating
JP2013528912A (ja) 2010-06-07 2013-07-11 インフィニット パワー ソリューションズ, インコーポレイテッド 再充電可能高密度電気化学素子
US9624592B2 (en) 2010-07-02 2017-04-18 Novellus Systems, Inc. Cross flow manifold for electroplating apparatus
US10094034B2 (en) 2015-08-28 2018-10-09 Lam Research Corporation Edge flow element for electroplating apparatus
US10233556B2 (en) 2010-07-02 2019-03-19 Lam Research Corporation Dynamic modulation of cross flow manifold during electroplating
US8795480B2 (en) * 2010-07-02 2014-08-05 Novellus Systems, Inc. Control of electrolyte hydrodynamics for efficient mass transfer during electroplating
US9523155B2 (en) 2012-12-12 2016-12-20 Novellus Systems, Inc. Enhancement of electrolyte hydrodynamics for efficient mass transfer during electroplating
TWI414640B (zh) * 2010-09-06 2013-11-11 Grand Plastic Technology Co Ltd 垂直懸臂式電鍍夾具
TW201213622A (en) * 2010-09-27 2012-04-01 Pin-Chun Huang Device and method for electroplating thin board
US20120325671A2 (en) * 2010-12-17 2012-12-27 Tel Nexx, Inc. Electroplated lead-free bump deposition
US9170211B2 (en) 2011-03-25 2015-10-27 Kla-Tencor Corp. Design-based inspection using repeating structures
US8575028B2 (en) 2011-04-15 2013-11-05 Novellus Systems, Inc. Method and apparatus for filling interconnect structures
US9028666B2 (en) 2011-05-17 2015-05-12 Novellus Systems, Inc. Wetting wave front control for reduced air entrapment during wafer entry into electroplating bath
WO2012174732A1 (en) 2011-06-24 2012-12-27 Acm Research (Shanghai) Inc. Methods and apparatus for uniformly metallization on substrates
US9221081B1 (en) 2011-08-01 2015-12-29 Novellus Systems, Inc. Automated cleaning of wafer plating assembly
WO2013021847A1 (ja) * 2011-08-11 2013-02-14 東京エレクトロン株式会社 半導体装置の製造方法、半導体装置及び配線形成用治具
US9228270B2 (en) 2011-08-15 2016-01-05 Novellus Systems, Inc. Lipseals and contact elements for semiconductor electroplating apparatuses
US9988734B2 (en) 2011-08-15 2018-06-05 Lam Research Corporation Lipseals and contact elements for semiconductor electroplating apparatuses
US10066311B2 (en) 2011-08-15 2018-09-04 Lam Research Corporation Multi-contact lipseals and associated electroplating methods
US9087367B2 (en) 2011-09-13 2015-07-21 Kla-Tencor Corp. Determining design coordinates for wafer defects
US20130233356A1 (en) * 2012-03-12 2013-09-12 Lam Research Ag Process and apparatus for treating surfaces of wafer-shaped articles
SG11201406133WA (en) 2012-03-28 2014-10-30 Novellus Systems Inc Methods and apparatuses for cleaning electroplating substrate holders
TWI609100B (zh) 2012-03-30 2017-12-21 諾發系統有限公司 使用反向電流除鍍以清洗電鍍基板夾持具
US9189844B2 (en) 2012-10-15 2015-11-17 Kla-Tencor Corp. Detecting defects on a wafer using defect-specific information
US9909228B2 (en) 2012-11-27 2018-03-06 Lam Research Corporation Method and apparatus for dynamic current distribution control during electroplating
US9053527B2 (en) 2013-01-02 2015-06-09 Kla-Tencor Corp. Detecting defects on a wafer
US9134254B2 (en) 2013-01-07 2015-09-15 Kla-Tencor Corp. Determining a position of inspection system output in design data space
US9311698B2 (en) 2013-01-09 2016-04-12 Kla-Tencor Corp. Detecting defects on a wafer using template image matching
US9092846B2 (en) 2013-02-01 2015-07-28 Kla-Tencor Corp. Detecting defects on a wafer using defect-specific and multi-channel information
US9746427B2 (en) 2013-02-15 2017-08-29 Novellus Systems, Inc. Detection of plating on wafer holding apparatus
US10416092B2 (en) 2013-02-15 2019-09-17 Lam Research Corporation Remote detection of plating on wafer holding apparatus
US9613833B2 (en) 2013-02-20 2017-04-04 Novellus Systems, Inc. Methods and apparatus for wetting pretreatment for through resist metal plating
US9435048B2 (en) * 2013-02-27 2016-09-06 Taiwan Semiconductor Manufacturing Co., Ltd. Layer by layer electro chemical plating (ECP) process
US9865512B2 (en) 2013-04-08 2018-01-09 Kla-Tencor Corp. Dynamic design attributes for wafer inspection
US9310320B2 (en) 2013-04-15 2016-04-12 Kla-Tencor Corp. Based sampling and binning for yield critical defects
SG11201507894XA (en) * 2013-04-22 2015-11-27 Acm Res Shanghai Inc Method and apparatus for uniformly metallization on substrate
US9670588B2 (en) 2013-05-01 2017-06-06 Lam Research Corporation Anisotropic high resistance ionic current source (AHRICS)
US9449808B2 (en) 2013-05-29 2016-09-20 Novellus Systems, Inc. Apparatus for advanced packaging applications
US9677190B2 (en) 2013-11-01 2017-06-13 Lam Research Corporation Membrane design for reducing defects in electroplating systems
US9435049B2 (en) 2013-11-20 2016-09-06 Lam Research Corporation Alkaline pretreatment for electroplating
KR20220003145A (ko) * 2014-11-25 2022-01-07 에이씨엠 리서치 (상하이) 인코포레이티드 기판상의 균일한 금속화를 위한 장치 및 방법
US9752248B2 (en) 2014-12-19 2017-09-05 Lam Research Corporation Methods and apparatuses for dynamically tunable wafer-edge electroplating
US9567685B2 (en) 2015-01-22 2017-02-14 Lam Research Corporation Apparatus and method for dynamic control of plated uniformity with the use of remote electric current
US9481942B2 (en) 2015-02-03 2016-11-01 Lam Research Corporation Geometry and process optimization for ultra-high RPM plating
US9617648B2 (en) 2015-03-04 2017-04-11 Lam Research Corporation Pretreatment of nickel and cobalt liners for electrodeposition of copper into through silicon vias
US9816194B2 (en) 2015-03-19 2017-11-14 Lam Research Corporation Control of electrolyte flow dynamics for uniform electroplating
US10014170B2 (en) 2015-05-14 2018-07-03 Lam Research Corporation Apparatus and method for electrodeposition of metals with the use of an ionically resistive ionically permeable element having spatially tailored resistivity
US20160359080A1 (en) 2015-06-07 2016-12-08 Solarcity Corporation System, method and apparatus for chemical vapor deposition
US9988733B2 (en) 2015-06-09 2018-06-05 Lam Research Corporation Apparatus and method for modulating azimuthal uniformity in electroplating
US10053793B2 (en) 2015-07-09 2018-08-21 Lam Research Corporation Integrated elastomeric lipseal and cup bottom for reducing wafer sticking
EP3176288A1 (en) * 2015-12-03 2017-06-07 ATOTECH Deutschland GmbH Method for galvanic metal deposition
US9748434B1 (en) 2016-05-24 2017-08-29 Tesla, Inc. Systems, method and apparatus for curing conductive paste
US10364505B2 (en) 2016-05-24 2019-07-30 Lam Research Corporation Dynamic modulation of cross flow manifold during elecroplating
AU2017295870B2 (en) 2016-07-13 2022-04-28 Iontra Inc Electrochemical methods, devices and compositions
US9954136B2 (en) 2016-08-03 2018-04-24 Tesla, Inc. Cassette optimized for an inline annealing system
US11769686B2 (en) 2016-09-29 2023-09-26 Intel Corporation Methods and apparatus for electroless plating dispense
US10115856B2 (en) 2016-10-31 2018-10-30 Tesla, Inc. System and method for curing conductive paste using induction heating
KR101987172B1 (ko) * 2017-07-28 2019-06-10 주식회사 선익시스템 박막 증착용 마스크 제조 방법 및 이를 통해 제작된 증착 마스크
KR102000672B1 (ko) * 2017-07-28 2019-07-17 주식회사 선익시스템 박막 증착용 마스크 제조 방법 및 이를 통해 제작된 증착 마스크
US11001934B2 (en) 2017-08-21 2021-05-11 Lam Research Corporation Methods and apparatus for flow isolation and focusing during electroplating
US10781527B2 (en) 2017-09-18 2020-09-22 Lam Research Corporation Methods and apparatus for controlling delivery of cross flowing and impinging electrolyte during electroplating
CN110512248B (zh) * 2018-05-21 2022-04-12 盛美半导体设备(上海)股份有限公司 电镀设备及电镀方法
JP7296699B2 (ja) * 2018-07-02 2023-06-23 東京エレクトロン株式会社 ガス供給システム、プラズマ処理装置およびガス供給システムの制御方法
KR102639119B1 (ko) * 2018-12-31 2024-02-20 엘지디스플레이 주식회사 전기 도금 장치 및 이를 이용한 전기 도금 방법
IT201900007878A1 (it) * 2019-06-03 2020-12-03 C D T Centro Depurazione Toscano Srl Impianto galvanostatico per la gestione della distribuzione dello spessore di riporti galvanici ed il procedimento per ottenerli
CN110614074B (zh) * 2019-09-25 2021-07-23 赣州泰普新材料有限公司 一种带有废物处理机构的萜烯树脂用生产装置
JP7356401B2 (ja) * 2020-05-12 2023-10-04 株式会社荏原製作所 プレート、めっき装置、及びプレートの製造方法
CN111854415B (zh) * 2020-07-15 2022-04-01 合肥三伍机械有限公司 一种烘干机用自清洁网板结构和烘干机
KR200496932Y1 (ko) 2020-08-25 2023-06-07 주식회사 한국가스기술공사 방폭등기구 테스트 장치
CN112899743B (zh) * 2021-01-19 2021-09-21 鑫巨(深圳)半导体科技有限公司 一种电镀装置及电镀方法

Family Cites Families (52)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3880725A (en) * 1974-04-10 1975-04-29 Rca Corp Predetermined thickness profiles through electroplating
JPS56102590A (en) * 1979-08-09 1981-08-17 Koichi Shimamura Method and device for plating of microarea
US4304641A (en) 1980-11-24 1981-12-08 International Business Machines Corporation Rotary electroplating cell with controlled current distribution
JPS57171690A (en) * 1981-04-13 1982-10-22 Tokio Osaki Plating method
HU208556B (en) * 1985-12-24 1993-11-29 Gould Inc Process and apparatjus for galvanizing copper-folia
GB8617675D0 (en) * 1986-07-19 1986-08-28 Ae Plc Deposition of bearing alloys
JPH01234590A (ja) * 1988-03-16 1989-09-19 Toshiba Eng Co Ltd 部分メッキ装置
US5235995A (en) 1989-03-27 1993-08-17 Semitool, Inc. Semiconductor processor apparatus with dynamic wafer vapor treatment and particulate volatilization
WO1990000476A1 (en) 1988-07-12 1990-01-25 The Regents Of The University Of California Planarized interconnect etchback
JPH07113159B2 (ja) * 1988-08-29 1995-12-06 日本電装株式会社 めっき装置
JPH02185999A (ja) * 1989-01-11 1990-07-20 Nec Corp 電気メッキ槽
JPH083153B2 (ja) * 1990-02-26 1996-01-17 日本電装株式会社 めっき装置
US5222310A (en) 1990-05-18 1993-06-29 Semitool, Inc. Single wafer processor with a frame
JPH04170031A (ja) * 1990-11-02 1992-06-17 Nec Corp 金属配線の形成方法
US5326455A (en) * 1990-12-19 1994-07-05 Nikko Gould Foil Co., Ltd. Method of producing electrolytic copper foil and apparatus for producing same
JP2538705Y2 (ja) 1991-01-10 1997-06-18 日本電気株式会社 めっき処理装置
JPH04311591A (ja) * 1991-04-08 1992-11-04 Sumitomo Metal Ind Ltd めっき装置及びめっき方法
JP3112700B2 (ja) * 1991-05-08 2000-11-27 啓一郎 菅沼 半導体製造方法並びにその装置
JPH0555167A (ja) * 1991-08-28 1993-03-05 Nec Corp 半導体装置の製造方法
JPH05206064A (ja) * 1991-12-10 1993-08-13 Nec Corp 半導体装置の製造方法
JPH05195183A (ja) * 1992-01-23 1993-08-03 Mitsubishi Electric Corp 半導体装置の製造方法
JPH0617291A (ja) * 1992-07-03 1994-01-25 Nec Corp 金属めっき装置
JPH0625899A (ja) 1992-07-10 1994-02-01 Nec Corp 電解メッキ装置
JPH0645283A (ja) * 1992-07-27 1994-02-18 Nec Corp 半導体装置の製造方法
JP3350564B2 (ja) * 1993-01-22 2002-11-25 沖電気工業株式会社 めっき装置及びめっき方法
JP3064734B2 (ja) * 1993-04-01 2000-07-12 日本電気株式会社 半導体装置の製造方法
US5489341A (en) 1993-08-23 1996-02-06 Semitool, Inc. Semiconductor processing with non-jetting fluid stream discharge array
US5421987A (en) 1993-08-30 1995-06-06 Tzanavaras; George Precision high rate electroplating cell and method
JP3289459B2 (ja) * 1993-12-29 2002-06-04 カシオ計算機株式会社 メッキ方法及びメッキ装置
WO1995020064A1 (en) * 1994-01-24 1995-07-27 Berg N Edward Uniform electroplating of printed circuit boards
US5544421A (en) 1994-04-28 1996-08-13 Semitool, Inc. Semiconductor wafer processing system
JP3033009B2 (ja) * 1994-09-09 2000-04-17 東京エレクトロン株式会社 処理装置
JP3394842B2 (ja) * 1995-04-14 2003-04-07 島田理化工業株式会社 ウエハ処理装置
US5522975A (en) * 1995-05-16 1996-06-04 International Business Machines Corporation Electroplating workpiece fixture
US5516412A (en) 1995-05-16 1996-05-14 International Business Machines Corporation Vertical paddle plating cell
EP0751566A3 (en) * 1995-06-30 1997-02-26 Ibm Metal thin film barrier for electrical connections
US5670034A (en) 1995-07-11 1997-09-23 American Plating Systems Reciprocating anode electrolytic plating apparatus and method
US5620581A (en) 1995-11-29 1997-04-15 Aiwa Research And Development, Inc. Apparatus for electroplating metal films including a cathode ring, insulator ring and thief ring
US6176667B1 (en) * 1996-04-30 2001-01-23 Applied Materials, Inc. Multideck wafer processing system
JP3537269B2 (ja) * 1996-05-21 2004-06-14 アネルバ株式会社 マルチチャンバースパッタリング装置
US5779799A (en) * 1996-06-21 1998-07-14 Micron Technology, Inc. Substrate coating apparatus
US6221230B1 (en) * 1997-05-15 2001-04-24 Hiromitsu Takeuchi Plating method and apparatus
JP3223850B2 (ja) * 1997-07-18 2001-10-29 日本電気株式会社 噴流めっき装置
JPH1180993A (ja) * 1997-09-10 1999-03-26 Ebara Corp 半導体ウエハメッキ装置
JP3554665B2 (ja) * 1997-09-17 2004-08-18 株式会社荏原製作所 半導体基板配線のバリア層及び配線構造
US5882498A (en) * 1997-10-16 1999-03-16 Advanced Micro Devices, Inc. Method for reducing oxidation of electroplating chamber contacts and improving uniform electroplating of a substrate
US6027631A (en) * 1997-11-13 2000-02-22 Novellus Systems, Inc. Electroplating system with shields for varying thickness profile of deposited layer
US6251528B1 (en) * 1998-01-09 2001-06-26 International Business Machines Corporation Method to plate C4 to copper stud
US6140234A (en) * 1998-01-20 2000-10-31 International Business Machines Corporation Method to selectively fill recesses with conductive metal
JP3501937B2 (ja) * 1998-01-30 2004-03-02 富士通株式会社 半導体装置の製造方法
US6143155A (en) * 1998-06-11 2000-11-07 Speedfam Ipec Corp. Method for simultaneous non-contact electrochemical plating and planarizing of semiconductor wafers using a bipiolar electrode assembly
US6497801B1 (en) * 1998-07-10 2002-12-24 Semitool Inc Electroplating apparatus with segmented anode array

Cited By (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100378253C (zh) * 2003-01-21 2008-04-02 大日本网目版制造株式会社 电镀装置、电镀杯以及阴极圈
CN101188337B (zh) * 2006-11-24 2011-12-14 富士通株式会社 端子和移动终端装置
CN101601121B (zh) * 2006-12-01 2012-05-09 应用材料公司 电镀卷式柔性太阳能电池基板的方法与设备
CN101748459A (zh) * 2008-12-01 2010-06-23 盛美半导体设备(上海)有限公司 在半导体晶片上超均匀沉积铜膜的方法
CN101748459B (zh) * 2008-12-01 2014-09-24 盛美半导体设备(上海)有限公司 在半导体晶片上超均匀沉积铜膜的方法
CN102041531A (zh) * 2010-12-30 2011-05-04 东莞铭励电器制品有限公司 一种局部喷镀银接触钉之喷镀装置及一种局部喷镀银接触钉
CN102041531B (zh) * 2010-12-30 2012-05-23 东莞铭励电器制品有限公司 一种局部喷镀银接触钉之喷镀装置及一种局部喷镀银接触钉
CN103590092B (zh) * 2012-08-16 2017-05-10 盛美半导体设备(上海)有限公司 一种电化学抛光/电镀装置及方法
CN103590092A (zh) * 2012-08-16 2014-02-19 盛美半导体设备(上海)有限公司 一种电化学抛光/电镀装置及方法
CN103938257A (zh) * 2014-05-08 2014-07-23 中国科学院宁波材料技术与工程研究所 多用途电化学池装置及电镀和分析方法
CN105316754B (zh) * 2014-07-29 2019-08-16 盛美半导体设备(上海)有限公司 电化学加工工艺及电化学加工装置
CN105316754A (zh) * 2014-07-29 2016-02-10 盛美半导体设备(上海)有限公司 电化学加工工艺及电化学加工装置
CN105590987A (zh) * 2014-10-20 2016-05-18 苏州易益新能源科技有限公司 一种水平电化学沉积金属的方法
CN105590987B (zh) * 2014-10-20 2022-06-14 苏州易益新能源科技有限公司 一种水平电化学沉积金属的方法
CN105986304A (zh) * 2015-02-13 2016-10-05 华亚科技股份有限公司 电化学电镀装置及其阳极部件
CN109475884A (zh) * 2016-07-20 2019-03-15 技术公司 在半导电晶片上电沉积均匀厚度的金属层
CN106917121A (zh) * 2017-03-02 2017-07-04 北京半导体专用设备研究所(中国电子科技集团公司第四十五研究所) 一种晶圆电镀装置及电镀方法
CN113423874A (zh) * 2018-12-28 2021-09-21 盛美半导体设备(上海)股份有限公司 电镀装置及电镀方法
US11781235B2 (en) 2018-12-28 2023-10-10 Acm Research (Shanghai), Inc. Plating apparatus and plating method
CN113423874B (zh) * 2018-12-28 2024-03-15 盛美半导体设备(上海)股份有限公司 电镀装置及电镀方法
CN110923761A (zh) * 2019-12-26 2020-03-27 重庆切普电子技术有限公司 一种喷淋电镀系统
CN113493920A (zh) * 2020-03-19 2021-10-12 芯恩(青岛)集成电路有限公司 改善电镀薄膜均匀性的装置及方法

Also Published As

Publication number Publication date
US20020008036A1 (en) 2002-01-24
US20010040100A1 (en) 2001-11-15
WO1999041434A3 (en) 1999-10-14
KR100474746B1 (ko) 2005-03-08
TWI240019B (en) 2005-09-21
CA2320278A1 (en) 1999-08-19
EP1055020A2 (en) 2000-11-29
TW591122B (en) 2004-06-11
WO1999041434A2 (en) 1999-08-19
TW200416307A (en) 2004-09-01
JP3523197B2 (ja) 2004-04-26
KR20010040926A (ko) 2001-05-15
CN1222641C (zh) 2005-10-12
CA2320278C (en) 2006-01-03
JP2002503766A (ja) 2002-02-05
AU2233399A (en) 1999-08-30
JP2004162166A (ja) 2004-06-10
US6391166B1 (en) 2002-05-21

Similar Documents

Publication Publication Date Title
CN1222641C (zh) 电镀设备及方法
CN1306572C (zh) 电抛光半导体器件上金属互连的装置
CN100351434C (zh) 电镀装置以及电镀方法
CN1187481C (zh) 电解处理装置
CN1231290C (zh) 振动搅拌装置、使用该装置的处理装置和处理方法
CN1517453A (zh) 电镀装置、电镀杯以及阴极圈
CN1057349C (zh) 等离子体加工方法和等离子体加工装置
CN1305133C (zh) 半导体器件及其制造方法
CN1341277A (zh) 半导体基片处理装置及处理方法
CN1163639C (zh) 阳极氧化方法和该方法的操作装置
CN1099379C (zh) 含氯离子的流水的电解装置及电解方法
CN1260778C (zh) 基片加工方法
CN1263886C (zh) 热镀锌方法及装置
CN1305109C (zh) 金属元件、半导体器件、电子器件和电子设备及其制法
CN1170003C (zh) 碳膜及其形成方法以及碳膜被覆物品及其制造方法
CN1685080A (zh) 基板处理装置和基板处理方法
CN1684834A (zh) 静电吸引式液体喷射头的制造方法,喷嘴板的制造方法,静电吸引式液体喷射头的驱动方法,静电吸引式液体喷射装置以及液体喷射装置
CN1750244A (zh) 线路板、其制造方法以及半导体器件
CN1653597A (zh) 衬底加工设备和衬底加工方法
CN1545728A (zh) 半导体器件及其制造方法、以及电镀液
CN1823180A (zh) 具有均匀轴向分布的等离子体的电容耦合等离子体反应器
CN1158409C (zh) 从铜电解液中回收锑和铋的方法
CN1675411A (zh) 镀膜的制备方法、电镀用阴极辊和制造电路板的方法
CN1310360C (zh) 直接型燃料电池发电装置
CN1842577A (zh) 用于抛光导电材料的抛光组合物和方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20051012

Termination date: 20130115

CF01 Termination of patent right due to non-payment of annual fee