KR20010040926A - 도금 장치 및 방법 - Google Patents

도금 장치 및 방법 Download PDF

Info

Publication number
KR20010040926A
KR20010040926A KR1020007008837A KR20007008837A KR20010040926A KR 20010040926 A KR20010040926 A KR 20010040926A KR 1020007008837 A KR1020007008837 A KR 1020007008837A KR 20007008837 A KR20007008837 A KR 20007008837A KR 20010040926 A KR20010040926 A KR 20010040926A
Authority
KR
South Korea
Prior art keywords
substrate
plating
electrolyte
film
anode
Prior art date
Application number
KR1020007008837A
Other languages
English (en)
Other versions
KR100474746B1 (ko
Inventor
후이 왕
Original Assignee
에이씨엠 리서치, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이씨엠 리서치, 인코포레이티드 filed Critical 에이씨엠 리서치, 인코포레이티드
Publication of KR20010040926A publication Critical patent/KR20010040926A/ko
Application granted granted Critical
Publication of KR100474746B1 publication Critical patent/KR100474746B1/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/02Electroplating of selected surface areas
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/08Electroplating with moving electrolyte e.g. jet electroplating
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/02Electroplating of selected surface areas
    • C25D5/026Electroplating of selected surface areas using locally applied jets of electrolyte
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/18Electroplating using modulated, pulsed or reversing current
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D21/00Processes for servicing or operating cells for electrolytic coating
    • C25D21/12Process control or regulation

Abstract

상부에 배리어층을 가진 기판상에 직접 도전성 막을 도금하기 위한 장치는 튜브(109)내에 놓인 양극 로드(1)와, 제각기 원통형 벽(107, 105)사이, 원통형 벽(103, 101)사이에 놓인 양극 링(2, 3)을 포함한다. 양극(1, 2, 3)은 제각기 전원(13, 12, 11)에 의해 동력을 받는다. 전해질(34)은 펌프(33)에 의해 펌프되어 필터(32)를 통과하고 액체 매스 플루우 제어기(LMFC)(21, 22, 23)의 입구에 도달한다. LMFC(21, 22, 23)는 제각기 양극(3, 2, 1)을 포함하는 서브-도금 욕에 설정 유량으로 전해질을 전달한다. 웨이퍼(31)와 원통형 벽(101, 103, 105, 107, 109)사이의 갭을 통해서 흐른후, 전해질은 제각기 원통형 벽(100, 101), (103, 105)와 (107, 109)사이의 공간을 통해서 탱크(36)으로 다시 흐른다. 압력 누설 밸브(38)는 펌프(33)의 출구와 전해질 탱크(36)사이에 놓여지고 LMFC(21, 22, 23)이 폐쇄될 때 전해질을 탱크(36)으로 다시 누설한다. 웨이퍼 척(29)에 의해 유지된 웨이퍼(31)는 전원(11, 12, 13)에 연결되어 있다. 구동 기구(30)는 z 축선 둘레로 웨이퍼(31)를 회전하고, 도시한 x, y 및 z 방향으로 웨이퍼를 진동하는데 사용된다. 필터(32)는 저 입자 추가된 도금 프로세스를 얻기 위해서 0.1 또는 0.2㎛보다 큰 입자를 여과한다.

Description

도금 장치 및 방법{PLATING APPARATUS AND METHOD}
반도체 소자 피쳐가 모어 법칙에 따라서 계속적으로 수축함에 따라, 배선 지연(interconnect delay)은 알루미늄(Al)과 SiO2가 여전히 사용되고 있으면 0.18㎛ 발생 소자에 대한 장치 게이트 지연(device gate delay)보다 더 크다. 배선 지연을 감소하기 위해서, 구리와 저 k 유전체는 가능한 해결책이다. 구리/저 k 배선은 전통적인 Al/ SiO2접근법보다 몇 가지 장점을 제공하며, 이들 장점은 배선 지연을 충분히 감소할 수 있지만, 또한 다수의 레벨의 금속의 필요성을 감소하고, 전력 손실을 줄이고 제작 비용을 감소할 수 있는 능력을 포함한다. 구리는 전자이동에 대한 저항성이 알루미늄 보다 휠씬 양호하므로 개선된 신뢰성을 제공한다. 다양한 기술은 통상적인 물리 기상 증착(PVD)과 화학 기상 증착(CVD) 기술로부터 새로운 전기도금 방법까지의 범위로, 구리를 증착하기 위해서 개발되어져 왔다. PVD Cu 증착은 통상적으로 큰 개구비를 가진 소형 갭(< 0.18 ㎛)을 채울 때 보이드를 생성하는 쿠스핑 문제점(cusping problem)을 가진다. CVD Cu는 증착 동안 막내측에 놓인 고 불순물을 가지며, 저 저항 Cu막을 얻기 위해서 불순물을 배격하기 위해 높은 온도 어닐링을 필요로 한다. 전기 도금된 Cu만이 동시에 저 저항성과 우수한 갭 충진 성능 모두를 제공할 수 있다. 다른 중요한 요소는 비용이며, 전기도금 툴의 비용은 제각기 PVD 또는 CVD의 비용의 2/3 또는 1/2이다. 또한, Cu를 전기도금하기 위한 저 프로세스 온도(30 내지 60℃)는 소자의 발생을 성공적으로 하는 저 k 유전체(폴리머, 크세로겔 및 에어로겔)에 유익하다.
전기도금된 Cu는 여러 해 동안 자기 헤드, 칩 패키지내의 범프 도금 및 인쇄회로판에 사용되고 있다. 종래 도금 기계에서, 웨이퍼 주변의 도금 전류 흐름의 밀도는 웨이퍼의 중앙의 것보다 크다. Grandia 등의 미국 특허 제 4,304,841 호는 기판에 대해 균일한 도금 전류 흐름과 전해질 흐름을 얻기 위해서 기판과 양극 사이에 놓여진 확산기를 공지한다. Mori의 미국 특허 제 5,443,707 호는 양극의 크기를 수축함으로써 도금 전류를 조작하는 것을 공지한다. Tzanavaras의 미국 특허 제 5,421,987 호는 균일하고 높은 도금속도를 얻기 위한 다중 제트 노즐을 가진 회전 양극을 공지한다. Lowery의 미국 특허 제 5,670,034 호는 도금 두께 균일성을 개선하기 위해서 회전 웨이퍼 전면에 횡방향으로 왕복 가능한 양극을 공지한다. Ang의 미국 특허 제 5,820,581 호는 웨이퍼에서의 도금 전류 분포를 조작하기 위해서 개별 전원에 의해 전력을 받는 디프 링(thief ring)을 공지한다.
이들 모든 종래 기술은 Cu 도금 전에 Cu 시드층을 필요로 한다. 대개, Cu 시드층은 확산 배리어의 상부에 있다. 이 Cu 시드층은 물리 기상 증착(PVD)이든지 또는 화학 기상 증착(CVD)에 의해 증착된다. 그러나 상술한 바와 같이, PVD Cu는 계속된 Cu 전기도금에서 통상적으로 큰 개구비를 가진 소형 갭(< 0.18 ㎛)을 채울 때 보이드를 생성하는 쿠스핑 문제점을 가진다. CVD Cu는 증착 동안 막내측에 놓인 고 불순물 레벨을 가지며, 저 저항 Cu 시드층을 얻기 위해서 불순물을 배격하기 위해 높은 온도 어닐링을 필요로 한다. 소자 피쳐 크기가 수축하면, Cu 시드층은 보다 중요한 문제가 될 것이다. 또한 Cu 시드층의 증착은 추가 프로세스 더 해야하며, 이것은 IC 제작 비용을 증가시킨다.
종래 기술의 다른 단점은 도금 전류와 전해질 흐름 패턴이 종속적으로 조작되거나, 단지 도금 전류만이 조작된다는 것이다. 이것은 프로세스 터닝 윈도우를 제한하며, 이는 최상의 도금 전류 상태가 우수한 갭 충진 능력, 두께 균일성 및 전기 균일성뿐만 아니라 입자 크기 및 구조적 균일성 모두를 동시에 얻기 위해서 최상의 전해질 흐름 상태와 반드시 일치하지 않기 때문이다.
종래 기술의 다른 단점은 도금헤드 또는 도금 시스템이 사용자의 비용을 보다 높이는, 대형 풋 프린트로 부피가 크다는 것이다.
본 발명은 일반적으로 박막을 도금하기 위한 방법 및 장치, 특히 반도체 소자내에 배선을 형성하도록 금속막을 도금하기 위한 방법 및 장치에 관한 것이다.
도 1a는 본 발명을 이해하는데 유용한 종래 기술 도금 장치의 일부분의 사시도.
도 1b는 도 1에 도시한 기판의 평면도.
도 2는 본 발명에 따른 도금 동안 기판의 대응 평면도.
도 3a는 본 발명에 따른 도금 장치의 일부분의 평면도.
도 3b는 본 발명에 따른 도금 장치의 도 3a의 3B-3B선을 따라서 취하고 부분적으로 블록 다이어그램 형태로 도시한 부분 단면도.
도 4a는 본 발명에 따라서 도금 준비된 기판의 평면도.
도 4b는 도 4a의 기판의 4B-4B선을 따라서 취한 단면도.
도 5는 본 발명의 도 3a -3b 실시예의 작동을 이해하는데 유용한 한 세트의 웨이브형 다이어그램.
도 6a 및 도 6b는 본 발명의 추가의 이해에 유용한 도금된 기판의 부분 단면도.
도 7 및 도 8은 본 발명의 도 3a -3b 실시예의 작동을 추가로 이해하는데 유용한 추가 세트의 웨이브형 다이어그램.
도 9a 내지 도 9d는 본 발명에 따른 도금 장치의 변경 실시예의 부분의 평면도.
도 10은 본 발명에 따른 장치의 작동에서 얻은 웨이브형의 그래프.
도 11은 본 발명에 따른 프로세스의 플루우 다이어그램.
도 12는 본 발명에 따른 프로세스의 다른 실시예의 한 세트의 웨이브형 다이어그램.
도 13a는 본 발명에 따른 도금 장치의 제 2실시예의 일부분의 평면도.
도 3b는 본 발명에 따른 도금 장치의 제 2실시예의 도 13a의 13B-13B선을 따라서 취하고 부분적으로 블록 다이어그램 형태로 도시한 부분 단면도.
도 14a는 본 발명에 따른 도금 장치의 제 3실시예의 일부분의 평면도.
도 14b는 본 발명에 따른 도금 장치의 제 3실시예의 도 14a의 14B-14B선을 따라서 취하고 부분적으로 블록 다이어그램 형태로 도시한 부분 단면도.
도 15a는 본 발명에 따른 도금 장치의 제 4실시예의 일부분의 평면도.
도 15b는 본 발명에 따른 도금 장치의 제 4실시예의 도 15a의 15B-15B선을 따라서 취하고 부분적으로 블록 다이어그램 형태로 도시한 부분 단면도.
도 16a는 본 발명에 따른 도금 장치의 제 5실시예의 일부분의 평면도.
도 16b는 본 발명에 따른 도금 장치의 제 5실시예의 도 16a의 16B-16B선을 따라서 취하고 부분적으로 블록 다이어그램 형태로 도시한 부분 단면도.
도 17은 본 발명에 따른 도금 장치의 제 5실시예의 일부분의 단면도.
도 18a는 본 발명에 따른 도금 장치의 제 6실시예의 일부분의 평면도.
도 18b는 본 발명에 따른 도금 장치의 제 6실시예의 도 18a의 18B-18B선을 따라서 취하고 부분적으로 블록 다이어그램 형태로 도시한 부분 단면도.
도 19a는 본 발명에 따른 도금 장치의 제 7실시예의 일부분의 평면도.
도 19b는 본 발명에 따른 도금 장치의 제 7실시예의 도 19a의 19B-14B선을 따라서 취하고 부분적으로 블록 다이어그램 형태로 도시한 부분 단면도.
도 20a 및 도 20b는 본 발명에 따른 도금 장치의 제 8실시예의 부분적으로 블록 다이어그램 형태로 도시한 부분 단면도.
도 21a 및 도 21b는 본 발명에 따른 도금 장치의 제 9실시예의 부분적으로 블록 다이어그램 형태로 도시한 부분 단면도.
도 22a는 본 발명에 따른 도금 장치의 제 10실시예의 일부분의 평면도.
도 22b는 본 발명에 따른 도금 장치의 제 10실시예의 도 22a의 22B-22B선을 따라서 취하고 부분적으로 블록 다이어그램 형태로 도시한 부분 단면도.
도 23a 및 도 23b는 본 발명에 따른 도금 장치의 제 11실시예 및 제 12실시예의 일부분의 평면도.
도 24a는 본 발명에 따른 도금 장치의 제 13실시예의 일부분의 평면도.
도 24b는 본 발명에 따른 도금 장치의 제 13실시예의 도 24a의 24B-24B선을 따라서 취하고 부분적으로 블록 다이어그램 형태로 도시한 부분 단면도.
도 25a 내지 도 25c는 본 발명에 따른 도금 장치의 제 14실시예, 제 15실시예 및 제 16실시예의 일부분의 평면도.
도 26a는 본 발명에 따른 도금 장치의 제 17실시예의 일부분의 평면도.
도 26b는 본 발명에 따른 도금 장치의 제 17실시예의 도 26a의 26B-26B선을 따라서 취하고 부분적으로 블록 다이어그램 형태로 도시한 부분 단면도.
도 27 및 도 28은 본 발명에 따른 도금 장치의 제 18실시예 및 제 19실시예의 일부분의 평면도.
도 29a 내지 도 29c는 본 발명에 따른 도금 장치의 제 20실시예, 제 21실시예 및 제 22실시예의 일부분의 평면도.
도 30a는 본 발명에 따른 도금 장치의 제 23실시예의 일부분의 평면도.
도 30b는 본 발명에 따른 도금 장치의 제 23실시예의 도 30a의 30B-30B선을 따라서 취하고 부분적으로 블록 다이어그램 형태로 도시한 부분 단면도.
도 31a는 본 발명에 따른 도금 장치의 제 24실시예의 일부분의 평면도.
도 31b는 본 발명에 따른 도금 장치의 제 24실시예의 도 31a의 31B-31B선을 따라서 취하고 부분적으로 블록 다이어그램 형태로 도시한 부분 단면도.
도 32a는 본 발명에 따른 도금 장치의 제 25실시예의 일부분의 평면도.
도 32b는 본 발명에 따른 도금 장치의 제 25실시예의 도 32a의 32B-32B선을 따라서 취하고 부분적으로 블록 다이어그램 형태로 도시한 부분 단면도.
도 33a는 본 발명에 따른 도금 장치의 제 26실시예의 일부분의 평면도.
도 33b는 본 발명에 따른 도금 장치의 제 26실시예의 도 33a의 33B-33B선을 따라서 취하고 부분적으로 블록 다이어그램 형태로 도시한 부분 단면도.
도 34a 내지 도 34d는 본 발명에 따른 도금 장치의 제 27실시예 내지 제 30실시예의 일부분의 단면도.
도 35는 본 발명에 따른 프로세스로 도금중인 기판의 사시도.
도 36a 내지 도 36d는 본 발명에 따른 도금 장치의 제 31실시예 내지 제 34실시예의 일부분의 평면도.
도 37a 및 도 37b는 본 발명에 따른 도금 장치의 제 35실시예 및 제 36실시예의 일부분의 단면도.
도 38a는 본 발명에 따른 도금 장치의 제 37실시예의 일부분의 평면도.
도 38b는 본 발명에 따른 도금 장치의 제 37실시예의 도 38a의 38B-38B선을 따라서 취하고 부분적으로 블록 다이어그램 형태로 도시한 부분 단면도.
도 39는 본 발명의 도 38a 및 38b 실시예의 작동을 이해하는데 유용한 한 세트의 웨이브형 다이어그램.
도 40a는 본 발명에 따른 도금 장치의 제 38실시예의 일부분의 평면도.
도 40b는 본 발명에 따른 도금 장치의 제 38실시예의 도 40a의 40B-40B선을 따라서 취하고 부분적으로 블록 다이어그램 형태로 도시한 부분 단면도.
도 41a는 본 발명에 따른 도금 장치의 제 39실시예의 일부분의 평면도.
도 41b는 본 발명에 따른 도금 장치의 제 39실시예의 도 41a의 41B-41B선을 따라서 취하고 부분적으로 블록 다이어그램 형태로 도시한 부분 단면도.
도 42a는 본 발명에 따른 도금 장치의 제 40실시예의 일부분의 평면도.
도 42b는 본 발명에 따른 도금 장치의 제 40실시예의 도 42a의 42B-42B선을 따라서 취하고 부분적으로 블록 다이어그램 형태로 도시한 부분 단면도.
도 43 및 도 44는 도 42a 및 도 42b 실시예의 작동을 이해하는데 유용한 웨이브형 다이어그램 세트.
도 45a는 본 발명에 따른 도금 장치의 제 41실시예의 일부분의 평면도.
도 45b는 본 발명에 따른 도금 장치의 제 41실시예의 도 45a의 45B-45B선을 따라서 취하고 부분적으로 블록 다이어그램 형태로 도시한 부분 단면도.
도 46a는 본 발명에 따른 도금 장치의 제 42실시예의 일부분의 평면도.
도 46b는 본 발명에 따른 도금 장치의 제 42실시예의 도 46a의 46B-46B선을 따라서 취하고 부분적으로 블록 다이어그램 형태로 도시한 부분 단면도.
도 47a는 본 발명에 따른 도금 장치의 제 43실시예의 일부분의 평면도.
도 47b는 본 발명에 따른 도금 장치의 제 43실시예의 도 47a의 47B-47B선을 따라서 취하고 부분적으로 블록 다이어그램 형태로 도시한 부분 단면도.
도 48a는 본 발명에 따른 도금 장치의 제 44실시예의 일부분의 평면도.
도 48b는 본 발명에 따른 도금 장치의 제 44실시예의 도 48a의 48B-48B선을 따라서 취하고 부분적으로 블록 다이어그램 형태로 도시한 부분 단면도.
도 49a는 본 발명에 따른 도금 장치의 제 45실시예의 일부분의 평면도.
도 49b는 본 발명에 따른 도금 장치의 제 45실시예의 도 49a의 49B-49B선을 따라서 취하고 부분적으로 블록 다이어그램 형태로 도시한 부분 단면도.
도 50은 본 발명에 따른 도금 장치의 제 46실시예의 부분적으로 블록 다이어그램 형태로 도시한 부분 단면도.
도 51은 본 발명에 따른 도금 장치의 제 47실시예의 부분적으로 블록 다이어그램 형태로 도시한 부분 단면도.
도 52a 내지 도 52c는 본 발명에 따른 도금 시스템의 제 1실시예의 상부도, 단면도 및 측면도.
도 53은 도 52a 내지 도 52c의 도금 시스템을 도시하기 위한 소프트웨어의 일부분의 작동의 플루우 다이어그램.
도 54a 내지 도 54c는 본 발명에 따른 도금 시스템의 제 2실시예의 상부도, 단면도 및 측면도.
도 55 및 도 56은 본 발명에 따른 도금 시스템의 제 3실시예 및 제 4실시예의 상부도.
도 57a 내지 도 57c는 본 발명에 따른 도금 시스템의 상부도, 단면도 및 측면도.
도 58a는 본 발명에 따른 도금 장치의 제 48실시예의 일부분의 평면도.
도 58b는 본 발명에 따른 도금 장치의 제 48실시예의 도 58a의 58B-58B선을 따라서 취하고 부분적으로 블록 다이어그램 형태로 도시한 부분 단면도.
도 59는 도금 동안 도 58a 및 도 58b의 사용에서 전원 온/오프 시퀸스를 보여주는 한 세트의 웨이브형 다이어그램.
도 60a는 본 발명에 따른 도금 장치의 제 49실시예의 일부분의 평면도.
도 60b는 본 발명에 따른 도금 장치의 제 49실시예의 도 60a의 60B-60B선을 따라서 취한 부분 단면도.
도 61은 본 발명에 따른 도금 장치의 제 50실시예의 부분 단면도.
도 62 내지 도 71은 본 발명에 따른 도금 장치의 제 51실시예 내지 제 60실시예의 부분 단면도.
본 발명의 목적은 도금외의 다른 프로세스에 의해 생성된 시드층 없이 배리어층 상에 직접 금속막을 도금하기 위한 방법 및 장치를 제공하는 것이다.
본 발명의 다른 목적은 종래 기술에 사용된 것보다 더 얇은 시드층위에 금속막을 도금하기 위한 방법 및 장치를 제공하는 것이다.
본 발명의 또 다른 목적은 웨이퍼에서의 보다 균일한 두께로 박막을 도금하기 위한 방법 및 장치를 제공하는 것이다.
본 발명의 또 다른 목적은 웨이퍼에서의 보다 균일한 전기 전도성을 가진 도전막을 도금하기 위한 방법 및 장치를 제공하는 것이다.
본 발명의 또 다른 목적은 보다 균일한 막 구조, 입자 크기, 조직 및 방위를 가진 박막을 도금하기 위한 방법 및 장치를 제공하는 것이다.
본 발명의 또 다른 목적은 웨이퍼에서의 개선된 갭 충진 성능을 가진 박막을 도금하기 위한 방법 및 장치를 제공하는 것이다.
본 발명의 또 다른 목적은 집적 회로 IC 칩내의 배선용 금속막을 도금하기 위한 방법 및 장치를 제공하는 것이다.
본 발명의 또 다른 목적은 독립적인 도금 전류 제어와 전해질 흐름 패턴 제어를 가진 방법과 장치로, 박막을 도금하기 위한 방법 및 장치를 제공하는 것이다.
본 발명의 또 다른 목적은 대머신 프로세스(damascene process; 물결무늬 프로세스)용 금속막을 도금하기 위한 방법 및 장치를 제공하는 것이다.
본 발명의 또 다른 목적은 저 불순물 레벨을 가진 금속막을 도금하기 위한 방법 및 장치를 제공하는 것이다.
본 발명의 또 다른 목적은 저 응력과 양호한 접착성을 가진 구리를 도금하기 위한 방법 및 장치를 제공하는 것이다.
본 발명의 또 다른 목적은 낮은 추가된 입자 밀도를 가진 금속막을 도금하기 위한 방법 및 장치를 제공하는 것이다.
본 발명의 또 다른 목적은 소형 풋프린트를 가진 도금 시스템을 제공하는 것이다.
본 발명의 또 다른 목적은 소유자의 저 비용으로 도금 시스템을 제공하는 것이다.
본 발명의 또 다른 목적은 단일 웨이퍼를 한번에 도금하는 도금 시스템을 제공하는 것이다.
본 발명의 또 다른 목적은 인시튜 막 두께 균일성 모니터를 가진 도금 시스템을 제공하는 것이다.
본 발명의 또 다른 목적은 웨이퍼 드라이-인 및 드라이 아웃의 내장형 클리닝 시스템을 가진 도금 시스템을 제공하는 것이다.
본 발명의 또 다른 목적은 높은 웨이퍼 생산량을 가진 도금 시스템을 제공하는 것이다.
본 발명의 또 다른 목적은 300mm 이상의 웨이퍼 크기를 처리할 수 있는 도금 시스템을 제공하는 것이다.
본 발명의 또 다른 목적은 다중 도금 욕 및 클리닝/드라이닝 챔버를 가진 도금 시스템을 제공하는 것이다.
본 발명의 또 다른 목적은 적층 도금 챔버와 클리닝/드라이 챔버 구조를 가진 도금 시스템을 제공하는 것이다.
본 발명의 또 다른 목적은 SMIF(Standard Mechanical Interface), AGV(Automated Guided Vehicle), SEMI SECS/ GEM(SEMI Equipment Communication standard/Generic Equipment Machine)의 자동 특징 요소를 가진 도금 시스템을 제공하는 것이다.
본 발명의 또 다른 목적은 SEMI(Semiconductor Equipment and Materials International)와 유럽 안정 조항을 충족하는 도금 시스템을 제공하는 것이다.
본 발명의 또 다른 목적은 큰 MTBF(mean time between failures), 적은 계획된 다운시간과 큰 장비 가동시간을 가진 높은 생산성의 도금 시스템을 제공하는 것이다.
본 발명의 또 다른 목적은 윈도우 NT 환경하에서 IBM PC와 같은, 표준 작동 시스템을 가진 개인 컴퓨터에 의해 제어되는 도금 시스템을 제공하는 것이다.
본 발명의 또 다른 목적은 터치 스크린과 같은, 그래픽 사용자 인터페이스를 가진 도금 시스템을 제공하는 것이다.
본 발명의 이들 및 관련된 목적 및 장점은 여기서 공지한 새로운 방법과 장치의 사용을 통해서 성취될 수 있다. 본 발명에 따른 기판의 표면상에 소망의 두께로 막을 도금하는 방법은 기판의 제 1부분상에 소망 두께로 막을 도금하는 단계를 포함한다. 그리고 나서 막은 기판의 적어도 제 2부분상에 소망의 두께로 도금되어 기판상에 소망의 두께로 연속적인 막을 형성한다. 하나 이상의 앞선 부분상에 이미 도금된 막에 인접하고 접촉하는 기판 표면의 추가의 부분은 기판의 전체 표면에서 거쳐 연속적인 막을 제공하는 것이 필요할 때 도금된다.
본 발명에 따른 기판상에 막을 도금하기 위한 장치는 도금 전해질과 접촉하기 위해 기판을 위치설정하기 위한 기판 홀더를 포함한다. 장치는 기판에 도금 전류를 공급하기 위한 하나 이상의 양극과 기판에 접촉하는 전해질을 공급하기 위해 연결된 두 개 이상의 흐름 제어기를 가진다. 하나 이상의 양극과 두 개 이상의 흐름 제어기에 결합된 하나 이상의 제어 시스템은 기판의 연속적인 부분들과 조합해서 전해질 및 도금 전류를 제공하며, 기판의 부분들 상에 막을 연속적으로 도금함으로써 기판상에 연속적이고, 균일한 두께 막을 제공한다.
본 발명의 또 다른 양태에서, 본 발명에 따른 기판상에 막을 도금하기 위한 장치는 도금 전해질과 접촉하기 위해서 기판을 위치설정하기 위한 기판 홀더를 포함한다. 장치는 기판에 도금 전류를 공급하기 위한 두 개 이상의 양극과 기판에 접촉하는 전해질을 공급하기 위해 연결된 두 개 이상의 흐름 제어기를 가진다. 두 개 이상의 양극과 두 개 이상의 흐름 제어기에 결합된 하나 이상의 제어 시스템은 기판의 연속적인 부분들과 조합해서 전해질 및 도금 전류를 제공하며, 기판의 부분들 상에 막을 연속적으로 도금함으로써 기판상에 연속적이고, 균일한 두께 막을 제공한다.
본 발명의 추가의 양태에서, 본 발명에 따른 기판상에 막을 도금하기 위한 장치는 도금 전해질과 접촉하기 위해서 기판을 위치설정하기 위한 기판 홀더를 포함한다. 장치는 기판에 도금 전류를 공급하기 위한 하나 이상의 양극과 기판에 접촉하는 전해질을 공급하기 위해 연결된 하나 이상의 흐름 제어기를 가진다. 하나 이상의 흐름 제어기는 3개 이상의 원통형 벽을 포함하며, 상기 원통형 벽중 제 1벽은 제 2벽보다 기판에 상향으로 더 가깝게 연장하는 기판의 중심부 아래에 위치설정되고 원통형 벽중 제 2벽은 중심부 둘레의 기판의 제 2부분 아래에 위치설정되어 있다. 구동 기구는 기판 홀더를 상하로 구동하여 전해질에 접촉하는 기판의 하나 이상 부분을 제어하도록 기판 홀더에 결합되어 있다. 하나 이상의 양극과 하나 이상의 흐름 제어기에 결합된 하나 이상의 제어 시스템은 기판의 연속적인 부분들과 조합해서 전해질 및 도금 전류를 제공하며, 기판의 부분들상에 막을 연속적으로 도금함으로써 기판상에 연속적이고, 균일한 두께 막을 제공한다.
본 발명의 또 다른 양태에서, 본 발명에 따른 기판상에 막을 도금하기 위한 장치는 도금 전해질과 접촉하기 위해서 기판을 위치설정하기 위한 기판 홀더를 포함한다. 장치는 기판에 도금 전류를 공급하기 위한 하나 이상의 양극과 기판에 접촉하는 전해질을 공급하기 위해 연결된 하나 이상의 흐름 제어기를 가진다. 하나 이상의 흐름 제어기는 기판을 향해 상향으로 그리고 기판으로부터 멀리 하향으로 가동 3개 이상의 원통형 벽을 포함하며, 기판과 각 원통형 벽사이의 갭을 조정하여 전해질에 접촉하는 기판의 하나 이상의 부분을 제어한다. 구동 기구는 기판 홀더를 상하로 구동하여 전해질에 접촉하는 기판의 하나 이상 부분을 제어하도록 기판 홀더에 결합되어 있다. 하나 이상의 양극과 하나 이상의 흐름 제어기에 결합된 하나 이상의 제어 시스템은 기판의 연속적인 부분들과 조합해서 전해질 및 도금 전류를 제공하며, 기판의 부분들상에 막을 연속적으로 도금함으로써 기판상에 연속적이고, 균일한 두께 막을 제공한다.
본 발명의 또 다른 양태에서, 기판상에 막을 도금하기 위한 장치는 전해질 바디내에 기판을 위치설정하기 위한 기판 홀더를 포함한다. 하나 이상의 가동 제트 양극은 도금 전류와 전해질을 기판에 공급한다. 가동 제트 양극은 기판 표면에 평행한 방향으로 이동가능하다. 흐름 제어기는 가동 제트 양극을 통해서 흐르는 전해질을 제어한다. 가동 제트 양극과 흐름 제어기에 결합된 하나 이상의 제어 시스템은 기판의 연속적인 부분들과 조합해서 전해질 및 도금 전류를 제공하며, 기판의 부분들상에 막을 연속적으로 도금함으로써 기판상에 연속적이고, 균일한 두께 막을 제공한다.
본 발명의 또 다른 양태에서, 기판상에 막을 도금하기 위한 장치는 도금 전해질 표면위에 기판을 위치설정하기 위한 기판 홀더를 포함한다. 제 1구동 기구는 기판 홀더를 전해질 표면을 향해 그리고 멀리 이동하여 전해질에 접촉하는 기판의 표면의 일부분을 제어하도록 기판 홀더에 결합되어 있다. 전해질용 욕(bath)은 욕내에 장착된 하나 이상의 양극을 가진다. 제 2구동 기구는 수직축 둘레로 욕을 회전하여 전해질 표면의 거의 포물선 형상을 형성하도록 욕에 결합되어 있다 . 제 1, 2 구동 기구와 하나 이상의 양극에 결합된 하나 이상의 제어 시스템은 기판의 연속적인 부분들과 조합해서 전해질 및 도금 전류를 제공하며, 기판의 부분들상에 막을 연속적으로 도금함으로써 기판상에 연속적이고, 균일한 두께 막을 제공한다.
본 발명의 또 다른 양태에서, 기판상에 막을 도금하기 위한 장치는 도금 전해질 표면위에 기판을 위치설정하기 위한 기판 홀더를 포함한다. 제 1구동 기구는 기판 홀더를 전해질 표면을 향해 그리고 멀리 이동하여 전해질에 접촉하는 기판의 표면의 일부분을 제어하도록 기판 홀더에 결합되어 있다. 제 2구동 기구는 기판의 표면에 수직인 축선 둘레로 기판 홀더를 회전하도록 기판 홀더에 결합되어 있다. 제 3구동 기구는 전해질 표면에 대해서 기판 홀더를 경사지도록 기판 홀더에 결합되어 있다. 전해질용 욕은 욕내에 장착된 하나 이상의 양극을 가진다. 제 1, 2 및 3 구동 기구와 하나 이상의 양극에 결합된 하나 이상의 제어 시스템은 기판의 연속적인 부분들과 조합해서 전해질 및 도금 전류를 제공하며, 기판의 부분들상에 막을 연속적으로 도금함으로써 기판상에 연속적이고, 균일한 두께 막을 제공한다.
본 발명의 또 다른 양태에서, 기판의 표면상에 소망의 두께로 막을 도금하기 위한 방법은 다수의 적층 도금 모듈과 기판 전달 기구를 제공하는 단계를 포함한다. 기판은 기판 전달 기구로 기판 홀더로부터 집혀진다. 기판은 기판 전달 기구로 적층 도금 모듈중 제 1모듈로 장착된다. 막은 적층 도금 모듈중 제 1모듈내에서 기판상에 도금된다. 기판은 기판 전달 기구로 기판 홀더에 복귀된다.
본 발명의 또 다른 양태에서, 기판상에 막을 도금하기 위한 자동화 툴은 적층된 관계로 위치설정된 두 개이상의 도금 욕, 하나 이상의 기판 홀더와 기판 전달 기구를 포함한다. 프레임은 도금 욕, 기판 홀더와 기판 전달 기구를 지지한다. 제어 시스템은 다수의 기판상에 균일한 막 증착을 연속적으로 수행하도록 기판 전달 기구, 기판 홀더와 도금 욕에 결합되어 있다.
방법 1: 웨이퍼 표면의 일부분이 전해질(정적인 양극)에 접촉
본 발명의 상술 및 다른 목적은 추가로 상부에 배리어층을 가진 기판상에 직접 박막을 도금하는 방법에 의해 달성된다. 이 방법은 1) 상부에 배리어층을 가진 기판 표면의 일부분상에 전해질을 흘려보내는 단계와; 2) 박막 두께가 미리정한 값에 도달할 때까지 기판의 동일한 부분 영역상에 금속막을 도금하도록 DC 또는 펄스 전력 턴온하는 단계와; 3) 기판의 추가 부분에 대해서 전해질을 흘려보냄으로써 기판의 동일한 추가 부분에 대해서 단계 1) 및 2)를 반복하는 단계와; 4) 전체 기판 표면이 얇은 시드층으로 도금될 때까지 단계 3)을 반복하는 단계와; 5) 기판의 전체 영역에 전해질을 흘려보내는 단계와; 6) 모든 양극에 양극 전위를 가하도록 전력을 공급하여 막두께가 소망 두께 값에 도달 할 때까지 박막을 도금하는 단계를 포함한다.
방법 2: 전체 웨이퍼 표면이 전해질(정적인 양극)에 접촉
본 발명의 다른 양태에서, 상부에 배리어층을 가진 기판상에 직접 박막을 도금하는 다른 방법이 제공되어 있다. 이 방법은 1) 기판 전체 표면상에 전해질을 흘려보내는 단계와; 2) 기판의 일부분상의 도금된 막 두께가 미리정한 값에 도달할 때까지 웨이퍼 표면의 상기 부분에 가까운 양극에 양의 전위를 가하고 기판 표면의 나머지 부분에 가까운 모든 다른 양극에 음의 전위를 가함으로써 상기 부분상에만 박막을 도금하는 단계와; 3) 기판의 추가 부분에 대해서 단계 2)를 반복하는 단계와; 4) 기판의 전체 영역이 얇은 시드층으로 도금될 때까지 단계 3)를 반복하는 단계와; 5) 기판 표면의 전체 영역상에 막의 두께가 미리정한 두께 값에 도달 할 때까지 모든 양극에 양극 전위를 가함으로써 동시에 기판의 전체 영역상에 박막을 도금하는 단계를 포함한다.
방법 3: 초기에 전체 웨이퍼 표면이 전해질(정적인 양극)에 접촉 그리고 나서 도금되어진 웨이퍼의 일부분이 전해질로부터 이동
본 발명의 다른 양태에서, 상부에 배리어층을 가진 기판상에 직접 박막을 도금하는 다른 방법이 제공되어 있다. 이 방법은 1) 기판 전체 표면상에 전해질을 흘려보내는 단계와; 2) 기판의 일부분상의 도금된 막 두께가 미리정한 값에 도달할 때까지 웨이퍼 표면의 상기 부분에 가까운 양극에 양의 전위를 가하고 기판 표면의 나머지 부분에 가까운 모든 다른 양극에 음의 전위를 가함으로써 상기 부분상에만 박막을 도금하는 단계와; 3) 기판의 모든 도금된 부분과 접촉으로 전해질만 이동해서 기판의 비도금된 부분의 나머지 부분에 여전히 전해질이 접촉하도록 유지하는 단계와; 4) 기판의 다음 부분에 대해서 단계 2)와 3)를 반복하는 단계와; 5) 기판의 전체 영역이 얇은 시드층으로 도금될 때까지 단계 4)를 반복하는 단계와; 6) 기판 표면의 전체 영역상에 막의 두께가 미리정한 두께 값에 도달 할 때까지 모든 양극에 양극 전위를 가해서 기판의 전체 표면상에 전해질을 흘려보냄으로써 동시에 전체 기판상에 박막을 도금하는 단계를 포함한다.
방법 4: 초기에 기판의 일부분이 전해질(정적인 양극)에 접촉 그리고 나서 기판의 도금된 부분과 다음 부분이 전해질에 접촉
본 발명의 다른 양태에서, 상부에 배리어층을 가진 기판상에 직접 박막을 도금하는 다른 방법이 제공되어 있다. 이 방법은 1) 기판 표면의 제 1부분상에 전해질을 흘려보내는 단계와; 2) 기판의 제 1부분상의 도금된 막 두께가 미리정한 값에 도달할 때까지 기판 표면의 상기 제 1부분에 가까운 양극에 양의 전위를 가함으로써 상기 제 1부분상에만 박막을 도금하는 단계와; 3) 기판의 제 1부분과 접촉하도록 전해질을 이동하고 동시에 기판 표면의 제 1부분에 여전히 전해질이 접촉하도록 유지하는 단계와; 4) 기판 표면의 상기 제 2부분에 가까운 양극에 양의 전위를 가하고 기판 표면의 상기 제 1부분에 가까운 모든 다른 양극에 음의 전위를 가함으로써 기판 표면의 상기 제 2부분상에만 박막을 도금하는 단계와; 5) 기판 표면의 제 3부분을 도금하기 위해서 단계 3)와 4)를 반복하는 단계와; 6) 기판 표면의 전체 영역이 얇은 시드층으로 도금될 때까지 단계 4)를 반복하는 단계와; 7) 기판의 전체 표면상의 막의 두께가 미리정한 두께 값에 도달 할 때까지 모든 양극에 양극 전위를 가하고 기판의 전체 표면상에 전해질을 흘려보냄으로써 동시에 전체 웨이퍼상에 박막을 도금하는 단계를 포함한다.
방법 5: 기판 표면의 일부분이 시드층 도금전용 전해질(가동 양극)에 접촉
본 발명의 또 다른 양태에서, 상부에 배리어층을 가진 기판상에 직접 박막을 도금하는 다른 방법이 있다. 이 방법은 1) 가동 제트 양극을 통해서 상부에 배리어층을 가진 기판 표면의 일부분상에 전해질을 흘려보내는 단계와; 2) 막 두께가 미리정한 값에 도달할 때까지 기판의 상기 부분상에 금속막을 도금하도록 DC 또는 펄스 전력 턴온하는 단계와; 3) 기판의 추가 부분에 가깝게 가동 제트 양극을 이동함으로써 기판의 추가 부분에 대해서 단계 1) 및 2)를 반복하는 단계와; 4) 기판의 전체 영역이 얇은 시드층으로 도금될 때까지 단계 3)을 반복하는 단계를 포함한다.
방법 6: 전체 기판 표면이 시드층 도금전용 전해질(가동 양극)에 접촉
본 발명의 또 다른 양태에서, 상부에 배리어층을 가진 기판상에 직접 박막을 도금하는 다른 방법이 있다. 이 방법은 1) 기판의 전체 표면을 전해질로 함침하는 단계와; 2) 기판 표면의 제 1부분에 가까운 가동 양극상에 양의 전위를 가함으로써 기판 표면의 상기 제 1부분상에만 박막을 도금하는 단계와; 3) 기판의 추가 부분에 가깝게 가동 양극을 이동함으로써 기판의 추가 부분에 대해 단계 2)를 반복하는 단계와; 4) 기판의 전체 영역이 얇은 시드층으로 도금될 때까지 단계 3)을 반복하는 단계를 포함한다.
장치 1: LMFC(Multiple Liquid Flow Mass Controllers; 다중 액체 플루우 매스 제어기) 및 다중 전원(Multiple Power supplies)
본 발명의 또 다른 양태에서, 상부에 배리어층을 가진 기판상에 직접 박막을 도금하기 위한 장치가 있다. 이 장치는 전해질 표면위에 기판을 유지하기 위한 기판 홀더, 절연 원통형 벽에 의해 분리되어진 두 개 이상의 양극, 기판의 일부분에 닿도록 두 원통형 벽 사이의 공간을 통해서 흐르는 전해질을 제어하기 위한 개별 액체 플루우 매스 제어기와, 각 양극과 음극 또는 기판사이에 전위를 발생하는 개별 전원을 포함하며, 기판 표면의 상기 부분은 기판의 상기 부분에 대응해서 액체 플루우 제어기와 전원이 동시에 턴온될 때만 도금될 것이다.
장치 2: 하나의 공통 LMFC 및 다중 전원
본 발명의 또 다른 양태에서, 상부에 배리어층을 가진 기판상에 직접 박막을 도금하기 위한 다른 장치가 있다. 이 장치는 전해질 표면위에 기판을 유지하는 기판 척, 전해질과 접촉하는 표면 영역의 부분을 제어하도록 기판 홀더를 상하로 구동하는 모터, 기판의 방사외향을 따라서 감소되어진 높이를 가진 두 개의 절연 원통 벽에 의해 각각 분리되어 있는 두 개 이상의 양극, 기판 표면에 도달하도록 각 인접한 원통형 벽사이의 공간을 통해서 흐르는 전해질을 제어하기 위한 하나의 공통 액체 플루어 매스 제어기를 포함하며, 기판 표면의 일부분은 기판의 상기 부분에 가까운 양극이 양의 전위이고 나머지 양극이 음의 전위이고 기판의 상기 부분이 동시에 전해질에 의해 접촉될 때만 도금된다. 도금 두께가 시드층 설정값에 도달한 후, 기판은 도금된 부분이 전해질로부터 멀어지도록 위로 이동된다. 이것은 기판의 다른 부분이 도금될 때 추가의 도금 또는 에칭을 필요 없게 할 것이다.
장치 3: 다중 LMFC 및 하나의 공통 전원(Multiple Power supplies)
본 발명의 또 다른 양태에서, 상부에 배리어층을 가진 기판상에 직접 박막을 도금하기 위한 다른 장치가 있다. 이 장치는 전해질 표면위에 기판을 유지하기 위한 기판 홀더, 두 개의 절연 원통형 벽에 의해 분리되어진 두 개 이상의 양극, 기판의 일부분에 닿도록 두 원통형 벽사이의 공간을 통해서 흐르는 전해질을 제어하기 위한 개별 액체 플루우 매스 제어기와, 각 양극과 음극 또는 기판사이에 전위를 발생하는 하나의 공통 전원을 포함하며, 기판 표면의 일부분은 액체 매스 플루우 제어기와 전원이 동시에 턴온될 때만 도금된다.
장치 4: 하나의 공통 LMFC 및 하나의 공통 전원
본 발명의 또 다른 양태에서, 상부에 배리어층을 가진 기판상에 직접 박막을 도금하기 위한 다른 장치가 있다. 이 장치는 전해질 표면위에 기판을 유지하는 기판 홀더, 원통형 벽의 상부와 기판사이의 갭을 조정함으로써 상기 벽에 인접한 기판의 일부분에 접촉하는 전해질을 제어하도록 상하로 이동할 수 있는 두 개의 절연 벽에 의해 분리되어 있는 두 개 이상의 양극, 두 개의 원통형 벽사이의 공간을 통해서 흐르는 전해질을 제어하기 위한 하나 액체 플루어 매스 제어기와 모든 양극과 음극 또는 기판사이의 전위를 발생하는 하나의 전원을 포함하며, 기판 표면의 일부분은 전해질이 기판의 상기 부분에 닿고 동시에 전원이 턴온되도록 기판 표면의 상기 부분 아래의 원통형 벽이 상향으로 이동될 때만 도금될 것이다.
장치 5: 전해질내에 함침되지 않은 기판을 가진 가동 양극
본 발명의 또 다른 양태에서, 상부에 배리어층을 가진 기판상에 직접 박막을 도금하기 위한 다른 장치가 있다. 이 장치는 전해질 표면위에 기판을 유지하는 기판 홀더, 기판 아래에 가깝게 놓여진 가동 양극 제트와, 상기 가동 양극 제트와 음극 또는 기판사이의 전위를 발생하는 하나의 전원을 포함하며, 상기 가동 양극 제트는 기판 표면을 향해서 이동될 수 있으므로서, 상기 양극 제트로부터의 전해질은 기판의 어떠한 부분에도 닿도록 제어되며, 기판 표면의 일부분은 표면의 상기 부분이 상기 가동 양극 제트로부터 방출된 전해질에 의해 접촉될 때만 도금된다.
장치 6: 전해질내에 함침되지 않은 기판을 가진 가동 양극
본 발명의 또 다른 양태에서, 상부에 배리어층을 가진 기판상에 직접 박막을 도금하기 위한 다른 장치가 있다. 이 장치는 전해질내에 함침되어진 기판을 유지하는 기판 홀더, 기판에 인접한 가동 양극 제트와, 상기 가동 양극 제트와 음극 또는 기판사이의 전위를 발생하는 하나의 전원을 포함하며, 상기 가동 양극 제트는 기판 표면을 향해서 이동될 수 있으므로서, 상기 양극 제트로부터의 도금 전류는 기판의 어떠한 부분에도 갈수 있도록 제어되며, 기판 표면의 일부분은 표면의 상기 부분이 상기 가동 양극 제트에 가깝게 될 때만 도금된다.
방법 7: 완전 자동 도금 툴을 통해 기판에 금속막 도금
본 발명의 또 다른 양태에서, 완전 자동 도금 툴을 통해 기판에 박막을 도금하기 위한 방법을 제공하고 있다. 이 방법은 1) 로봇으로 카세트로부터 웨이퍼를 집어 하나의 적층 도금 욕에 보내는 단계와; 2) 상기 웨이퍼상에 금속막을 도금하는 단계와; 3) 도금 완성 후, 로봇으로 상기 적층 도금 욕으로부터 도금된 웨이퍼를 집어 하나의 적층 클리닝/드라이닝 챔버로 운송하는 단계와; 4) 상기 도금된 웨이퍼를 클리닝하는 단계와; 5) 상기 도금된 웨이퍼를 드라이닝하는 단계와; 6) 로봇으로 드라이닝된 웨이퍼 상기 적층 클리닝/드라이닝 챔버로부터 집어 카세트로 운송하는 단계를 포함한다.
장치 7: 기판상에 금속막을 도금하기 위한 완전 자동 툴
본 발명의 또 다른 양태에서, 기판상에 금속막을 도금하기 위한 완전 자동화 툴을 제공하고 있다. 이 장치는 웨이퍼를 운송하는 로봇, 웨이퍼 카세트, 다중 적층 도금 욕, 다중 적층 클리닝/드라이닝 욕, 전해질 탱크와, 제어 밸브, 필터, 액체 매스 플루우 제어기 및 플럼빙을 유지하는 플럼빙 박스(plumbing box)를 포함한다. 완전 자동화 툴은 컴퓨터와 자동화 툴의 다른 요소사이에 결합된 제어 하드웨어와 컴퓨터 상에 존재하는 작동 시스템 제어 소프트웨어 패키지를 포함한다.
방법 8: 얇은 층 도금--웨이퍼 표면의 일부분이 전해질에 접촉되고 그리고 나서 웨이퍼의 도금된 부분과 다음 부분 모두가 전해질에 의해 접촉되어 금속에 의해 도금
본 발명 또 다른 양태에서, 상부에 배리어층 또는 얇은 시드층을 가진 기판상에 직접 박막을 도금하는 다른 방법이 제공되어 있다. 이 방법은 1) DC 또는 펄스 파워을 턴온시키는 단계와; 기판 표면의 제 1부분을 전해질에 접촉시켜서, 금속막을 기판의 상기 제 1부분에 도금시키는 단계와; 3) 금속막 두께가 미리정한 값에 도달 할 때, 기판의 하나 이상의 추가 부분을 전해질에 접촉시킴으로써 기판의 하나 이상의 추가 부분에 대해서 단계 1) 및 2)를 반복하며, 동시에 기판의 상기 제 1부분과 상기 기판의 하나 이상의 추가 부분의 약간의 이전부분을 연속적으로 도금하는 단계와; 4) 기판의 전체 영역이 얇은 시드층으로 도금될 때까지 단계 3)을 반복하는 단계를 포함한다.
방법 9: 얇은 층 그 다음 두꺼운 층 도금--웨이퍼 표면의 일부분이 전해질에 접촉되고 그리고 나서 웨이퍼의 도금된 부분과 다음 부분 모두가 전해질에 의해 접촉되어 금속에 의해 도금
본 발명 또 다른 양태에서, 상부에 배리어층 또는 얇은 시드층을 가진 기판상에 직접 박막을 도금하는 다른 방법이 제공되어 있다. 이 방법은 1) DC 또는 펄스 파워을 턴온시키는 단계와; 기판 표면의 제 1부분을 전해질에 접촉시켜서, 금속막을 기판의 상기 제 1부분에 도금시키는 단계와; 3) 금속막 두께가 미리정한 값에 도달 할 때, 기판의 하나 이상의 추가 부분을 전해질에 접촉시킴으로써 기판의 하나 이상의 추가 부분에 대해서 단계 1) 및 2)를 반복하며, 동시에 기판의 상기 제 1부분과 상기 기판의 하나 이상의 추가 부분의 약간의 이전부분을 연속적으로 도금하는 단계와; 4) 기판의 모든 영역이 얇은 시드층으로 도금될 때까지 단계 3)을 반복하는 단계와; 5) 기판의 모든 부분을 전해질과 접촉시키는 단계와; 6) 막 두께가 소망의 두께 값에 도달할 때까지 막을 도금하도록 기판의 모든 부분에 인접한 양극에 양의 전위를 가하는 단계를 포함한다.
방법 10: 얇은 층 도금--초기에 웨이퍼 표면의 제 1부분이 전해질에 접촉되고 그리고 나서 웨이퍼의 상기 제 1부분과 제 2부분 양자가 전해질에 의해 접촉되지만, 웨이퍼의 상기 제 2부분만이 도금
본 발명 또 다른 양태에서, 상부에 배리어층 또는 얇은 시드층을 가진 기판상에 직접 박막을 도금하는 다른 방법이 제공되어 있다. 이 방법은 1) 기판 표면의 제 1부분에 가까운 제 1양극상에 양의 전위를 가하는 단계와; 2) 상기 기판 표면의 제 1부분을 전해질과 접촉하는 단계와; 3) 상기 기판 표면의 제 1부분상의 막 두께가 미리정한 값에 도달할 때, 상기 기판 표면의 제 1부분을 전해질과 접촉한 상태를 유지하면서 추가로 상기 기판 표면의 제 2부분을 접촉하는 단계와; 4) 상기 기판 표면의 제 2부분에 가까운 제 2양극상에 양의 전위를 가함으로써 상기 기판 표면의 제 2부분상에만 막을 도금하고, 상기 기판 표면의 제 1부분이 도금되지 않고 또한 디플레이팅(deplating)되지 않도록 상기 기판 표면의 제 1부분에 가까운 상기 제 1양극에 충분한 양의 전위를 가하는 단계와; 5) 상기 기판 표면의 제 1부분과 제 2부분의 디플레이팅을 피하면서 기판의 제 3부분을 도금하기 위해서 단계 3) 및 4)를 반복하는 단계와; 6) 상기 기판 표면의 전체 영역이 얇은 시드층으로 도금될 때까지 상기 기판 표면의 연속적인 영역에 대해서(위해서) 단계 4)를 반복하는 단계를 포함한다.
방법 11: 얇은 층 그 다음 두꺼운 층 도금--초기에 웨이퍼 표면의 일부분이 전해질에 접촉되고 그리고 나서 웨이퍼의 도금된 부분과 다음 부분 양자가 전해질에 의해 접촉되지만, 웨이퍼의 상기 다음 부분만이 도금
본 발명 또 다른 양태에서, 상부에 배리어층 또는 얇은 시드층을 가진 기판상에 직접 박막을 도금하는 다른 방법이 제공되어 있다. 이 방법은 1) 기판 영역의 제 1부분을 전해질과 접촉하는 단계와; 2) 상기 기판 표면의 제 1부분상의 막 두께가 미리정한 값에 도달할 때까지, 상기 기판 표면의 제 1부분에 가까운 제 1양극상에 양의 전위를 가함으로써 상기 기판 표면의 제 1부분상에만 박막을 도금하는 단계와; 3) 상기 기판 표면의 제 1부분을 전해질과 접촉한 상태를 유지하면서 추가로 상기 기판 표면의 제 2부분을 접촉하는 단계와; 4) 상기 기판 표면의 제 2부분에 가까운 제 2양극상에 양의 전위를 가함으로써 상기 기판 표면의 제 2부분상에만 막을 도금하고, 상기 기판 표면의 제 1부분이 도금되지 않고 또한 디플레이팅(deplating)되지 않도록 상기 기판 표면의 제 1부분에 가까운 상기 제 1양극에 충분한 양의 전위를 가하는 단계와; 5) 상기 기판 표면의 제 1부분과 제 2부분의 디플레이팅을 피하면서 기판의 제 3부분을 도금하기 위해서 단계 3) 및 4)를 반복하는 단계와; 6) 상기 기판 표면의 전체 영역이 얇은 시드층으로 도금될 때까지 단계 4)를 반복하는 단계와; 모든 양극에 양의 전위를 가함으로써 동시에 전체 웨이퍼상에 추가의 박막을 도금하여 전체 기판 표면상에 상기 추가의 막의 두께가 소망의 두께 값에 도달할 때까지 상기 기판 표면의 전체 영역을 접촉하는 단계를 포함한다.
장치 8: 전해질(단일 양극)의 포물선 형상을 형성하도록 도금 욕을 회전
본 발명의 다른 양태에서, 상부에 배리어층을 가진 기판상에 직접 막을 도금하는 다른 장치가 제공되어 있다. 이 장치는 전해질 표면위에 기판을 유지하는 기판 척, 전해질과 접촉하는 표면 영역의 부분을 제어하도록 기판 홀더를 상하로 구동하는 모터, 함침된 양극을 가진 욕, 기판과 접촉하도록 전해질 흐름을 제어하기 위한 액체 매스 플루어 제어기와, 양극과 음극 또는 기판사이의 전위를 발생하는 전원, 전해질 표면이 포물선 형상을 형성하도록 하는 속도로 중심 축선둘레로 회전하도록 도금 욕을 구동하는 다른 모터를 포함하며, 상기 기판 표면의 일부분은 액체 매스 플루우 제어기와 전원이 동시에 턴온될 때만 도금된다. 도금 두께가 예정된 값의 시드층에 도달한 후, 기판은 아래로 이동되므로 기판의 다음 부분은 전해질과 접촉되고 도금된다.
장치 9: 전해질(다중 양극)의 포물선 형상을 형성하도록 도금 욕을 회전
본 발명의 다른 양태에서, 상부에 배리어층을 가진 기판상에 직접 막을 도금하는 다른 장치가 제공되어 있다. 이 장치는 전해질 표면위에 기판을 유지하는 기판 척, 전해질과 접촉하는 표면 영역의 부분을 제어하도록 기판 홀더를 상하로 구동하는 모터, 각각이 두 개의 절연 원통형 벽에 의해 분리되어 있는 두 개 이상의 양극, 기판의 일부분에 접촉하도록 상기 두 개의 원통형 벽사이의 공간을 통해서 흐르는 전해질을 제어하기 위한 개별 액체 매스 플루우 제어기, 각 양극과 음극 또는 기판사이의 전위를 발생하는 개별 전원들과 전해질 표면이 포물선 형상을 형성하도록 하는 속도로 중심 축선둘레로 회전하도록 도금 욕을 구동하는 다른 모터를 포함하며, 상기 기판 표면의 일부분은 기판의 상기 부분에 가까운 양극이 강하게 작용하고 뿐만 아니라 기판의 상기 부분이 동시에 전해질에 의해 접촉될 때만 도금될 것이다. 도금 두께가 예정된 값에 도달한 후, 기판은 아래로 이동되므로 기판의 다음 부분은 전해질과 접촉되고 도금된다.
장치 10: y-축선 또는 x-축선 둘레로 웨이퍼 홀더 기울림
본 발명의 다른 양태에서, 상부에 배리어층을 가진 기판상에 직접 막을 도금하는 다른 장치가 제공되어 있다. 이 장치는 전해질 표면위에 기판을 유지하며, z-축선 둘레로 회전가능하며 또한 y-축선 또는 x-축선 둘레로 기울어질 수 있는 기판 척, 하나의 양극, 기판에 접촉하도록 전해질을 제어하기 위한 액체 매스 플루우 제어기, 양극과 음극 또는 기판사이의 전위를 발생하는 전원을 포함하며, 상기 기판 표면의 주변 부분은 기판 척이 y-축선 또는 x-축선 둘레로 기울어지고 z-축선 둘레로 회전되어, 기판의 주변 부분이 전해질에 접촉되고 액체 매스 플루우 제어기와 전원이 동시에 턴온될 때만이 도금될 것이다.
장치 11: 웨이퍼 홀더의 기울림 회전 축선
본 발명의 다른 양태에서, 상부에 배리어층을 가진 기판상에 직접 막을 도금하는 다른 장치가 제공되어 있다. 이 장치는 전해질 표면위에 기판을 유지하며, z-축선 둘레로 회전가능하며 또한 y-축선 또는 x-축선 둘레로 기울어질 수 있는 기판 척, 각각 두 개의 절연 원통형 벽에 분리되어 있는 2개 이상의 양극, 기판의 일부분에 접촉하도록 두 개의 절연 원통형 벽사이의 공간을 통해서 흐르는 전해질을 제어하기 위한 액체 매스 플루우 제어기, 각 양극과 음극 또는 기판사이의 전위를 발생하는 전원을 포함하며, 상기 기판 표면의 주변 부분은 기판 척이 y-축선 또는 x-축선 둘레로 기울어지고 z-축선 둘레로 회전되어, 기판의 주변 부분이 전해질에 접촉되고 액체 매스 플루우 제어기와 전원이 동시에 턴온될 때만이 도금될 것이다.
장치 12: 전해질의 포물선 형상을 형성하도록 도금 욕 회전 및 y-축선 또는 x-축선 둘레로 웨이퍼 홀더 기울림(단일 양극)
본 발명의 다른 양태에서, 상부에 배리어층을 가진 기판상에 직접 막을 도금하는 다른 장치가 제공되어 있다. 이 장치는 전해질 표면위에 기판을 유지하며, z-축선 둘레로 회전가능하며 또한 y-축선 또는 x-축선 둘레로 기울어질 수 있는 기판 척, 전해질과 접촉하는 표면 영역의 부분을 제어하도록 기판 홀더를 상하로 구동하는 모터, 하나의 양극, 기판에 접촉하도록 전해질을 제어하기 위한 액체 매스 플루우 제어기, 양극과 음극 또는 기판사이의 전위를 발생하는 전원과 전해질 표면이 포물선 형상을 형성하도록 하는 속도로 중심 축선 둘레로 회전하도록 도금 욕을 구동하는 다른 모터를 포함하며, 상기 기판 표면의 주변 부분은 기판 척이 y-축선 또는 x-축선 둘레로 기울어지고 z-축선 둘레로 회전되어, 기판의 주변 부분이 전해질에 접촉되고 액체 매스 플루우 제어기와 전원이 동시에 턴온될 때만이 도금될 것이다.
장치 13: 전해질의 포물선 형상을 형성하도록 도금 욕 회전 및 y-축선 또는 x-축선 둘레로 웨이퍼 홀더 기울림(다중 양극)
본 발명의 다른 양태에서, 상부에 배리어층을 가진 기판상에 직접 막을 도금하는 다른 장치가 제공되어 있다. 이 장치는 전해질 표면위에 기판을 유지하며, z-축선 둘레로 회전가능하며 또한 y-축선 또는 x-축선 둘레로 기울어질 수 있는 기판 척, 전해질과 접촉하는 표면 영역의 부분을 제어하도록 기판 홀더를 상하로 구동하는 모터, 각각이 에지에서보다 중심에서 기판에 보다 가까운 두 개의 절연 원통형 벽에 의해 분리되어 있는 두 개 이상의 양극, 기판의 일부분에 접촉하도록 상기 두 개의 원통형 벽사이의 공간을 통해서 흐르는 전해질을 제어하기 위한 개별 액체 매스 플루우 제어기, 각 양극과 음극 또는 기판사이의 전위를 발생하는 개별 전원들과 전해질 표면이 포물선 형상을 형성하도록 하는 속도로 중심 축선 둘레로 회전하도록 도금 욕을 구동하는 다른 모터를 포함하며, 상기 기판 표면의 일부분은 기판의 상기 부분에 가까운 양극이 강하게 작용하고 뿐만 아니라 기판의 상기 부분이 동시에 전해질에 의해 접촉될 때만 도금될 것이다. 도금 두께가 예정된 값에 도달한 후, 기판은 아래로 이동되므로 기판의 다음 부분은 전해질과 접촉되고 도금된다.
도금외의 다른 프로세스에 의해 생성된 시드층을 사용하지 않고 금속막을 도금하기 위한 본 발명의 중심 아이디어는 배리어층이 통상적으로 구리 금속막보다 100배 더 저항성을 가지기 때문에, 배리어층에 전류 로드를 감소하는 시간에 웨이퍼의 한 부분을 도금하는 것이다. 상세하게, 아래의 이론적 분석을 참조하여 주기 바란다.
본 발명의 상술 및 관련 목적, 장점 및 특징은 당업자라면 첨부도면을 참고로 아래의 상세한 설명을 보면, 보다 쉽게 이해할 수 있을 것이다.
도 1a 및 도 1b를 참조하면, 본 발명을 이해하는데 유용한 종래 도금 장치의 일부분이 도시되어 있다.
종래 도금 동안 웨이퍼의 중앙과 에지사이의 전위차의 이론적 계산
도 1a는 종래의 파운데인형 도금 툴과 얇은 배리어층(400)을 가진 반도체 웨이퍼(31)의 단면도를 도시한다. 아래의 이론적 계산은 정상 도금 동안 웨이퍼의 중앙과 주변사이의 전위차를 결정하기 위한 것이다. 전체 웨이퍼 표면상에서 도금 전류 밀도가 동일하다고 가정하면, 전위차는 다음 식에 의해 계산될 수 있다.
여기서, r은 반경(cm)이고, ro는 웨이퍼의 반경(cm)이고, Io는 웨이퍼로 흐르는 전체 도금 전류(Amp.)이고, ρs는 배리어층의 시이트 저항(Ω/스퀘어)이다.
원자 반경 = 3Å으로 가정하면, 그 다음 표면 밀도가 1E15 원자/cm2임을 계산할 수 있다. 웨이퍼로 흐르는 전류 밀도는 다음과 같이 표시된다.
여기서, ID는 도금 전류 밀도(A/cm2)이고, q는 전하량(C)이고, P.R.는 도금 속도(Å/min)이고, Datom는 원자 직경이다. 식 2에 P.R. =2000Å/min, q = 1.82E-19C, Datom=3Å를 대입하면,
200mm 웨이퍼로 흐르는 전체 전류는,
시트 저항은 막의 두께와 막을 증착하는 방법에 좌우한다. 200Å의 두께이고 정상 PVD 또는 CVD 방법에 의해 증착된 시이트 저항은 100 내지 300Ω/스퀘어 범위이다. 위에서 Io=1.13Amp, ρs=100 내지 300Ω/스퀘어, r=0, ro=10cm를 식(1)에 대입하면, 웨이퍼의 중앙과 주변(에지)사이의 전위차는,
V = 8.96 내지 26.9 볼트 (5)
산성 Cu도금에서의 정상 도금 전압은 2 내지 4볼트 범위이다. 분명히 이런 전위차는 종래 도금 툴에 의해서 배리어층상에 직접 도금하는 것을 불가능하게 만든다. 금속이 여전히 그 이상의 전압을 사용해서 웨이퍼의 중앙부에 도금될 수 있을 지라도, H+이온의 대부분의 량은 웨이퍼 주변에서 금속 이온과 함께 빠지게 되며, 이것은 금속막의 품질을 나쁘게 한다. 반도체 배선에 적용함에 있어서, 도금된 구리 막은 매우 큰 저항과 나쁜 조직을 가질 것이다.
본 발명의 도금 동안 도금 영역의 외측과 내측사이의 전위차의 이론적 계산
도 2에 도시한 바와 같이, 본 발명은 단지 한번에 웨이퍼의 일부분을 도금한다. 반경 r2에서의 위치와 반경 r1에서의 위치사이의 전위차는 다음과 같이 표시될 수 있다.
최악의 경우는 웨이퍼의 주변에 있다. r1=9cm, r2=10cm, ID=3.6E-3 Amp.(P.R.=2000Å/min에 해당됨), ρs= 100 내지 300Ω/스퀘어를 식 6)에 대입하면,
V = 8.96 내지 26.9 볼트 (7)
수소 과전압은 약 0.83V이다. 본 발명에 따른 도금 동안 수소는 전혀 빠지지 않음이 분명하다.
양호한 실시예의 설명
본 발명의 다양한 실시예를 기술함에 있어서, 여러 도면에서의 대응 부품은 반복적인 설명을 줄이기 위해서 동일한 도면부호로 표시되어 있다.
1. 다중 전원과 다중 LMFC
도 3a 및 도 3b는 본 발명에 따른 상부에 배리어층을 가진 기판상에 직접 도전성 막을 도금하기 위한 장치의 한 실시예의 사시도를 도시한다. 도금 욕은 튜브(109)내에 놓인 양극 로드(1)와, 제각기 원통형 벽(107, 105)사이, 원통형 벽(103, 101)사이에 놓인 양극 링(2, 3)을 포함한다. 양극(1, 2, 3)은 제각기 전원(13, 12, 11)에 의해 동력을 받는다. 전해질(34)은 펌프(33)에 의해 펌프되어 필터(32)를 통과하고 액체 매스 플루우 제어기(LMFC)(21, 22, 23)의 입구에 도달한다. LMFC(21, 22, 23)는 제각기 양극(3, 2, 1)을 포함하는 서브-도금 욕에 설정 유량으로 전해질을 전달한다. 웨이퍼(31)와 원통형 벽(101, 103, 105, 107, 109)사이의 갭을 통해서 흐른 후, 전해질은 제각기 원통형 벽(100, 101), (103, 105)과 (107, 109)사이의 공간을 통해서 탱크(36)로 다시 흐른다. 압력 누설 밸브(38)는 펌프(33)의 출구와 전해질 탱크(36)사이에 놓여지고 LMFC(21, 22, 23)이 폐쇄될 때 전해질을 탱크(36)로 다시 누설한다. 욕 온도는 가열기(42), 온도 센서(40)와 가열기 제어기(44)에 의해 제어된다. 웨이퍼 척(29)에 의해 유지된 웨이퍼(31)는 전원(11, 12, 13)에 연결되어 있다. 구동 기구(30)는 z 축선 둘레로 웨이퍼(31)를 회전하고, 도시한 x, y 및 z 방향으로 웨이퍼를 진동하는데 사용된다. LMFC는 안티-산성 또는 안티-부식성이고 이 기술분야에 알려진 형태의 오염물 없는 매스 플루우 제어기이다. 필터(32)는 저 입자 추가된 도금 프로세스를 얻기 위해서 0.1 또는 0.2㎛보다 큰 입자를 여과한다. 펌프(33)는 안티-산성 또는 안티-부식성이고 오염물 없는 펌프라 한다. 원통형 벽(110, 101, 103, 105, 107, 109)은 테트라플루오르에틸렌, 염화 폴리비닐(PVC), 불화 폴리비닐이덴(PVDF), 폴리프로필렌 등과 같은, 전기 절연성, 안티-산성 또는 안티-부식성및 용해된 비산성, 금속없는 재료로 만들어진다.
도 4a 및 도 4b는 상부에 배리어층(203)을 가진 웨이퍼(31)를 도시한다. 배리어층(203)은 실리콘 웨이퍼로 도금된 금속의 확산을 차단하는데 사용된다. 통상적으로, 질화 티타늄 또는 질화 탄탈이 사용된다. 캐소드 납 와이어와 배리어층 사이의 접촉 저항을 감소하기 위해서, 금속막(201)은 웨이퍼(31)의 주변에 PVD 또는 CVD에 의해 증착된다. 금속막(201)의 두께는 500 내지 2000Å 범위이다. 막(201)의 재료는 적합하게 나중에 도금된 재료와 동일하다. 예를 들어, Cu는 양호하게 Cu 막을 도금하기 위한 막(201)의 재료로서 선택된다.
1A. 배리어층상에 직접 도전성 막(또는 시드층)을 도금하기 위한 프로세스 단계
단계 1: 전해질이 양극(3) 위의 웨이퍼(31)의 일부분에만 닿도록, LMFC(21) 만 턴온.
단계 2: 전해질의 흐름이 안정되어진 후, 전원(11)을 턴온. 양의 금속 이온이 양극(3)위의 웨이퍼(31)의 부분 영역상에 도금될 것이다.
단계 3: 금속 도전성 막의 두께가 설정값 또는 두께에 도달할 때, 전원(11), LMFC(21)를 턴오프.
단계 4: LMFC(22) 및 전원(12)을 사용해서, 양극(2)에 대해서 단계 1 내지 3을 반복.
단계 5: LMFC(23) 및 전원(13)을 사용해서, 양극(1)에 대해서 단계 4를 반복.
상기 도금 프로세스 동안, 전원은 DC 모드, 펄스 모드, 또는 DC 혼합 모드내에서 작동될 수 있다. DC 모드에서, 전원은 일정한 전류 모드, 또는 일정한 전압 모드 또는 일정한 전류 모드와 일정한 전압 모드의 조합으로 작동될 수 있다. 일정한 전류 모드와 일정한 전압 모드의 조합은 전원이 도금 프로세스 동안 한 모드로부터 다른 모드로 전환될 수 있다는 의미이다. 도 5는 대표적인 시드층 도금 동안 각 전원 온/오프 시퀸스를 도시한다. Tp는 도금 시간으로 불리며, 즉 한 사이클 동안 양의 펄스에 있는 시간이다. Te는 에칭 시간으로 불리며, 즉 한 사이클 동안 음의 펄스에 있는 시간이다. Te/Tp는 에칭 도금비로 불린다. 이는 일반적으로 0 내지 1의 범위이다. 도 6a 및 도 6b에 도시한 바와 같이, Te/Tp의 큰 비는 보다 양호한 갭 충진 또는 보다 덜한 쿠스핑을 의미하지만, 도금속도는 낮다. Te/Tp의 작은 비는 높은 도금속도를 의미하지만, 갭 충진은 나쁘고 또는 쿠스핑이 많다.
1B. 1A 프로세스로 도금된 금속 시드층상에 금속 도금을 연속적으로 하기 위한 프로세스 단계
단계 6: LMFC(21, 22, 23) 턴온. 주로, 각 LMFC로부터 전해질의 유량은 대응 양극에 의해 커버된 웨이퍼 영역에 비례해서 설정된다.
단계 7: 모든 흐름을 안정화시킨 후, 전원(11, 12, 13)을 턴온. 주로 각 전원의 전류는 또한 대응 양극에 의해 커버된 웨이퍼 영역에 비례해서 설정된다.
단계 8: 도금 전류가 두께 균일성 조율 변수로서 사용될 때 동시에 전원(11, 12, 13) 턴오프. 변경적으로, 전원은 도금 막 두께 균일성을 조정하기 위해서 다른 시간에 턴오프될 수 있다.
도 7은 예비도금된 금속 시드층상에 금속막을 도금하기 위한 대표적인 시퀸스를 도시한다. 상술한 바와 같이, 전체 도금 시간 T3,T2및 T1은 웨이퍼내에 두께 균일성을 조율하는 변수로서 도금 전류가 사용될 때 동일하게 될 수 있거나 또는 웨이퍼내에 두께 균일성을 조율하는데 도금 시간이 사용될 때 다를 수 있다.
양극의 수는 1보다 크면 어떠한 수로도 될 수 있다. 전극이 많으면 많을수록 보다 양호한 균일성을 예상할 수 있다. 성능과 비용사이의 균형을 생각하면, 양극의 수는 통상적으로 200mm웨이퍼에 대해서 7 내지 20개, 300mm웨이퍼에 대해서 10 내지 30개이다.
도 8에 도시한 바와 같이, 바이폴라 펄스 파형(a)을 사용하는 대신에, 개량된 사인파 펄스파형(b), 유니폴라 펄스파형(c), 펄스 리버스파형(d), 펄스-온-펄스 파형(e) 또는 이중 펄스 파형(f)이 사용될 수 있다.
시드층 도금 프로세스에서, 양극(3), 그리고 나서 양극(2), 그 다음 양극(2)의 시퀸스는 통상적으로 양호하지만, 도금 시퀸스는 다음과 같이 또한 될 수 있다.
1) 양극 (1), 그리고 나서 양극(2), 그 다음 양극(3);
2) 양극 (2), 그리고 나서 양극(1), 그 다음 양극(3);
3) 양극 (2), 그리고 나서 양극(3), 그 다음 양극(1);
4) 양극 (3), 그리고 나서 양극(1), 그 다음 양극(2); 또는
5) 양극 (1), 그리고 나서 양극(3), 그 다음 양극(2);
도 9a 내지 도 9b는 양극과 벽 모양의 다른 실시예들의 대략적인 단면도를 도시한다. 여기서 알 수 있듯이, 전극(103, 105)사이의 공간 위의 웨이퍼 영역은 도 3의 경우에서 양극(3) 위의 웨이퍼 영역보다 더 적은 도금 전류를 받는다. 이것은 웨이퍼가 도금 프로세스 동안 회전만 된다면 웨이퍼에서의 두께 변화를 일으킨다. 웨이퍼를 x와 y방향으로 웨이퍼를 진동하지 않고 보다 양호한 균일성 막을 도금하기 위해서, 양극들 및 벽들의 형상은 예를 들어, 삼각, 사각, 직사각, 오각 또는 타원형으로 할 수 있다. 이들 방법에 있어서, 도금 전류 분포는 웨이퍼에서의 평균될 수 있다.
도 10은 시드층이 전체 웨이퍼에서의 연속적인 막으로 되는 경우를 확인하는 기구를 도시한다. 배리어층(Ti/TiN 또는 Ta/TaN)의 저항이 금속 구리의 저항의 약 50 내지 100배 이므로, 시드층을 도금하기 전에 에지와 중앙사의 전위차는 연속적인 구리 시드층을 도금한 후보다 휠씬 더 높다. 이 저항은 도 10에 도시한 바와 같이 전원(11, 12, 13)의 출력 전압과 전류를 측정함으로써 계산될 수 있다. 시드층이 연속 막이 되면, 로딩 저항은 크게 감소한다. 이 방법으로, 어느 영역이 연속 막에 의해 커버되지 않는 지를 결정할 수도 있다. 예를 들면;
논리 표 1
1) V11, V12가 작으면, V13이 크면, 그러면 양극(1) 위의 웨이퍼 영역상의 막은 연속하지 않는다;
2) V11이 작으면, V12와 V13이 크면, 그러면, 양극(2)위의 웨이퍼 영역상의 막은 전혀 연속하지 않는다;
추가로 조건 2)하에서,
V12와 V13이 서로 가까워지면, 그러면, 양극(2)위의 웨이퍼 영역상의 막은 연속하지 않는다;
V12와 V13이 크게 다르면, 그러면, 양극(2)위의 웨이퍼 영역상의 막은 연속하지 않는다;
3) V11, V12와 V13이 크면, 그러면, 양극(2)위의 웨이퍼 영역상의 막은 전혀 연속하지 않는다;
추가로 조건 3)하에서,
V12와 V13이 크게 다르면, 그러면, 양극(2)위의 웨이퍼 영역상의 막은 연속하지 않는다;
V11과 V12가 크게 다르고, V12와 V13이 서로 가까워지면, 그러면, 양극(2)위의 웨이퍼 영역상의 막은 연속하지 않지만, 영역(1)위의 웨이퍼 영역상의 막은 연속한다;
V11과 V12가 서로 가깝고, V12와 V13이 크게 다르면, 그러면, 양극(2)위의 웨이퍼 영역상의 막은 연속하지만, 영역(1)위의 웨이퍼 영역상의 막은 연속하지 않는다;
V12와 V13이 V11에 가까워지면, 그러면, 양극(1, 2)위의 웨이퍼 영역상의 막은 연속한다.
도 11에 도시한 논리 체크를 통해서, 시드층이 연속하는 곳을 찾을 수 있다. 그리고 나서 추가의 시드층 도금은 수행될 수 있다.
도 12는 도 3a-3b의 실시예를 사용하는 전해질내에 함침된 전체 영역 웨이퍼에 시드층을 도금하기 위한 연속 시퀸스를 도시한다. 첫 번째 절반 사이클에서, 양극(3)위의 웨이퍼 영역이 도금 모드에 있으며, 양극(2, 1)위의 웨이퍼 영역은 에칭 모드에 있다. 두 번째 절반 사이클에서, 양극(3)위의 웨이퍼 영역은 에칭 모드에 있으며, 양극(2, 1)위의 웨이퍼 영역은 도금 모드에 있다. 이 방법으로, 도금 전류의 일부분은 에칭 전류에 의해 취소되며, 그러므로 웨이퍼의 주변으로의 전체 전류 흐름은 크게 감소된다. 바이폴라 펄스 파형(웨이브형)을 사용하는 대신에, 도 7에 도시한 바와 같은, 다른 펄스 파형이 또한 사용될 수 있다.
도 13a 및 도 13b는 본 발명에 따른 도전성 막을 도금하기 위한 장치의 다른 실시예를 도시한다. 도 13a 및 도 13b의 실시예는 LMFC(21, 22, 23)이 밸브(51, 52, 53)와 LMFC(55)에 의해 교체된 것을 제외하고는 도 3a 및 도 3b의 것과 유사하다. 밸브(51, 52, 53)는 온/오프 밸브이다. LMFC(55)의 유량 설정치는 아래와 같이 각 밸브의 상황에 의해 결정된다;
LMFC(55)의 유량 설정치 = F.R. 3 ×f(밸브(51)) + F.R. 2 ×f(밸브(52)) +F.R. 1 ×f(밸브(53))
여기서, F.R. 1은 양극(1)에 대한 유량 설정치, F.R. 2는 양극(2)에 대한 유량 설정치, F.R. 3은 양극(3)에 대한 유량 설정치 이고, f(밸브(#))는 아래와 같이 정의한 밸브 상황 기능이다.
f(밸브(#)) = 1, 밸브 #이 턴온할 때;
0, 밸브 #이 턴오프할 때.
도 14a 및 도 14b는 본 발명에 따른 도전성 막을 도금하기 위한 장치의 다른 실시예를 도시한다. 도 14a 및 도 14b의 실시예는 LMFC(21, 22, 23)이 밸브(51, 52, 53)와 3개의 펌프(33)에 의해 교체된 것을 제외하고는 도 3a 및 도 3b의 것과 유사하다. 각 양극을 흐르는 전해질은 한 펌프(33)와 한 온/오프 밸브에 의해 독립적으로 제어된다.
도 15a 및 도 15b는 본 발명에 따른 도전성 막을 도금하기 위한 장치의 다른 실시예를 도시한다. 도 15a 및 도 15b의 실시예는 추가 양극(5, 4)이 원통형 벽(109, 107)과 원통형 벽(103, 105)사이에 제각기 추가되고, 양극(3)과 원통형 벽(101)은 빼내고, 온/오프 밸브(81, 82, 83, 84)가 LMFC(21, 22, 23, 24)의 출구와 탱크(36)사이에 삽입되는 것을 제외하고는 도 3a 및 도 3b의 것과 유사하다.
2A. 배리어층상에 직접 도전성 막(또는 시드층)을 도금하기 위한 프로세스 단계
단계 1: 전해질이 양극(4) 위의 웨이퍼의 일부분에만 닿고, 그 다음 원통형 벽(100, 103)사이의 리턴 통로 공간(return path spaces)을 통해서, 밸브(82, 83, 84)를 통해서 탱크(36)에 다시 흐르도록, LMFC(21)와 밸브(82, 83, 84)를 턴온하고, LMFC(22, 23, 24)를 턴오프.
단계 2: 전해질의 흐름이 안정되어진 후, 전원(11)을 턴온. 양의 금속 이온이 양극(4)위의 웨이퍼(31)의 부분 영역상에 도금될 것이다.
단계 3: 금속 도전성 막의 두께가 설정값 또는 두께에 도달할 때, 전원(11)을 턴오프, LMFC(21)를 턴오프.
단계 4: 양극(3)에 대해서 단계 1 내지 3을 반복( LMFC(22), 밸브(81, 83, 84), 전원(12)을 턴온, LMFC(21, 23, 24), 밸브(82)와 전원(11, 13, 14)을 턴오프.
단계 5: 양극(2)에 대해서 단계 4를 반복( LMFC(23), 밸브(81, 82, 84), 전원(13)을 턴온, LMFC(21, 22, 24), 밸브(83)와 전원(11, 12, 14)을 턴오프.
단계 6: 양극(1)에 대해서 단계 1 내지 3을 반복( LMFC(24), 밸브(81, 82, 83), 전원(14)을 턴온, LMFC(21, 22, 23), 밸브(84)와 전원(11, 12, 13)을 턴오프.
상술한 시드층 도금 프로세스에서, 웨이퍼의 주변으로부터 웨이퍼의 중심까지 도금하는 대신에, 도금은 또한 중심으로부터 주변으로 수행될 수 있으며, 또는 임의대로 선택한 양극 시퀸스로 수행될 수 있다.
2B. 2A 프로세스로 도금된 금속 시드층상에 금속 도금을 연속적으로 하기 위한 프로세스 단계
단계 7: LMFC(21, 22, 23, 24) 턴온, 밸브(81, 82, 83, 84) 턴오프. 주로, 각 LMFC로부터 전해질의 유량은 대응 양극에 의해 커버된 웨이퍼 영역에 비례해서 설정된다.
단계 8: 모든 흐름을 안정화시킨 후, 전원(11, 12, 13, 14)을 턴온. 주로 각 전원의 전류는 또한 대응 양극에 의해 커버된 웨이퍼 영역에 비례해서 설정된다.
단계 9: 도금 전류가 두께 균일성 조율 변수로서 사용될 때 동시에 전원(11, 12, 13, 14) 턴오프. 변경적으로, 전원은 도금 막 두께 균일성을 조정하기 위해서 다른 시간에 턴오프될 수 있다.
도 16a 및 도 16b는 본 발명에 따른 도전성 막을 도금하기 위한 장치의 다른 실시예를 도시한다. 도 16a 및 도 16b의 실시예는 온/오프 밸브(81, 82, 83, 84)가 제거되고 전해질 리턴 통로가 원통형 벽(100, 103)사이의 하나 만으로 감소된 것을 제외하고는 도 15a 및 도 15b의 것과 유사하다.
3A. 배리어층상에 직접 도전성 막(또는 시드층)을 도금하기 위한 프로세스 단계
단계 1: LMFC(21)만 턴온, LMFC(22, 23, 24)를 턴오프. 모든 웨이퍼는 전해질내에 함침된다. 그러나, 양극(4) 위의 웨이퍼의 일부분에만 LMFC(21)으로부터 흐르는 전해질에 대면한다.
단계 2: 전해질의 흐름이 안정되어진 후, 양극(4)에 양의 전위가 출력되도록 전원(11)을 턴온, 제각기 양극(3, 2, 1)에 양의 전위가 출력되도록 전원(12, 13, 14)을 턴온. 그러므로, 양의 금속 이온이 양극(4)위의 웨이퍼(31)의 상기 부분상에만 도금될 것이다.
단계 3: 금속 도전성 막의 두께가 설정값 또는 두께에 도달할 때, 전원(11), 턴오프 LMFC(21)를 턴오프.
단계 4: LMFC(22)만 턴온, LMFC(21, 23, 24)를 턴오프. 모든 웨이퍼 영역이 전해질내에 함침될지라도, 그러나, 양극(3) 위의 웨이퍼의 영역만 LMFC(22)으로부터 흐르는 전해질에 대면한다.
단계 5: 양극(3)에 대해 단계 2와 3을 반복(양극(3)에 양의 전위가 출력되도록 전원(12)을 턴온, 제각기 양극(4, 2, 1)에 양의 전위가 출력되도록 전원(12, 13, 14)을 턴온, 그리고 LMFC(21, 23, 24)을 턴오프).
단계 6: 양극(2)에 대해 단계 4와 5를 반복(LMFC(23), 양극(2)에 양의 전위가 출력되도록 전원(13), 제각기 양극(4, 3, 1)에 양의 전위가 출력되도록 전원(11, 12, 14)을 턴온, 그리고 LMFC(21, 22, 24)을 턴오프).
단계 7: 양극(1)에 대해 단계 4와 5를 반복( LMFC(24), 양극(1)에 양의 전위가 출력되도록 전원(12), 제각기 양극(4, 3, 2)에 양의 전위가 출력되도록 전원(11, 12, 13)을 턴온, 그리고 LMFC(21, 22, 23)을 턴오프).
상술한 시드층 도금 프로세스에서, 웨이퍼의 주변으로부터 웨이퍼의 중심까지 도금하는 대신에, 도금은 또한 중심으로부터 주변으로 수행될 수 있으며, 또는 임의대로 선택한 양극 시퀸스로 수행될 수 있다.
3B. 3A 프로세스로 도금된 금속 시드층상에 금속 도금을 연속적으로 하기 위한 프로세스 단계
단계 8: LMFC(21, 22, 23, 24) 턴온. 주로, 각 LMFC로부터 전해질의 유량은 대응 양극에 의해 커버된 웨이퍼 영역에 비례해서 설정된다.
단계 9: 모든 흐름을 안정화시킨 후, 전원(11, 12, 13, 14)을 턴온. 주로 각 전원의 전류는 또한 대응 양극에 의해 커버된 웨이퍼 영역에 비례해서 설정된다.
단계 10: 도금 전류가 두께 균일성 조율 변수로서 사용될 때 동시에 전원(11, 12, 13, 14) 턴오프. 또한, 전원은 도금 막 두께 균일성을 조정하기 위해서 다른 시간에 턴오프될 수 있다.
도 17은 본 발명에 따른 도전성 막을 도금하기 위한 장치의 다른 실시예를 도시한다. 도 17의 실시예는 확산기 링(112)이 원통형 벽을 따라서 유량을 균일하게 하도록 각 양극 위에 추가된 것을 제외하고는 도 3a 및 도 3b의 것과 유사하다. 확산기는 확산기 링에 많은 홀을 펀칭함으로써 만들 수 있고, 또는 10 내지 90%의 다공성 범위로 다공성 재료로 직접 만들 수 있다. 확산기를 만들기 위한 재료는 안티-산성, 안티-부식성, 입자 및 오염물이 없는 재료이다.
도 18a 및 도 18b는 본 발명에 따른 도전성 막을 도금하기 위한 장치의 다른 실시예를 도시한다. 도 18a 및 도 18b의 실시예는 도금 프로세스 동안 각 전원이 제공하는 전하를 정확하게 측정하도록 각 전원에 전하 축적 측정기가 추가된 것을 제외하고는 도 3a 및 도 3b의 것과 유사하다. 예를 들어, 구리의 전체 원자수는 구리 이온이 2가이기 때문에, 축적된 전하를 2로 나눔으로써 계산될 수 있다.
도 19a 및 도 19b는 본 발명에 따른 도전성 막을 도금하기 위한 장치의 다른 실시예를 도시한다. 도 19a 및 도 19b의 실시예는 도금 욕으로의 전해질 입구의 수가 하나 대신 두 개인 것을 제외하고는 도 3a 및 도 3b의 것과 유사하다. 이것은 추가로 원통형 벽의 주변을 따라서 유량 균일성을 강화할 것이다. 입구의 수는 3, 4, 5, 6 ---, 즉, 원통형 벽의 주변을 따라서 유량 균일성을 만들기 위해서 2보다 큰 어떠한 수도 될 수 있다.
도 20a 및 도 20b는 본 발명에 따른 도전성 막을 도금하기 위한 장치의 다른 실시예를 도시한다. 도 20a 및 도 20b의 실시예는 원통형 벽이 도 20a에 도시한 바와 같이 방사외향을 따라서 증가하고, 도 20b에 도시한 바와 같이 방사외향을 따라서 감소하는 것을 제외하고는 도 15a 및 도 15b와 도 16a 및 도 16b의 것과 유사하다. 이것은 도금 조건을 최상으로 하기 위해서 전해질의 흐름 패턴과 도금 전류를 조작하는 추가 변수를 제공한다.
도 21a 및 도 21b는 본 발명에 따른 도전성 막을 도금하기 위한 장치의 다른 실시예를 도시한다. 도 21a 및 도 21b의 실시예는 원통형 벽이 도 21a에 도시한 바와 같이 방사외향을 따라서 증가하고, 도 21b에 도시한 바와 같이 방사외향을 따라서 감소하는 것을 제외하고는 도 3a 및 도 3b의 것과 유사하다. 이것은 도금 조건을 최상으로 하기 위해서 전해질의 흐름 패턴과 도금 전류를 조작하는 추가 변수를 제공한다.
도 22a 및 도 22b는 본 발명에 따른 도전성 막을 도금하기 위한 장치의 다른 실시예를 도시한다. 도 22a 및 도 22b의 실시예는 원통형 벽이 흐름 패턴을 조정하도록 상하로 이동될 수 있는 것을 제외하고는 도 3a 및 도 3b의 것과 유사하다. 도 22b에 도시한 바와 같이, 원통형 벽(105, 107)은 상향으로 이동되므로, 전해질은 벽(105, 107)위의 웨이퍼의 일부분을 향해서 흐른다. 도금 프로세스 단계는 아래와 같이 기술된다.
4A. 배리어층상에 직접 도전성 막(또는 시드층)을 도금하기 위한 프로세스 단계
단계 1: 전해질이 원통형 벽(101, 103) 위의 웨이퍼의 일부분에만 닿도록, LMFC(21) 만 턴온해서 원통형 벽(101, 103)을 웨이퍼에 가깝게 이동.
단계 2: 전해질의 흐름이 안정되어진 후, 전원(11)을 턴온. 양의 금속 이온이 원통형 벽(101, 103)위의 웨이퍼(31)의 상기 부분상에 도금될 것이다.
단계 3: 도전성 막의 두께가 설정값 또는 두께에 도달할 때, 전원(11)과 LMFC(21)를 턴오프, 원통형 벽(101, 103)을 아래 위치로 이동.
단계 4: 원통형 벽(105, 107) 대해서 단계 1 내지 3을 반복(LMFC(22), 원통형 벽(105, 107) 및 전원(12)).
단계 5: 튜브(109)에 대해 단계 4를 반복(LMFC(23), 튜브(109) 및 전원(13)).
4B. 4A 프로세스로 도금된 금속 시드층상에 금속 도금을 연속적으로 하기 위한 프로세스 단계
단계 6: LMFC(21, 22, 23) 턴온, 모든 원통형 벽(101, 103, 105, 107)과 튜브(109)를 웨이퍼(31)에 가깝게 이동. 주로, 각 LMFC로부터 전해질의 유량은 대응 LMFC에 의해 커버된 웨이퍼 영역에 비례해서 설정된다.
단계 7: 모든 흐름을 안정화시킨 후, 전원(11, 12, 13)을 턴온. 주로 각 전원의 전류는 또한 대응 양극 또는 전원에 의해 커버된 웨이퍼 영역에 비례한다.
단계 8: 도금 전류가 두께 균일성 조율 변수로서 사용될 때 동시에 전원(11, 12, 13) 턴오프. 전원은 또한 도금 막 두께 균일성을 조정하기 위해서 다른 시간에 턴오프될 수 있다.
도 23a 및 도 23b는 본 발명에 따른 도전성 막을 도금하기 위한 장치의 다른 실시예를 도시한다. 도 23a 및 도 23b의 실시예는 원통형 벽과 양극 링이 플레이트(113)에 의해 6개 섹터로 나누어지는 것을 제외하고는 도 15a 및 도 15b와 도 3a 및 도 3b의 것과 유사하다. 섹터 수는 2보다 큰 어떠한 수로도 될 수 있다. 아래 표 2는 전원 연결부에 양극의 가능한 조합과 LMFC에 각 섹터의 가능한 조합을 도시한다.
표 2
조합형 각 섹터내의 전원에 양극 연결 LMFC에 섹터 연결
1 각 양극을 독립 전원에 연결 각 섹터를 독립 LMFC에 연결
2 각 양극을 독립 전원에 연결 동일한 반경상의 섹터를 독립 LMFC에 연결
3 각 양극을 독립 전원에 연결 모든 섹터를 독립 LMFC에 연결
4 동일한 반경상의 양극을 독립 전원에 연결 각 섹터를 독립 LMFC에 연결
5 동일한 반경상의 양극을 독립 전원에 연결 동일한 반경상의 섹터를 독립 LMFC에 연결
6 동일한 반경상의 양극을 독립 전원에 연결 모든 섹터를 독립 LMFC에 연결
7 모든 양극을 한 공통 전원에 연결 각 섹터를 독립 LMFC에 연결
8 모든 양극을 한 공통 전원에 연결 동일한 반경상의 섹터를 독립 LMFC에 연결
9 모든 양극을 한 공통 전원에 연결 모든 섹터를 독립 LMFC에 연결
위의 표에서, 조합형 1, 2, 4 및 5의 턴온은 상술한 바와 같다. 조합형 1, 2 및 3의 경우에, 웨이퍼 회전 기구는 다른 섹터에서의 각 양극이 독립 전원에 의해 제어되므로 제거될 수 있다. 예를 들어, 기판의 일부분상의 도금막의 두께는 기판의 동일한 부분 아래의 양극의 도금 전류 또는 도금 시간을 제어함으로써 조작될 수 있다. 조합형 3, 6, 7, 8 및 9는 나중에 상세히 설명하겠다.
도 24a 및 도 24b는 본 발명에 따른 도전성 막을 도금하기 위한 장치의 다른 실시예를 도시한다. 도 24a 및 도 24b의 실시예는 원통형 벽과 양극 링이 다중 로드형 양극(1)과 튜브(109)에 의해 대체된 것을 제외하고는 도 3a 및 도 3b의 것과 유사하다. 전해질은 튜브(109)로부터 빠져나와, 웨이퍼 표면에 닿고, 그리고 나서 다시 다중 홀(500)을 통해서 탱크(도시 생략)로 다시 흐른다. 링내의 튜브와 양극은 동일한 원주내에 놓인다. 전해질을 다시 탱크(36)로 배출하기 위한 튜브와 양극의 두 인접 링 사이에 다중 홀이 있다. 아래 표 3은 전원 연결부에 양극의 가능한 조합과 LMFC에 각 섹터의 가능한 조합을 도시한다.
표 3
조합형 각 섹터내의 전원에 양극 연결 LMFC에 튜브 연결
1 각 양극을 독립 전원에 연결 각 튜브를 독립 LMFC에 연결
2 각 양극을 독립 전원에 연결 동일한 반경상의 튜브를 독립 LMFC에 연결
3 각 양극을 독립 전원에 연결 모든 튜브를 독립 LMFC에 연결
4 동일한 반경상의 양극을 독립 전원에 연결 각 튜브를 독립 LMFC에 연결
5 동일한 반경상의 양극을 독립 전원에 연결 동일한 반경상의 튜브를 독립 LMFC에 연결
6 동일한 반경상의 양극을 독립 전원에 연결 모든 튜브를 독립 LMFC에 연결
7 모든 양극을 한 공통 전원에 연결 각 튜브를 독립 LMFC에 연결
8 모든 양극을 한 공통 전원에 연결 동일한 반경상의 튜브를 독립 LMFC에 연결
9 모든 양극을 한 공통 전원에 연결 모든 튜브를 독립 LMFC에 연결
위의 표에서, 조합형 1, 2, 4 및 5의 턴온은 상술한 바와 같다. 조합형 1, 2 및 3의 경우에, 웨이퍼 회전 기구는 다른 섹터에서의 각 양극이 독립 전원에 의해 제어되므로 제거될 수 있다. 예를 들어, 기판의 일부분상의 도금막의 두께는 기판의 동일한 부분 아래의 양극의 도금 전류 또는 도금 시간을 제어함으로써 조작될 수 있다. 조합형 3, 6, 7, 8 및 9는 나중에 상세히 설명하겠다.
원형 링상의 튜브와 양극 대신에, 튜브와 양극은 또한 삼각, 정사각, 직사각, 다각 및 타원형 링상에 놓여질 수 있다. 삼각, 정사각 및 타원형 링은 도 25a 내지 도 25c에 도시되어 있다.
2. 다중 LMFC 및 단일 전원
도 26a 및 도 26b는 본 발명에 따른 도전성 막을 도금하기 위한 장치의 다른 실시예를 도시한다. 도 26a 및 도 26b의 실시예는 양극 링과 원통형 벽이 단일 양극(240), 바(242)와 밸브(202, 204, 206, 208, 210, 212, 214, 216, 218)에 의해 대체된 것을 제외하고는 도 3a 및 도 3b의 것과 유사하다. 전원은 단일 전원(200)으로 감소된다. 새로운 밸브는 온/오프 밸브이고, 웨이퍼 영역으로 흐르는 전해질을 제어하는데 사용된다. 밸브(208, 212), (206, 214), (204, 216), (202, 218)은 제각기 바(242)상에 대칭적으로 놓여 있다.
5A. 배리어층상에 직접 도전성 막(또는 시드층)을 도금하기 위한 프로세스 단계
단계 1: 밸브(202, 218)로부터 나온 전해질이 밸브(202, 218)위의 웨이퍼의 주변 부분에 닿도록, 펌프(33), LMFC(55), 밸브(202, 218) 뿐만 아니라 구동부(30)를 턴온.
단계 2: 전해질의 흐름이 안정되어진 후, 전원(200)을 턴온. 양의 금속 이온이 밸브(202, 218)위의 웨이퍼(31)의 상기 주변 부분상에 도금될 것이다.
단계 3: 도전성 막의 두께가 설정값 또는 두께에 도달할 때, 전원(200), 턴오프 LMFC(55)와 밸브(202, 218)를 턴오프.
단계 4: 밸브(204, 216)에 대해서 단계 1 내지 3을 반복.
단계 5: 밸브(206, 214)에 대해서 단계 4를 반복.
단계 6: 밸브(208, 212)에 대해서 단계 4를 반복.
단계 7: 밸브(210)에 대해서 단계 4를 반복.
위의 도금 프로세스 동안, 전원은 DC 모드, 또는 도 8에 도시한 다양한 펄스 모드중 어느 것으로 턴온될 수 있다.
5B. 5A 프로세스로 도금된 금속 시드층상에 금속 도금을 연속적으로 하기 위한 프로세스 단계
단계 8: 전해질이 전체 웨이퍼 영역에 닿도록, LMFC(55)와 모든 밸브(202, 204, 206, 208, 210, 212, 214, 216, 218)를 턴온.
단계 9: 모든 흐름을 안정화시킨 후, 전원(200)을 턴온.
단계 10: 막 두께가 설정값에 도달될 때 전원(200) 및 모든 밸브를 턴오프. 밸브는 또한 도금 막 두께 균일성을 조정하기 위해서 턴온된 전원(200)과 다른 시간에 턴오프될 수 있다.
도 27은 본 발명에 따른 도전성 막을 도금하기 위한 장치의 다른 실시예를 도시한다. 도 27의 실시예는 모든 밸브가 보다 양호한 균일성으로 금속을 도금하기 위해서 다른 반경으로 바(242)상에 놓여진 것을 제외하고는 도 26a 및 도 26b의 것과 유사하다.
6A. 배리어층상에 직접 도전성 막(또는 시드층)을 도금하기 위한 프로세스 단계
단계 1: 밸브(218)로부터 나온 전해질이 밸브(218)위의 웨이퍼의 주변 부분에 닿도록, 펌프(33), LMFC(55), 밸브(218) 뿐만 아니라 구동부(30)를 턴온.
단계 2: 전해질의 흐름이 안정되어진 후, 전원(200)을 턴온. 양의 금속 이온이 밸브(218)위의 웨이퍼(31)의 상기 주변 부분상에 도금될 것이다.
단계 3: 도전성 막의 두께가 설정값 또는 두께에 도달할 때, 전원(200), LMFC(55)와 밸브(218)를 턴오프.
단계 4: 밸브(204)에 대해서 단계 1 내지 3을 반복.
단계 5: 밸브(216)에 대해서 단계 4를 반복.
단계 6: 밸브(206)에 대해서 단계 4를 반복.
단계 7: 제각기, 밸브(214, 208, 212, 210)에 대해서 단계 4를 반복.
위의 도금 프로세스 동안, 전원은 DC 모드, 또는 도 8에 도시한 다양한 펄스 모드중 어느 것으로 턴온될 수 있다.
6B. 6A 프로세스로 도금된 금속 시드층상에 금속 도금을 연속적으로 하기 위한 프로세스 단계
단계 8: 전해질이 전체 웨이퍼 영역에 닿도록, LMFC(55)와 모든 밸브(202, 204, 206, 208, 210, 212, 214, 216, 218)를 턴온.
단계 9: 모든 흐름을 안정화시킨 후, 전원(200)을 턴온.
단계 10: 막 두께가 설정값에 도달될 때 전원(200) 및 모든 밸브를 턴오프. 밸브는 또한 도금 막 두께 균일성을 조정하기 위해서 턴온된 전원(200)과 다른 시간에 턴오프될 수 있다.
도 28은 본 발명에 따른 도전성 막을 도금하기 위한 장치의 다른 실시예를 도시한다. 도 28의 실시예는 추가의 바가 크로스 형상 바 구조물(244)을 형성하도록 추가된 것을 제외하고는 도 26의 것과 유사하다. 밸브(202, 218), (204, 216), (206, 214), (208, 212)는 바 구조물(244)의 수평 부분상에 대칭적으로 놓여 있다. 유사하게, 밸브(220, 236), (222, 234), (224, 232)는 바 구조물(244)의 수직 부분상에 대칭적으로 놓여있다. 바(244)의 수평 부분상의 모든 밸브는 또한 제각기 바(244)의 수직 부분상의 것과 다른 반경을 가진다. 도금 프로세스는 아래와 같이 기술된다.
7A. 배리어층상에 직접 도전성 막(또는 시드층)을 도금하기 위한 프로세스 단계
단계 1: 밸브(218)로부터 나온 전해질이 밸브(218, 202)위의 웨이퍼의 주변 부분에 닿도록, 펌프(33), LMFC(55), 밸브(218, 202) 뿐만 아니라 구동부(30)를 턴온.
단계 2: 전해질의 흐름이 안정되어진 후, 전원(200)을 턴온. 양의 금속 이온이 밸브(218, 202)위의 웨이퍼(31)의 상기 주변 부분상에 도금될 것이다.
단계 3: 도전성 막의 두께가 설정값 또는 두께에 도달할 때, 전원(200), LMFC(55)와 밸브(218, 202)를 턴오프.
단계 4: 밸브(220, 236)에 대해서 단계 1 내지 3을 반복.
단계 5: 밸브(204, 216)에 대해서 단계 4를 반복.
단계 6: 밸브(222, 234)에 대해서 단계 4를 반복.
단계 7: 밸브(206, 214), (224, 232), (208, 212)만에 대해서 단계 4를 반복.
위의 도금 프로세스 동안, 전원은 DC 모드, 또는 도 8에 도시한 다양한 펄스 모드중 어느 것으로도 턴온될 수 있다.
7B. 7A 프로세스로 도금된 금속 시드층상에 금속 도금을 연속적으로 하기 위한 프로세스 단계
단계 8: 전해질이 전체 웨이퍼 영역에 닿도록, LMFC(55)와 모든 밸브(202, 204, 206, 208, 210, 212, 214, 216, 218, 220, 222, 224, 232, 234, 236)를 턴온.
단계 9: 모든 흐름을 안정화시킨 후, 전원(200)을 턴온.
단계 10: 막 두께가 설정값에 도달될 때 전원(200) 및 모든 밸브를 턴오프. 밸브는 또한 도금 막 두께 균일성을 조정하기 위해서 턴온된 전원(200)과 다른 시간에 턴오프될 수 있다.
도 29a 내지 도 29c는 본 발명에 따른 도전성 막을 도금하기 위한 장치의 다른 실시예를 도시한다. 도 29a의 실시예는 바의 수가 3개로 증가한 것을 제외하고는 도 26a 및 도 26b의 것과 유사하다. 두 인접 바사이이 각은 60°이다. 도 29b의 실시예는 바의 수가 4개로 증가한 것을 제외하고는 도 26a 및 도 26b의 것과 유사하다. 두 인접 바사이이 각은 45°이다. 도 29c도의 실시예는 바의 수가 0.5, 즉 바 절반으로 감소한 것을 제외하고는 도 26a 및 도 26b의 것과 유사하다. 변경적으로, 바의 수는 5, 6, 7 이상일 수 있다.
도금 단계 시퀸스는 웨이퍼의 주변에 가까운 밸브로부터 시작될 수 있으며, 또는 웨이퍼의 중앙으로부터 시작되거나 임의대로 시작될 수 있다. 웨이퍼의 주변으로부터 시작하는 것이 양호하다. 왜냐하면, 이미 도금된 금속 시드층(큰 직경을 가짐)이 다음 시드층( 보다 작은 직경)을 도금하기 위한 전류를 전도하는데 사용될 수 있기 때문이다.
도 30a 및 도 30b는 본 발명에 따른 도전성 막을 도금하기 위한 장치의 다른 실시예를 도시한다. 도 30a 및 도 30b의 실시예는 고정된 위치 밸브(제트)가 두 개의 이동가능한 양극 제트(254)와 교체된 것을 제외하고는 도 26a 및 도 26b의 것과 유사하다. 양극 제트(254)는 웨이퍼(31) 아래에 놓여지고 가이드 바(250)상에 놓인다. 양극 제트(254)는 웨이퍼(31)의 일부분상에 전해질을 주입하고 도 30b에 도시한 바와 같이, x 방향으로 이동할 수 있다. 신선한 전해질은 가요성 파이프(258)를 통해서 공급된다. 이 실시예는 특히 시드층을 도금하는데 특히 적합하다. 시드층 도금 프로세스는 아래와 같이 도시된다.
8A. 배리어층상에 직접 도전성 막(또는 시드층)을 도금하기 위한 프로세스 단계
단계 1: 밸브(356)로부터 나온 전해질이 밸브(356)위의 웨이퍼의 주변 부분에만 닿도록, 펌프(33), LMFC(55), 밸브(356) 뿐만 아니라 구동부(30)를 턴온.
단계 2: 전해질의 흐름이 안정되어진 후, 전원(200)을 턴온. 양의 금속 이온이 밸브(356)위의 웨이퍼(31)의 상기 주변 부분상에 도금될 것이다.
단계 3: 도전성 막의 두께가 설정값 또는 두께에 도달할 때, 전원(200), LMFC(55)와 밸브(356)를 턴오프.
단계 4: 양극 제트(254)를 보다 작은 반경을 가진 다음 위치로 이동.
단계 5: 전체 웨이퍼 영역이 박막에 의해 도금될 때까지 단계 1 내지 4를 반복.
위의 프로세스 단계는 아래와 같이 개량될 수 있다.
단계 1: 상동
단계 2: 상동
단계 3: 도전성 막의 두께가 미리결정한 설정값 또는 두께의 약간의 퍼센테이지에 도달할 때, 웨이퍼 중심을 향해 방사방향으로 양극 제트(254)를 느리게 이동하기 시작. 양극 제트(254)의 이동의 속도는 미리결정한 설정값 또는 두께에 의해 결정된다. 또한, 양극 제트(254)에 의해 도금된 표면적이 양극 제트(254)의 위치의 반경에 비례하므로, 양극 제트(254)의 이동 속도는 웨이퍼 중심을 향해 이동하면 증가한다.
단계 4: 양극 제트(254)가 웨이퍼 중심에 도달하면, 전원(200), LMFC(55)와 밸브(356)를 턴오프.
도 31a 및 도 31b는 본 발명에 따른 도전성 막을 도금하기 위한 장치의 다른 실시예를 도시한다. 도 31a 및 도 31b의 실시예는 두 개의 추가의 가동 양극 제트가 도금 속도를 증가하기 위해서 y방향으로 추가된 것을 제외하고는 도 30a 및 도 30b의 것과 유사하다. 이 프로세스 시퀸스는 도 30a 및 도 30b의 것과 유사하다.
도 32a 및 도 32b는 본 발명에 따른 도전성 막을 도금하기 위한 장치의 다른 실시예를 도시한다. 도 32a 및 도 32b의 실시예는 웨이퍼(31)가 전해질로 함침된 것을 제외하고는 도 30a 및 도 30b의 것과 유사하다. 가동 양극은 웨이퍼(31)의 일부분상에 도금 전류를 초점 맞추기 위해서 웨이퍼(31)에 매우 가깝게 놓여 있다. 갭 사이즈는 0.1 내지 5mm, 양호하게 1mm이다. 이 프로세스 시퀸스는 도 30a 및 도 30b의 것과 유사하다.
도 33a 및 도 33b는 본 발명에 따른 도전성 막을 도금하기 위한 장치의 다른 실시예를 도시한다. 도 33a 및 도 33b의 실시예는 신선한 전해질이 욕의 중심으로부터 가요성 파이프(258)를 통하는 양극 제트(254) 대신에 파이프(260)를 통해서 입력되는 것을 제외하고는 도 32a 및 도 32b의 것과 유사하다. 웨이퍼(31)는 또한 전해질내에 함침된다. 유사하게, 가동 양극은 웨이퍼(31)의 일부분상에 도금 전류를 초점 맞추기 위해서 웨이퍼(31)에 매우 가깝게 놓여 있다. 갭 사이즈는 0.1 내지 5mm, 양호하게 1mm이다. 이 프로세스 시퀸스는 도 30a 및 도 30b의 것과 유사하다.
도 34a 내지 도 34d는 본 발명에 따른 가동 양극의 4개의 실시예를 도시한다. 도 34a는 양극(252)과 케이스(262)로 이루어진 양극 구조물을 도시한다. 케이스(262)는 테트라플루오르에틸렌, PVC, PVDF, 또는 폴리프로필렌과 같은 절연체 재료로 만들어진다. 도 34b는 양극(266)과 케이스(264)로 이루어진 양극 구조물을 도시한다. 전해질은 케이스(264)의 바닥에 있는 홀을 통해서 공급된다. 도 34c는 양극(262), 전극(274, 270), 절연체 스페이서(272), 케이스(262)와 전원(276, 268)으로 이루어진 양극 구조물을 도시한다. 전극(274)은 전원(276)의 음의 출력부에 연결되어 있으며, 전극(270)은 캐소드 웨이퍼(31)에 연결되어 있다. 전극(274)의 기능은 케이스(262)로부터 흘려 나오는 어떠한 금속 이온도 가두는(trap) 것이므로, 케이스(262)의 외측의 웨이퍼 영역상에는 막이 전혀 도금되지 않는다. 전극(270)의 기능은 전극(274)으로부터의 전기장 누설을 방지하여 어떠한 에칭 효과도 최소화하는 것이다. 도 34d의 실시예는 케이스(264)가 전해질을 흘려보내기 위해서 바닥에 홀을 가지는 것을 제외하고는 도 34c와 유사하다.
도 35는 도금 동안 웨이퍼의 표면 상황을 도시한다. 웨이퍼 영역(280)은 시드층에 의해 도금되어 있으며, 영역(284)은 도금의 프로세스 중에 있으며, 웨이퍼 영역(282)은 도금되지 않고 있다.
도 36a 내지 도 36c는 본 발명에 따른 도전성 막을 도금하기 위한 장치의 추가의 3 실시예를 도시한다. 도 36a의 실시예는 바의 수가 3개로 증가한 것을 제외하고는 도 30a 및 도 30의 것과 유사하다. 두 인접 바사이이 각은 60°이다. 도 36b의 실시예는 바의 수가 4개로 증가한 것을 제외하고는 도 30a 및 도 30b의 것과 유사하다. 두 인접 바사이이 각은 45°이다. 도 36c의 실시예는 바의 수가 0.5, 즉 바 절반으로 감소한 것을 제외하고는 도 30a 및 도 30b의 것과 유사하다. 변경적으로, 바의 수는 5, 6, 7 이상일 수 있다.
도 36의 실시예는 바(250)의 형상이 직선 대신에 나선형인 것을 제외하고는 도 30a 및 도 30b의 것과 유사하다. 가동 양극 제트(254)는 웨이퍼의 회전 없이 양호한 도금 균일성이 성취될 수 있도록 나선형 바를 따라서 이동가능하다.
도 37a 및 도 37b는 본 발명에 따른 도전성 막을 도금하기 위한 장치의 추가의 두 실시예를 도시한다. 도 37a 및 도 37b의 실시예는 웨이퍼가 제각기, 거꾸로 그리고 수직으로 놓인 것을 제외하고는 도 30a 및 도 30b의 것과 유사하다.
도 38a 및 도 38b는 본 발명에 따른 도전성 막을 도금하기 위한 장치의 다른 실시예를 도시한다. 도 38a 및 도 38b의 실시예는 모든 양극이 한 조각 양극(8)에 의해 대체된 것을 제외하고는 도 16a 및 도 16b의 것과 유사하다. 양극(8)은 단일 전원(11)에 결합되어 있다. 이 실시예를 사용하는 도금 프로세스 단계는 아래와 같이 기술된다.
9A. 배리어층상에 직접 도전성 막(또는 시드층)을 도금하기 위한 프로세스 단계
단계 1: 전해질이 서브-도금 욕(66) 위의 웨이퍼의 일부부만 닿고, 그 다음 원통형 벽(101, 103), (105, 107), (107, 109)과 튜브(109)사이의 공간의 리턴 통로를 통해서 탱크(36)까지 다시 흐르도록, LMFC(21)와 밸브(82, 83, 84) 턴온, LMFC(22, 23, 24)와 밸브(81) 턴오프.
단계 2: 전해질의 흐름이 안정되어진 후, 전원(11)을 턴온. 양의 금속 이온이 서브-도금 욕(66)위의 웨이퍼(31)의 상기 부분상에 도금될 것이다.
단계 3: 도전성 막의 두께가 설정값 또는 두께에 도달할 때, 전원(11), 턴오프 LMFC(21)를 턴오프.
단계 4: LMFC(22) 대해서 단계 1 내지 3을 반복(LMFC(22), 밸브(81, 83, 84)와 전원(11) 턴온, LMFC(21, 23, 24), 밸브(82) 턴오프).
단계 5: LMFC(23) 대해서 단계 4를 반복(LMFC(23), 밸브(81, 82, 84)와 전원(11) 턴온, LMFC(21, 22, 24), 밸브(83) 턴오프).
단계 6: LMFC(24) 대해서 단계 4를 반복(LMFC(24), 밸브(81, 82, 83)와 전원(11) 턴온, LMFC(21, 22, 23), 밸브(84) 턴오프).
상술한 시드층 도금 프로세스에서, 웨이퍼의 주변으로부터 웨이퍼의 중심까지 도금하는 대신에, 도금은 또한 중심으로부터 주변으로 수행될 수 있으며, 또는 임의대로 선택한 양극 시퀸스로 수행될 수 있다.
9B. 9A 프로세스로 도금된 금속 시드층상에 금속 도금을 연속적으로 하기 위한 프로세스 단계
단계 7: LMFC(21, 22, 23, 24) 턴온, 밸브(81, 82, 83, 84) 턴오프. 주로, 각 LMFC로부터 전해질의 유량은 대응 LMFC에 의해 커버된 웨이퍼 영역에 비례해서 설정된다.
단계 8: 모든 흐름을 안정화시킨 후, 전원(11)을 턴온.
단계 9: 막 두께가 설정값에 도달할 때 전원(11)을 턴오프.
LMFC는 도 39에 도시한 바와 같이, 도금 막 두께 균일성을 조정하기 위해서 다른 시간에서 턴오프될 수 있다. 시간 t1에서, LMFC(21, 23, 24)만이 턴오프되고, 또한 밸브(81, 83, 84)가 턴오프된다. 그러므로, 전해질은 서브-도금 욕(64)을 제외한 웨이퍼에 닿지 않는다. 전원(11)이 턴온중 이면, 금속 이온은 서브-도금 욕(64) 위의 영역상에만 도금될 것이다. 그리고 나서 t2에서 LMFC(22)는 턴오프된다. 유사하게, LMFC(24)는 시간 t3에서 턴온되고 시간 t4에서 턴오프되므로 서브-도금 욕(60) 위의 웨이퍼 영역에서 여분의 도금을 얻는다. t2와 t4시간 턴오프는 웨이퍼 두께 균일성을 측정함으로써 미세하게 조율될 수 있다.
도 40a 및 도 40b는 본 발명에 따른 도전성 막을 도금하기 위한 장치의 다른 실시예를 도시한다. 도 40a 및 도 40b의 실시예는 모든 양극이 단일 전원(11)에 연결되어 있는 것을 제외하고는 도 3a 및 도 3b의 것과 유사하다. 전해질이 시드층 도금 프로세스 동안 양극 위의 웨이퍼의 일부분에만 접촉하기 때문에, 도금 전류는 단지 양극을 통과하고 웨이퍼의 상기 부분으로 간다. 도금 프로세스 단계는 전원(11)이 전원(12, 13)과 대체되어 있는 도 3a 및 도 3b의 것과 유사하다.
도 41a 및 도 41b는 본 발명에 따른 도전성 막을 도금하기 위한 장치의 다른 실시예를 도시한다. 도 41a 및 도 41b의 실시예는 원통형 벽이 흐름 패턴을 조정하도록 상하로 이동될 수 있는 것을 제외하고는 도 40a 및 도 40b의 것과 유사하다. 도 41b에 도시한 바와 같이, 원통형 벽(105, 107)은 상향으로 이동되므로, 전해질은 벽(105, 107)위의 웨이퍼의 일부분을 향해서 흐른다. 도금 프로세스 단계는 아래와 같이 기술된다.
10A. 배리어층상에 직접 도전성 막(또는 시드층)을 도금하기 위한 프로세스 단계
단계 1: 전해질이 원통형 벽(101, 103) 위의 웨이퍼의 일부분에만 닿도록, LMFC(21) 만 턴온해서 원통형 벽(101, 103)을 웨이퍼에 가깝게 이동.
단계 2: 전해질의 흐름이 안정되어진 후, 전원(11)을 턴온. 양의 금속 이온이 원통형 벽(101, 103)위의 웨이퍼(31)의 상기 부분상에 도금될 것이다.
단계 3: 도전성 막의 두께가 설정값 또는 두께에 도달할 때, 전원(11)과 LMFC(21)를 끄고, 원통형 벽(101, 103)을 아래 위치로 이동.
단계 4: 원통형 벽(105, 107) 대해서 단계 1 내지 3을 반복(LMFC(22), 원통형 벽(105, 107)).
단계 5: 튜브(109)에 대해 단계 4를 반복(LMFC(23), 튜브(109)).
10B. 10A 프로세스로 도금된 금속 시드층상에 금속 도금을 연속적으로 하기 위한 프로세스 단계
단계 6: LMFC(21, 22, 23) 턴온, 모든 원통형 벽(101, 103, 105, 107)과 튜브(109)를 웨이퍼(31)에 가깝게 이동. 주로, 각 LMFC로부터 전해질의 유량은 대응 LMFC에 의해 커버된 웨이퍼 영역에 비례해서 설정된다.
단계 7: 모든 흐름을 안정화시킨 후, 전원(11)을 턴온.
단계 8: 막 두께가 미리결정한 설정값에 도달할 때 모든 원통형 벽을 이들의 아래 위치로 하향으로 이동, 그리고 모든 LMFC을 동시에 턴오프하고, 그 다음에 전원(11) 턴오프. 각 쌍의 원통형 벽은 또한 두께 균일성을 제어하기 위해서 전원(11) 턴온 중에 다른 시간에 하향으로 이동될 수 있다. 예를 들어, 도 41b에 도시한 바와 같이, 원통형 벽(105, 107)이 LMFC(22) 턴온 중에 보다 높은 위치에 유지되어 있다. 원통형 벽(105, 107) 위의 웨이퍼 영역은 그 부분에서 여분의 도금막을 가질 것이다. 여분의 도금 시간과 위치는 웨이퍼상의 도금된 막의 두께 균일성을 분석함으로써 결정된다.
3. 다중 전원 및 단일 LMFC
도 42a 및 도 42b는 본 발명에 따라서 상부에 배리어층을 가진 기판상에 도전성 막을 도금하기 위한 다중 전원과 단일 LMFC를 가진 장치의 한 실시예를 도시한다. 도 42a 및 도 42b의 실시예는 LMFC(21, 22, 23, 24)가 단일 LMFC(55)에 이해 교체된 것을 제외하고는 도 16a 및 도 16b의 것과 유사하다.
11A. 배리어층상에 직접 도전성 막(또는 시드층)을 도금하기 위한 프로세스 단계
단계 1: LMFC(55) 턴온. 전해질 내에 모든 웨이퍼를 함침.
단계 2: 전해질의 흐름이 안정되어진 후, 양극(4)에 양의 전위가 출력되도록 전원(11)을 턴온, 제각기 양극(3, 2, 1)에 음의 전위가 출력되도록 전원(12, 13, 14)을 턴온. 그러므로, 양의 금속 이온이 양극(4)위의 웨이퍼(31)의 상기 부분상에만 도금될 것이다.
단계 3: 금속 도전성 막의 두께가 설정값 또는 두께에 도달할 때, 전원(11)을 턴오프.
단계 4: 양극(3)에 대해 단계 2와 3을 반복(양극(3)에 양의 전위가 출력되도록 전원(12)을 턴온, 제각기 양극(2, 1)에 양의 전위가 출력되도록 전원(12, 13, 14)을 턴온).
단계 5: 양극(2)에 대해 단계 4를 반복(양극(2)에 양의 전위가 출력되도록 전원(13), 양극(1)에 음의 전위가 출력되도록 전원(14)을 턴온.
단계 6: 양극(1)에 대해 단계 4를 반복(양극(1)에 양의 전위가 출력되도록 전원(14)을 턴온.
도 43은 (양극(4)) (3, 2, 1) 위의 웨이퍼 영역(4)을 도금하기 위한 전원 턴오프/오프 시퀸스를 도시한다. 전원 출력파형은 도 44에 도시한 바와 같이, 개량된 사인파형, 유니폴라 펄스, 리버스 펄스, 펄스-온-펄스 또는 이중 펄스와 같은 다양한 파형으로부터 선택될 수 있다.
상술한 시드층 도금 프로세스에서, 웨이퍼의 주변으로부터 웨이퍼의 중심까지 도금하는 대신에, 도금은 또한 중심으로부터 주변으로 수행될 수 있으며, 또는 임의대로 선택한 양극 시퀸스로 수행될 수 있다.
11B. 11A 프로세스로 도금된 금속 시드층상에 금속 도금을 연속적으로 하기 위한 프로세스 단계
단계 7: LMFC(55) 턴온.
단계 8: 모든 흐름을 안정화시킨 후, 전원(11, 12, 13, 14)을 턴온. 주로 각 전원의 전류는 또한 대응 양극에 의해 커버된 웨이퍼 영역에 비례해서 설정된다.
단계 9: 도금 전류가 두께 균일성 조율 변수로서 사용될 때 동시에 전원(11, 12, 13, 14) 턴오프. 변경적으로, 전원은 도금 막 두께 균일성을 조정하기 위해서 다른 시간에 턴오프될 수 있다.
도 45a 및 도 45b는 본 발명에 따라서 상부에 배리어층을 가진 기판상에 도전성 막을 도금하기 위한 다중 전원과 단일 LMFC를 가진 장치의 다른 실시예를 도시한다. 도 45a 및 도 45b의 실시예는 원통형 벽이 흐름 패턴을 조정하도록 상하로 이동할 수 있는 것을 제외하고는 도 42a 및 도 42b의 것과 유사하다. 도 45b에 도시한 바와 같이, 원통형 벽(105, 107)이 상향으로 이동되므로, 전해질은 벽(105, 107) 위의 웨이퍼의 부분을 향해서 흐른다. 이 실시예에서의 도금 프로세스 단계는 아래와 같이 기술된다.
12A. 배리어층상에 직접 도전성 막(또는 시드층)을 도금하기 위한 프로세스 단계
단계 1: 전해질이 원통형 벽(101, 103) 위의 웨이퍼의 일부분에만 닿도록, LMFC(55) 턴온해서 원통형 벽(101, 103)을 웨이퍼에 가깝게 이동.
단계 2: 전해질의 흐름이 안정되어진 후, 전원(11)을 턴온. 양의 금속 이온이 원통형 벽(101, 103)위의 웨이퍼(31)의 상기 부분상에 도금될 것이다.
단계 3: 도전성 막의 두께가 설정값 또는 두께에 도달할 때, 전원(11)을 턴오프, 원통형 벽(101, 103)을 아래 위치로 이동.
단계 4: 원통형 벽(105, 107) 대해서 단계 1 내지 3을 반복(원통형 벽(105, 107)과 전원(12)).
단계 5: 튜브(109)에 대해 단계 4를 반복(튜브(109)와 전원(13)).
12B. 12A 프로세스로 도금된 금속 시드층상에 금속 도금을 연속적으로 하기 위한 프로세스 단계
단계 6: LMFC(55) 턴온, 모든 원통형 벽(101, 103, 105, 107)과 튜브(109)를 웨이퍼(31)에 가깝게 이동.
단계 7: 모든 흐름을 안정화시킨 후, 전원(11, 12, 13, 14)을 턴온. 주로 각 전원의 전류는 또한 대응 양극에 의해 커버된 웨이퍼 영역에 비례해서 설정된다.
단계 8: 도금 전류가 두께 균일성 조율 변수로서 사용될 때 동시에 전원(11, 12, 13) 턴오프. 변경적으로, 전원은 도금 막 두께 균일성을 조정하기 위해서 다른 시간에 턴오프될 수 있다.
도 46a 및 도 46b는 본 발명에 따라서 상부에 배리어층을 가진 기판상에 도전성 막을 도금하기 위한 다중 전원과 단일 LMFC를 가진 장치의 다른 실시예를 도시한다. 도 46a 및 도 46b의 실시예는 원통형 벽의 높이가 도 46b에 도시한 바와 같이, 방사외향을 따라서 감소되는 것을 제외하고는 도 42a 및 도 42b의 것과 유사하다. 전해질의 형상 또는 흐름 패턴은 원통형 벽(102)을 위로 또는 아래로 이동함으로써 조정될 수 있다. 원통형 벽이 가장 높은 위치로 이동되면, 전체 웨이퍼 영역은 전해질에 의해 닿게되며, 반면에 웨이퍼의 중심은 원통형 벽(120)이 가장 낮은 위치에 이동될 때 전해질에 의해 닿게된다. 이 실시예에서의 도금 프로세스 단계는 아래와 같이 기술된다.
13A. 배리어층상에 직접 도전성 막(또는 시드층)을 도금하기 위한 프로세스 단계
단계 1: 전해질이 웨이퍼(31)의 전체 영역에 닿도록 LMFC(55) 턴온하고 원통형 벽(120)을 가장 높은 위치로 이동.
단계 2: 전해질의 흐름이 안정되어진 후, 양극(4)에 양의 전위가 출력되도록 전원(11)을 턴온, 제각기 양극(3, 2, 1)에 음의 전위가 출력되도록 전원(12, 13, 14)을 턴온. 그러므로, 양의 금속 이온이 양극(4)위의 웨이퍼(31)의 상기 부분상에만 도금될 것이다.
단계 3: 웨이퍼의 주변 부분상에 도전성 막의 두께가 설정값 또는 두께에 도달할 때, 전원(11)을 턴오프.
단계 4: 단계 3에서 금속 박막에 의해 도금된 웨이퍼의 주변 부분만이 전해질로부터 빠져나오도록 원통형 벽(120)을 아래 위치로 이동.
단계 5: 양극(3)에 대해 단계 2와 3을 반복(양극(3)에 양의 전위가 출력되도록 전원(12)을 턴온, 양극(2, 1)에 음의 전위가 출력되도록 전원(13, 14)을 턴온).
단계 6: 단계 5에서 금속 박막에 의해 도금된 웨이퍼의 주변 부분만이 전해질로부터 빠져나오도록 원통형 벽(120)을 아래 위치로 이동.
단계 7: 양극(2)에 대해 단계 2와 3을 반복(양극(2)에 양의 전위가 출력되도록 전원(12)을 턴온, 양극(1)에 음의 전위가 출력되도록 전원(14)을 턴온).
단계 8: 단계 7에서 금속 박막에 의해 도금된 웨이퍼의 주변 부분만이 전해질로부터 빠져나오도록 원통형 벽(120)을 아래 위치로 이동.
단계 9: 양극(1)에 대해 단계 2와 3을 반복(양극(1)에 양의 전위가 출력되도록 전원(14)을 턴온).
13B. 13A 프로세스로 도금된 금속 시드층상에 금속 도금을 연속적으로 하기 위한 프로세스 단계
단계 10: 웨이퍼(31)의 전체 영역이 전해질에 의해 닿도록, LMFC(55) 턴온하고 원통형 벽(120을 가장 높은 위치로 이동.
단계 11: 모든 흐름을 안정화시킨 후, 전원(11, 12, 13, 14)을 턴온. 주로 각 전원의 전류는 또한 대응 양극 또는 전원에 의해 커버된 웨이퍼 영역에 비례한다.
단계 12: 도금 전류가 두께 균일성 조율 변수로서 사용될 때 동시에 전원(11, 12, 13, 14) 턴오프. 변경적으로, 전원은 도금 막 두께 균일성을 조정하기 위해서 다른 시간에 턴오프될 수 있다.
도 47a 및 도 47b는 본 발명에 따라서 상부에 배리어층을 가진 기판상에 도전성 막을 도금하기 위한 다중 전원과 단일 LMFC를 가진 장치의 다른 실시예를 도시한다. 도 47a 및 도 47b의 실시예는 원통형 벽(120)이 고정되어 있고 전해질의 레벨이 전해질의 유량을 조정하기 위해서 변경되어 있는 것을 제외하고는 도 46a 및 도 46b의 것과 유사하다. 전해질의 유량이 크면, 전해질 레벨은 높고, 그러므로 전체 웨이퍼 영역은 전해질에 의해 닿는다. 유량이 적으면, 전해질 레벨은 낮으므로, 웨이퍼(31)의 주변 부분은 도 47b에 도시한 바와 같이, 전해질로부터 빠져나온다. 이 실시예에서의 도금 프로세스 단계는 아래와 같이 기술된다.
14A. 배리어층상에 직접 도전성 막(또는 시드층)을 도금하기 위한 프로세스 단계
단계 1: 전해질이 웨이퍼(31)의 전체 영역에 닿도록 LMFC(55) 턴온하고 유량을 충분하게 설정.
단계 2: 전해질의 흐름이 안정되어진 후, 양극(4)에 양의 전위가 출력되도록 전원(11)을 턴온, 제각기 양극(3, 2, 1)에 음의 전위가 출력되도록 전원(12, 13, 14)을 턴온. 그러므로, 양의 금속 이온이 양극(4)위의 웨이퍼(31)의 상기 부분상에만 도금될 것이다.
단계 3: 웨이퍼의 주변 부분상에 도전성 막의 두께가 설정값 또는 두께에 도달할 때, 전원(11)을 턴오프.
단계 4: 단계 3에서 금속 박막에 의해 도금된 웨이퍼의 주변 부분만이 전해질로부터 빠져나오도록 하는 값으로 전해질의 유량을 감소.
단계 5: 양극(3)에 대해 단계 2와 3을 반복(양극(3)에 양의 전위가 출력되도록 전원(12)을 턴온, 양극(2, 1)에 음의 전위가 출력되도록 전원(13, 14)을 턴온).
단계 6: 단계 5에서 금속 박막에 의해 도금된 웨이퍼의 주변 부분만이 전해질로부터 빠져나오도록 전해질 유량을 감소.
단계 7: 양극(2)에 대해 단계 2와 3을 반복(양극(2)에 양의 전위가 출력되도록 전원(12)을 턴온, 양극(1)에 음의 전위가 출력되도록 전원(14)을 턴온).
단계 8: 단계 7에서 금속 박막에 의해 도금된 웨이퍼의 주변 부분만이 전해질로부터 빠져나오도록 전해질 유량을 감소.
단계 9: 양극(1)에 대해 단계 2와 3을 반복(양극(1)에 양의 전위가 출력되도록 전원(14)을 턴온).
14B. 14A 프로세스로 도금된 금속 시드층상에 금속 도금을 연속적으로 하기 위한 프로세스 단계
단계 10: 웨이퍼(31)의 전체 영역이 전해질에 의해 닿도록, 전해질 유량을 증가.
단계 11: 모든 흐름을 안정화시킨 후, 전원(11, 12, 13, 14)을 턴온. 주로 각 전원의 전류는 또한 대응 양극 또는 전원에 의해 커버된 웨이퍼 영역에 비례한다.
단계 12: 도금 전류가 두께 균일성 조율 변수로서 사용될 때 동시에 전원(11, 12, 13, 14) 턴오프. 변경적으로, 전원은 도금 막 두께 균일성을 조정하기 위해서 다른 시간에 턴오프될 수 있다.
도 48a 및 도 48b는 본 발명에 따라서 상부에 배리어층을 가진 기판상에 도전성 막을 도금하기 위한 다중 전원과 단일 LMFC를 가진 장치의 다른 실시예를 도시한다. 도 48a 및 도 48b의 실시예는 전해질 레벨이 고정되어 있고 웨이퍼(31) 자체가 전해질에 의해 접촉된 웨이퍼 영역의 크기를 조정하도록 상하로 이동될 수 있는 것을 제외하고는 도 47a 및 도 47b의 것과 유사하다. 웨이퍼가 가장 낮은 위치로 이동되면, 전체 웨이퍼 영역은 전해질에 의해 닿는다. 웨이퍼가 가장 높은 위치에 이동되면, 웨이퍼(31)의 중심 영역만이 도 48b에 도시한 바와 같이, 전해질에 의해 접촉된다. 이 실시예에서의 도금 프로세스 단계는 아래와 같이 기술된다.
15A. 배리어층상에 직접 도전성 막(또는 시드층)을 도금하기 위한 프로세스 단계
단계 1: LMFC(55) 턴온하고 전해질이 웨이퍼(31)의 전체 영역에 닿도록 하는 위치로 웨이퍼(31)를 이동.
단계 2: 전해질의 흐름이 안정되어진 후, 양극(4)에 양의 전위가 출력되도록 전원(11)을 턴온, 제각기 양극(3, 2, 1)에 음의 전위가 출력되도록 전원(12, 13, 14)을 턴온. 그러므로, 양의 금속 이온이 양극(4)위의 웨이퍼(31)의 상기 부분상에만 도금될 것이다.
단계 3: 웨이퍼의 주변 부분상에 도전성 막의 두께가 설정값 또는 두께에 도달할 때, 전원(11)을 턴오프.
단계 4: 단계 3에서 금속 박막에 의해 도금된 웨이퍼의 주변 부분만이 전해질로부터 빠져나오도록 하는 위치까지 웨이퍼(31)를 이동.
단계 5: 양극(3)에 대해 단계 2와 3을 반복(양극(3)에 양의 전위가 출력되도록 전원(12)을 턴온, 양극(2, 1)에 음의 전위가 출력되도록 전원(13, 14)을 턴온).
단계 6: 단계 5에서 금속 박막에 의해 도금된 웨이퍼의 주변 부분만이 전해질로부터 빠져나오도록 하는 위치로 웨이퍼(31)를 이동.
단계 7: 양극(2)에 대해 단계 2와 3을 반복(양극(2)에 양의 전위가 출력되도록 전원(12)을 턴온, 양극(1)에 음의 전위가 출력되도록 전원(14)을턴온).
단계 8: 단계 7에서 금속 박막에 의해 도금된 웨이퍼의 주변 부분만이 전해질로부터 빠져나오도록 하는 위치로 웨이퍼(31)를 이동.
단계 9: 양극(1)에 대해 단계 2와 3을 반복(양극(1)에 양의 전위가 출력되도록 전원(14)을 턴온).
15B. 15A 프로세스로 도금된 금속 시드층상에 금속 도금을 연속적으로 하기 위한 프로세스 단계
단계 10: 웨이퍼(31)의 전체 영역이 전해질에 의해 닿도록 하는 위치로 웨이퍼(31)를 이동.
단계 11: 모든 흐름을 안정화시킨 후, 전원(11, 12, 13, 14)을 턴온. 주로 각 전원의 전류는 또한 대응 양극 또는 전원에 의해 커버된 웨이퍼 영역에 비례한다.
단계 12: 도금 전류가 두께 균일성 조율 변수로서 사용될 때 동시에 전원(11, 12, 13, 14) 턴오프. 변경적으로, 전원은 도금 막 두께 균일성을 조정하기 위해서 다른 시간에 턴오프될 수 있다.
4. 단일 전원 및 단일 LMFC
도 49a 및 도 49b는 본 발명에 따라서 상부에 배리어층을 가진 기판상에 도전성 막을 도금하기 위한 단일 전원과 단일 LMFC를 가진 장치의 다른 실시예를 도시한다. 도 49a 및 도 49b의 실시예는 전원의 수가 하나로 감소되고 모든 양각이 단일 전원(11)에 연결되어 있는 것을 제외하고는 도 45a 및 도 45b의 것과 유사하다. 유사하게, 원통형 벽은 흐름 패턴을 조정하도록 상하로 이동될 수 있다. 도 49b에 도시한 바와 같이, 원통형 벽(105, 107)이 상향으로 이동하므로, 전해질은 벽(105, 107)위의 웨이퍼 부분을 향해 흐른다. 이 실시예에서의 도금 프로세스 단계는 아래와 같이 기술된다.
16A. 배리어층상에 직접 도전성 막(또는 시드층)을 도금하기 위한 프로세스 단계
단계 1: 전해질이 원통형 벽(101, 103) 위의 웨이퍼의 일부분에만 닿도록, LMFC(55) 턴온해서 원통형 벽(101, 103)을 웨이퍼에 가깝게 이동.
단계 2: 전해질의 흐름이 안정되어진 후, 전원(11)을 턴온. 양의 금속 이온이 원통형 벽(101, 103)위의 웨이퍼(31)의 상기 부분상에 도금될 것이다.
단계 3: 도전성 막의 두께가 설정값 또는 두께에 도달할 때, 전원(11)을 턴오프, 원통형 벽(101, 103)을 아래 위치로 이동.
단계 4: 원통형 벽(105, 107) 대해서 단계 1 내지 3을 반복(원통형 벽(105, 107)을 웨이퍼(31)에 가깝게 위로 이동 그리고 전원(11) 턴온).
단계 5: 튜브(109)에 대해 단계 4를 반복(튜브(109)를 웨이퍼(31)에 가깝게 위로 이동 그리고 전원(11) 턴온).
16B. 16A 프로세스로 도금된 금속 시드층상에 금속 도금을 연속적으로 하기 위한 프로세스 단계
단계 6: LMFC(55) 턴온, 모든 원통형 벽(101, 103, 105, 107)과 튜브(109)를 웨이퍼(31)에 가깝게 위로 이동.
단계 7: 모든 흐름을 안정화시킨 후, 전원(11)을 턴온.
단계 8: 모든 원통형 벽을 동시에 아래 위치로 아래로 이동하고, 그리고 나서 막 두께가 미리결정한 설정값에 도달할 때 전원(11) 턴오프. 각 쌍의 원통형 벽은 또한 막 두께 균일성을 조정하기 위해서 전원(11) 온 상태에서 다른 시간에 아래로 이동될 수 있다. 예를 들어, 도 49b에 도시한 바와 같이, 원통형 벽(105, 107)은 전원(11) 온 상태일 때 보다 높은 위치에 유지된다. 원통형 벽(105, 107)위의 웨이퍼 영역은 그 부분에서 여분의 도금막을 가질 것이다. 여분의 도금 시간과 위치는 나중의 막 특성을 통해서 웨이퍼상의 도금된 막의 두께 균일성을 분석함으로써 결정된다.
5. 다른 가능한 조합
도 17 실시예의 확산기와 같은 유량 조정기는 단일 LMFC를 사용하는 모든 실시예로 삽입될 수 있을 것이다. 일련으로 연결된 두 필터, 즉 첫 번째 것은 1㎛보다 큰 입자를 필터링하기 위한 거치 필터, 두 번째 것은 0.1㎛보다 큰 입자를 필터링하기 위한 미세한 필터를 예로서 가진 다중 스테이지 필터는 사용될 수 있다. 또한, 웨이퍼를 회전하는 도금 욕 대신에, 웨이퍼내의 양호한 막 균일성을 얻기 위해서 도금동안 회전될 수 있다. 이 경우에, 전해질을 운송하도록 형상되어진, 도금 전류를 도전하기 위한 슬립 링이 사용될 수 있다. 변경적으로, 전해질을 운송하기 위한 개별 구조물이 사용될 수 있다.
두께 균일성 모니터는 도 50에 도시한 바와 같이 본 발명에 따른 도금 욕에 추가될 수 있다. 한 두께 검출기(500)는 다른 반경을 가진 각 서브-도금 욕 또는 채널 아래에 설정된다. 검출기(500)는 두께 신호를 검출한 후, 신호를 컴퓨터(502)로 전달한다. 컴퓨터(502)는 신호를 처리해서 두께 균일성을 출력한다. 또한, 웨이퍼 회전 위치는 주변 방향을 따라서 있는 위치에 위치되도록 컴퓨터(502)에 입력될 수 있다. 이 경우에, 도금 욕의 바닥은 투명 재료로 만들어지며 또는 레이저 빔이 통과할 수 있는 윈도우를 가진다.
도 51은 두께 균일성 모니터를 가진 장치의 다른 실시예이다. 이 실시예는 광섬유(504)가 사용된 것을 제외하고는 도 50의 실시예와 유사하다. 검출기(500)로부터 나온 레이저 빔은 광섬유(504)를 통해서 웨이퍼로 통과한다. 웨이퍼로부터 반사된 레이저 빔은 또한 광섬유(504)를 통과하고 검출기(500)로 복귀한다. 이 실시예의 장점은 도금 욕의 바닥을 투명 재료로 만들 필요가 없다는 것이다.
다양한 금속은 본 발명의 장치와 방법을 사용해서 도금될 수 있다. 예를 들어, 구리, 니켈, 크롬, 아연, 카드뮴, 은, 금, 리튬, 파라듐, 플라디늄, 주석, 납, 철 및 인듐은 모두 본 발명으로 도금될 수 있다.
구리를 도금하는 경우에, 세 가지 유형의 전해질, 즉, 시안화물, 산 및 피로포스페이트 복합 전해질이 사용된다. 시안화물 구리 전해질의 기본 조성은 시안화 구리, 시안화 나트륨, 탄산나트륨, 수산화나트륨 및 로셸염이다. 산 구리 전해질의 기본 조성은 황산구리, 황산, 플루오르화붕소산 구리, 플루오르화붕소산 및 붕소산이다. 피로포스페이트 구리 전해질의 기본 조성은 구리 피로포스페이트, 칼륨 피로포스페이트, 질산 암모늄 및 암모니아이다. 공정 집적화를 고려하면, 산 구리 전해질이 구리를 반도체 웨이퍼 상에 도금하는데 바람직하다.
은을 도금하는 경우, 시안화물 전해질이 사용된다. 이러한 시안화물 전해질의 기본 조성은 시안화 은, 시안화 칼륨, 탄산칼륨, 수산화칼륨 및 질산 칼륨이다.
금을 도금하는 경우, 시안화물 전해질이 사용된다. 이러한 시안화물 전해질의 기본 조성은 칼륨 금 시안화물, 시안화 칼륨, 탄산 칼륨, 인산 일수소 이칼륨, 수산화 칼륨, 인산 이수소 일칼륨 및 질산 칼륨이다.
매끄러운 표면, 작은 입자 크기, 트리(tree)화 경향 감소, 작은 막 스트레스, 낮은 저항력, 양호한 부착성 및 우수한 갭 충진성 면에서 막 특성을 개선시키기 위해 첨가제가 사용될 수 있다. 산 구리 도금의 경우, 하기 물질이 첨가제로서 사용될 수 있다: 아교, 덱스트로스, 페놀술폰산, 당밀 및 티오우레아. 시안화물 구리 도금용 첨가제로는 활성 황기 및/또는 셀레늄 또는 텔루륨과 같은 준금속을 함유하는 화합물; 유기 아민 또는 이들의 활성 황 함유 화합물과의 반응 생성물; 셀레늄, 텔루륨, 납, 탈륨, 안티몬, 비소와 같은 금속을 함유하는 무기 화합물; 및 유기 질소 및 황 헤테로고리 화합물을 포함한다.
5. 시스템 구조 디자인(적층 구조)
도 52a 내지 도 52c는 본 발명에 따라서 반도체 웨이퍼상의 도전성막을 도금하기 위한 도금 시스템의 실시예의 사시도이다. 이것은 자동 웨이퍼 운송을 하는 완전히 컴퓨터 제어식 시스템과 드라이-인 및 드라이-아웃 성능을 가진 클리닝 모듈에 뛰어나다. 5개의 적층 도금 욕(300, 302, 304, 306, 308), 5개의 적층 클리닝/건조 챔버(310, 312, 314, 316, 318), 로봇(322), 웨이퍼 카세트(321, 322), 전해질 탱크(36) 및 플럼빙 박스(330)로 이루어져 있다. 상술한 바와 같이, 도금 욕(300)은 도금 프로세스 동안 웨이퍼를 회전 또는 진동하도록 양극, 원통형 벽 또는 튜브, 웨이퍼 척 및 드라이버를 포함한다. 전해질 탱크(36)는 온도 제어기를 포함한다. 플럼빙 박스(330)는 펌프, LMFC, 밸브, 필터, 플럼빙 연결부로 구성되어 있다. 도금 시스템은 추가로 컴퓨터 제어 하드웨어, 전원 및 작동 시스템 제어 소프트웨어 패키지를 포함한다. 로봇(322)은 큰 z-운동을 한다. Genmark Automation, Inc.에 의해 만들어진 구형 위치설정 능력을 가진 확장식(적층식) 형태 로봇이 양호하다. 이 실시예의 작동 프로세스 시퀸스는 아래에 기술되어 있다.
단일 웨이퍼 도금 작동 시퀸스
단계 A: 손으로 또는 로봇으로 웨이퍼 카세트(320, 321)를 도금 툴에 장착.
단계 B: 처리법 선택해서 프로세스 실행 시작.
단계 C: 제어 시스템이 처리 명세내의 모든 시스템 매개변수를 체크하고, 시스템 알람이 없다는 것을 결정하는 것을 포함해서 시스템을 초기화.
단계 D: 초기화를 완료한 후, 로봇(322)이 카세트(320 또는 321)로부터 웨이퍼를 집어 도금 욕중 하나(300, 또는 302, 또는 304, 또는 306, 또는 308) 보냄.
단계 E: 웨이퍼상의 금속막 도금.
단계 F: 도금 완료후, 로봇(322)이 도금 욕으로부터 도금된 웨이퍼를 집어 클리닝/드라이닝 챔버(310, 또는 312, 또는 314, 또는 316, 또는 318)로 운송.
단계 G: 도금된 웨이퍼를 클리닝
단계 H: 스핀-건조 및/또는 N2정화를 통해서 도금된 웨이퍼 건조
단계 I: 로봇(322)이 건조된 웨이퍼를 집어 카세트(320 또는 321)로 운송.
도 53은 다중 웨이퍼를 동시에 도금하기 위한 프로세스 시퀸스를 도시한다. 다중 웨이퍼를 도금하기 위한 프로세스 시퀸스는 컴퓨터가 프로세스 단계 I이후 카세트(320 또는 321)내에 약간의 비처리된 웨이퍼가 남아 있는지 체크하는 것을 제외하고는 단일 웨이퍼를 도금하는 것과 유사하다. 카세트(320 또는 321)내에 비처리된 웨이퍼가 남아 있지 않으면, 그러면 시스템 루프는 단계 A로 다시 간다. 즉 새로운 카세트를 장착 또는 카세트 교체한다. 카세트(320 및/또는 321)내에 비처리된 웨이퍼가 남아 있으면, 그러면 시스템 루프는 단계 D로 다시 간다. 즉 로봇(322)이 카세트로부터 비처리된 웨이퍼를 집어서 도금 욕중 하나로 운송한다.
프로세스 단계 E는 두 개의 프로세스 단계, 첫 번째 배리어층상에 직접 시드층을 도금하는 단계와 두 번째 도금된 시드층상에 금속막을 도금하는 단계를 포함할 수 있다.
하나의 욕내에 시드층 도금과 시드층상에 금속 도금을 수행하는 대신에, 두 개의 프로세스 단계는 다른 욕에서 이루어질 수 있다. 다른 욕내에서 두 개의 프로세스 단계를 행하는 장점은, 시드층 도금용 전해질이 시드층상에 연속 도금을 위한 전해질과 다르기 때문에, 보다 양호한 프로세스 제어 또는 보다 넓은 프로세스 윈도우에 있다. 여기서, 다른 전해질은 다른 산 형태, 산의 다른 농도, 다른 첨가제, 첨가제의 다른 농도 또는 다른 프로세스 온도를 의미한다. 또한, 높은 밀도 핵 사이트, 부드러운 형상과 같은 시드층 도금 필요성, 매우 초기 스테이지(< 몇 백Å)에서의 연속 막, 컨포말 층에 대한 필요성을 고려해서 도금 하드웨어를 다르게 할 수 있다. 시드층에 대한 연속적인 도금은 높은 도금 속도, 단일 결정구조, 특정 입계 방위 및 보이드 없는 갭 충진을 필요로 한다.
한 챔버내에서 여러 웨이퍼를 클리닝하는 대신에, 클리닝 프로세스는 여러 챔버내에서 수행될 수 있다. 클리닝 프로세스는 몇 단계로 구성될 수 있으며, 각 단계는 다른 용액 또는 다른 용액의 농도를 사용하거나, 또는 다른 하드웨어를 사용한다. 프레임(301)의 바닥상에 로붓(322)을 장착하는 대신에, 로봇(322)은 프레임(301)의 상부상에 거꾸로 매달릴 수 있다.
다섯 개의 도금 욕 및 다섯 개의 클리닝/드라이닝 챔버를 배열하는 대신에, 도금 욕의 수와 클리닝/드라이닝의 수를 아래의 표에서 도시한 바와 같이 1 내지 10으로 변경될 수 있다.
1 2 3 4 5 6 7 8 9
도금 욕번호 1 2 3 4 5 6 7 8 9
클리닝/드라이닝 챔버 번호 9 8 7 6 5 4 3 2 1
양호한 범위는 위의 표에서 밑줄친 범위이다.
도 54a 내지 도 54c는 본 발명에 따라서 반도체 웨이퍼상의 도전성막을 도금하기 위한 도금 시스템의 다른 실시예의 사시도이다. 도 54a 내지 도 54c 실시예는 카세트(320)가 로봇(323)에 의해 상하로 이동하는 것을 제외하고는 도 52a 내지 도 52c의 실시예와 유사하다. 카세트(320)의 위치는 로봇의 위치에 일치하도록 상하로 이동되므로, 로봇(322)은 비처리된 웨이퍼를 카세트(320)로부터 집거나 도금된 건조 웨이퍼를 카세트(320)에 다시 놓을 때 Z 방향으로의 이동을 필요하지 않는다. 이것은 로봇의 운송 속도를 증가한다.
도 55는 본 발명에 따라서 반도체 웨이퍼상에 도전성 막을 도금하기 위한 도금 시스템의 다른 실시예의 사시도이다. 도 55는 로봇 자체가 X방향으로 이동될 수 있는 것을 제외하고는 도 52a 내지 도 52c의 실시예와 유사하다. 이 방법으로, 로봇은 Z 축선 둘레로 회전하는 기능을 필요로 하지 않을 수 있다.
도 56은 본 발명에 따라서 반도체 웨이퍼상에 도전성 막을 도금하기 위한 도금 시스템의 다른 실시예의 사시도이다. 도 56의 시스템은 도금 욕 및 클리닝/드라이닝 챔버가 한 칼럼내에 놓여 있는 것을 제외하고는 도 52a 내지 도 52c의 실시예와 유사하다. 도 52a 내지 도 52c와 비교하면, 시스템의 풋프린트는 감소되며, 그러나 웨이퍼 생산량은 감소된다.
도 57a 내지 도 57c는 본 발명에 따라서 반도체 웨이퍼상의 도전성 막을 도금하기 위한 도금 시스템의 다른 실시예의 사시도이다. 이것은 도금 욕과 클리닝/드라이닝 챔버의 3개의 칼럼, 선형 가동 로봇(322), 디스플레이 스크린(340), 두 개의 적층 카세트, 플럼빙 박스(330) 및 전해질 탱크로 구성되어 있다. 도금 프로세스 단계는 도 52a 내지 도 52c 실시예에 대해서 기술한 것들과 유사하다.
도 58a 내지 도 58c는 본 발명에 따라서 반도체 웨이퍼상의 도전성 막을 도금하기 위한 도금 시스템의 추가의 실시예의 사시도이다. 도금 욕은 튜브(109)내에 놓인 양극 로드(1), 제각기 원통형 벽(107, 105), (103, 101)사이에 위치된 양극 링(2, 3)을 포함한다. 양극(1, 2, 3)은 제각기 전원(13, 12, 11)에 의해 동력을 받는다. 도금 프로세스내의 전원 각각에 의해 전달된 전하는 제각기 전하 측정기(11A, 12A, 13A)에 의해 모니터된다. 전해질(34)은 펌프(33)에 의해 펌프되어 필터(32)를 통과하고 액체 매스 플루우 제어기(LMFC)(21, 22, 23)의 입구에 도달한다. LMFC(21, 22, 23)는 제각기 양극(3, 2, 1)을 포함하는 서브-도금 욕에 설정 유량으로 전해질을 전달한다. 웨이퍼(31)와 원통형 벽의 상부사이의 갭을 통해서 흐른 후, 전해질은 제각기 원통형 벽(100, 101), (103, 105)과 (107, 109)사이의 공간을 통해서 탱크(36)로 다시 흐른다. 압력 누설 밸브(38)는 펌프의 출구와 전해질 탱크(36)사이에 놓여지고 LMFC(21, 22, 23)이 폐쇄될 때 전해질을 탱크(36)로 다시 누설한다. 욕 온도는 가열기(42), 온도 센서(40)와 가열기 제어기(44)에 의해 제어된다. 웨이퍼 척(29)에 의해 척킹된 웨이퍼(31)는 전원(11, 12, 13)에 연결되어 있다. 구동 기구(30)는 z 축선 둘레로 ωz1 속도로 웨이퍼(31)를 회전하고, 웨이퍼(31)를 x, y 및 z 방향으로 웨이퍼를 진동하는데 사용된다. LMFC는 안티-산성 또는 안티-부식성이고 오염물 없는 매스 플루우 제어기이다. 필터(32)는 저 입자 추가된 도금 프로세스를 얻기 위해서 0.05 또는 0.1㎛보다 큰 입자를 여과한다. 펌프(33)는 안티-산성 또는 안티-부식성이고 오염물 없는 펌프라 한다. 원통형 벽(110, 101, 103, 105, 107, 109)은 전기 절연성 재료로 만들어진다. 이들 재료는 또한 테트라플루오르에틸렌, 염화 폴리비닐(PVC), 불화 폴리비닐이덴(PVDF), 폴리프로필렌 등과 같은, 안티-산성 또는 안티-부식성및 용해된 비산성, 금속없는 재료이다.
16. 초 박막 시드층 또는 배리어층상에 직접 도전성 막을 도금하기 위한 프로세스 단계
단계 1: 전원(11) 턴온.
단계 2: 전해질이 양극(3) 위의 웨이퍼(31)의 일부분에만 닿도록, LMFC(21) 만 턴온. 양의 금속 이온이 양극(3)위의 웨이퍼(31)의 부분 영역상에 도금될 것이다.
단계 3: 금속 도전성 막의 두께가 설정값 또는 두께에 도달할 때, 전원(11)과, LMFC(21) 턴온 상태에서 단계 4로 감.
단계 4: 양극(2)(LMFC(22) 및 전원(12))에 대해서 단계 1 내지 3을 반복. 전원(11, 12)과 LMFC(21, 22) 온 상태에서 단계 5로 감.
단계 5: 양극(1)(LMFC(23) 및 전원(13))에 대해서 단계 4를 반복. 전체 웨이퍼상의 막 두께가 설정값에 도달하면, 모든 전원과 LMFC를 동시에 턴오프.
상기 도금 프로세스 동안, 전원은 DC 모드, 펄스 모드, 또는 DC 혼합 모드로 작동될 수 있다. 도 59는 시드층 도금동안 각 전원 온/오프 시퀸스를 도시한다. 단계 3의 완료후, 전원(11)의 출력 전압은 도금 또는 디플레이팅이 양극(3) 위의 웨이퍼의 부분상에 일어나지 않도록 하는 레벨까지 감소될 수 있다. 단계 3 및 4의 완료후, 전원(11, 12)의 출력 전압은 시간 T3, T2 및 T1 동안 양극(3, 2, 1)에 전달된 전체 전하는 아래 요구 조건을 만족하도록 하는 레벨까지 감소될 수 있다.
Q3/(양극(3) 위의 영역) =
Q2/(양극(2) 위의 영역) =
Q1/(양극(1) 위의 영역) =예비설정 값
여기서, Q3은 전체 도금 프로세스 동안 양극(3)에 전달된 전체 전하이고, Q2는 양극(2)에 전달된 전체 전하이고 Q1은 전체 도금 프로세스 동안 양극(1)에 전달된 전체 전하이다.
전하 모니터(11A, 12A, 13A)는 인시튜 두께 모니터로서 사용된다. 예를 들어, 어느 전원의 변동에 의해 발생된 전하 변화량은 컴퓨터로 다시 공급될 수 있다. 컴퓨터는 동일한 전원에 의해 전달된 전류를 조정하거나 또는 도금 시간을 조정함으로써 변화량을 수정할 수 있다.
위의 공정의 장점은 전체 도금 프로세스 동안 디플레이팅이 일어나지 않는다는 것이다. 이런 디플레이팅은 추가로 두께를 변화시켜, 도금된 막에 부식을 야기할 수 있다.
도 60a 및 도 60b는 본 발명에 따른 도전성 막을 도금하기 위한 장치의 다른 실시예를 도시한다. 도 60a 및 도 60b의 실시예는 각 채널의 출력이 다중 소형 노즐(800)에 의해 채택되는 것을 제외하고는 도 58a 및 도 58b의 것과 유사하다. 이들 노즐은 막 균일성을 강화할 것이다.
도 61은 본 발명에 따른 도전성 막을 도금하기 위한 장치의 다른 실시예를 도시한다. 도금 욕(88)은 기구 수단(도시 생략)에 의해 회전되어 전해질의 포물선 표면을 형성한다. 양극(804)은 욕(88)의 내측에 설정되고 전원(806)에 연결된다. 웨이퍼 척(29)은 x, y, z 운동으로 구동되고 z-축선 둘레로 회전한다.
17. 초 박막 시드층 또는 배리어층상에 직접 도전성 막을 도금하기 위한 프로세스 단계
단계 1: 전해질을 욕(800)에 전달.
단계 2: 전해질의 상부상에 포물선 표면을 형성하도록 z축선 둘레로 ωz2의 속도로 욕(800)을 회전.
단계 3: 전원(806)을 턴온.
단계 4: 전체 웨이퍼 표면이 전해질에 의해 닿을 때까지 정해진 속도로 척을 아래로 이동. 회전각 또는 경사각은 0 내지 180도의 범위이다. 척을 아래로 이동하는 속도는 초기 막 두께 분포를 결정한다. 이 초기 두께 분포는 연속적인 도금 동안 웨이퍼에서의 전위에 영향을 준다.
단계 5: 막이 미리설정한 값에 도달할 때, 욕(800)을 구동하도록 전해질 펌프 , 전원 및 구동 수단을 턴오프.
위의 프로세스 동안, 척은 막 균일성을 더 보강하도록 z축선 둘레로 회전할 수 있다. 척의 회전 방향은 욕(800)의 것과 반대되는 것이 바람직하다.
도 62 및 도 63은 본 발명에 따른 도전성 막을 도금하기 위한 장치의 다른 두 실시예를 도시한다. 도 62 및 도 63의 실시예는 단일 양극이 다중 양극에 의해 교체된 것을 제외하고는 도 61의 것과 유사하다. 에지에 위치된 절연 벽의 높이는 욕의 중앙에 위치된 것보다 더 높다. 이들 두 실시예는 웨이퍼에서의 막 균일성을 제어하기 위해서 추가 변수를 제공한다.
도 64 및 도 65는 본 발명에 따른 도전성 막을 도금하기 위한 장치의 다른 두 실시예를 도시한다. 도 64 및 도 65의 실시예는 욕의 중앙으로부터 에지까지 위치된 절연 벽의 높이가 동일한 것을 제외하고는 도 62와 도 63의 것과 유사하다.
도 66은 본 발명에 따른 도전성 막을 도금하기 위한 장치의 다른 실시예를 도시한다. 도 66의 실시예는 척(29)이 y 축선 또는 x 축선 둘레로 회전될 수 있어 웨이퍼의 주변 부분만이 전해질에 의해 접촉되는 것을 제외하고는 도 61의 것과 유사하다. 회전각 또는 경사각은 0 내지 180도의 범위이다.
18. 초 박막 시드층 또는 배리어층상에 직접 도전성 막을 도금하기 위한 프로세스 단계
단계 1: 전해질을 욕(800)에 전달.
단계 2: y축선 둘레 각θy로 척(29)을 회전.
단계 3: z축선 둘레에 ωz1의 속도로 척(29)을 회전.
단계 4: 전원(806)을 턴온.
단계 5: 전체 웨이퍼 표면이 전해질에 의해 닿을 때까지 정해진 속도로 척(29)을 아래로(z축선) 이동. 척을 아래로 이동하는 속도는 초기 막 두께 분포를 결정한다. 이 초기 두께 분포는 연속적인 도금 동안 웨이퍼에서의 전위에 영향을 준다.
단계 6: 막이 미리설정한 값에 도달할 때, 척(29)을 구동하도록 전해질 펌프 , 전원 및 구동 수단을 턴오프.
단계 5 동안, 웨이퍼가 완전히 전해질에 의해 접촉될 때, 웨이퍼 척은 수평이 이루어지도록 y축선 둘레로 회전될 수 있다. 이것은 막 균일성을 보강할 것이다.
도 67 및 도 68은 본 발명에 따른 도전성 막을 도금하기 위한 장치의 다른 두 실시예를 도시한다. 도 67 및 도 68의 실시예는 단일 양극이 다중 양극에 의해 교체된 것을 제외하고는 도 66의 것과 유사하다. 이들 두 실시예의 장점은 웨이퍼에서의 막 균일성을 제어하기 위해서 추가 변수를 제공하다는 것이다.
도 69는 본 발명에 따른 도전성 막을 도금하기 위한 장치의 다른 실시예를 도시한다. 도 69의 실시예는 도 61과 도 66의 것을 조합한 것이다. 이 실시예의 장점은 전해질의 표면에 대해 웨이퍼의 위치를 제어하기 위해서 추가 변수를 제공하는 것이다.
19. 초 박막 시드층 또는 배리어층상에 직접 도전성 막을 도금하기 위한 프로세스 단계
단계 1: 전해질을 욕(800)에 전달.
단계 2: y축선 둘레 각θy로 척(29)을 회전.
단계 3: z축선 둘레에 ωz1의 속도로 척(29)을 회전.
단계 4: 전해질의 상부상에 포물선 표면을 형성하도록 z축선 둘레로 ωz2의 속도로 욕(800)을 회전.
단계 5: 전원(806)을 턴온.
단계 6: 전체 웨이퍼 표면이 전해질에 의해 닿을 때까지 정해진 속도로 척(29)을 아래로(z축선) 이동. 척을 아래로 이동하는 속도는 초기 막 두께 분포를 결정한다. 이 초기 두께 분포는 연속적인 도금 동안 웨이퍼에서의 전위에 영향을 준다.
단계 7: 막이 미리설정한 값에 도달할 때, 욕(800) 및 척(29)을 구동하도록 전해질 펌프 , 전원 및 구동 수단을 턴오프.
단계 6 동안, 웨이퍼가 완전히 전해질에 의해 접촉될 때, 웨이퍼 척은 수평이 이루어지도록 y축선 둘레로 회전될 수 있다. 이것은 막 균일성을 보강할 것이다.
도 70 및 도 71은 본 발명에 따른 도전성 막을 도금하기 위한 장치의 다른 두 실시예를 도시한다. 도 70 및 도 71의 실시예는 단일 양극이 다중 양극에 의해 교체된 것을 제외하고는 도 69의 것과 유사하다. 이들 두 실시예의 장점은 웨이퍼에서의 막 균일성을 제어하기 위해서 추가 변수를 제공하다는 것이다.
도시하고 설명한 바와 같은 본 발명의 상세한 설명에서의 다양한 변경은 당업자에게 분명히 이루어질 수 있다. 이런 변경은 여기에 첨부된 청구범위의 정신과 범주내에 포함되어지는 것이다.

Claims (109)

  1. 기판의 표면상에 소망의 두께로 막을 도금하는 방법에 있어서,
    상기 기판 표면상에 직접 전해질 용액을 흘려보내는 단계와,
    상기 기판 표면의 제 1부분상에 상기 소망의 두께로 막을 도금하는 단계와,
    상기 기판 표면의 적어도 제 2부분상에 소망의 두께로 막을 도금하여 상기 기판상에 소망의 두께로 연속적인 막을 형성하는 단계를 포함하는 방법,
  2. 제 1항에 있어서, 상기 소망의 두께는 상기 기판상의 막의 연속 시드층에 적합한 방법.
  3. 제 2항에 있어서, 상기 연속 시드층상에 추가 두께를 도금하여 상기 기판상의 시드층의 상기 소망의 두께보다 큰 제 2균일한 두께의 연속 막을 형성하는 단계를 추가로 포함하는 방법,
  4. 제 3항에 있어서, 상기 기판 표면의 제 1부분상에 전해질을 흘려보내는 단계와, 막이 상기 소망의 두께에 도달할 때까지 상기 기판의 제 1부분상에 막이 도금되도록 도금 전류를 가하는 단계에 의해서, 상기 막을 상기 기판 표면의 상기 제 1부분상에 도금하며, 상기 전해질 흘려보내는 단계와 상기 도금 전류를 가하는 단계를 기판의 적어도 상기 제 2부분에 대해서 반복하는 단계에 의해서 상기 제 2부분상의 막을 상기 소망의 두께까지 도금하며, 상기 제 2 균일한 두께를 얻을 때까지 상기 기판의 제 1부분과 적어도 제 2부분에 전해질을 흘려보내고 적어도 상기 제 2부분에 도금 전류를 가하는 방법.
  5. 제 4항에 있어서, 상기 제 1 및 제 2부분에 대해서 도금 전류를 도금 전극에 독립적으로 제공함으로써 상기 기판의 제 1 및 제 2부분상에 상기 막을 도금하는 방법.
  6. 제 5항에 있어서, 상기 전해질을 상기 기판의 제 1 및 2부분에 따로 흘려보내는 방법.
  7. 제 1항에 있어서, 상기 기판의 제 1 및 제 2부분상에 전해질을 동시에 흘려보내는 단계와 상기 제 1 및 제 2부분에 대해서 도금 전류를 도금 전극에 따로 가하는 단계에 의해서 상기 막을 상기 기판의 제 1 및 제 2부분상에 도금하는 방법.
  8. 제 7항에 있어서, 상기 도금 전류를 상기 기판의 제 2부분에 가하면서, 상기 막이 상기 기판의 제 1부분상의 소망의 두께에 도달한 후 디플레이팅을 방지하도록 상기 기판의 제 1부분에 충분한 전류를 제공하는 단계를 추가로 포함하는 방법.
  9. 제 7항에 있어서, 상기 도금 전류를 상기 기판의 제 2부분에 가하면서, 디플레이팅을 방지하도록 상기 기판의 제 2부분에 충분한 도금 전류를 제공하는 단계를 추가로 포함하는 방법.
  10. 제 7항에 있어서, 상기 도금 전류를 상기 기판의 제 2부분에 가하면서, 상기 막이 상기 기판의 제 1부분상의 소망의 두께에 도달한 후 상기 기판의 제 1부분이 전해질로부터 빠져나오게 이동하는 단계를 추가로 포함하는 방법.
  11. 제 1항에 있어서, 상기 기판의 제 1부분상에 상기 막을 도금하면서 상기 기판의 제 1부분상에 전해질을 흘려보내는 단계와, 상기 기판의 제 2부분상에 상기 막을 도금하면서 동시에 상기 기판의 제 1 및 제 2부분에 전해질을 흘려보내는 단계에 의해서, 상기 막을 상기 기판의 제 1 및 제 2부분상에 도금하는 방법.
  12. 제 11항에 있어서, 상기 도금 전류를 상기 기판의 제 2부분에 가하면서, 상기 막이 상기 기판의 제 1부분상의 소망의 두께에 도달한 후 디플레이팅을 방지하도록 상기 기판의 제 1부분에 충분한 도금 전압을 제공하는 단계를 추가로 포함하는 방법.
  13. 제 1항에 있어서, 상기 기판의 제 1부분에 가깝게 가동 제트 양극을 이동함으로써 기판의 제 1부분상에 전해질만 흘려보내는 단계와, 상기기판의 제 2부분에 가깝게 가동 제트 양극을 이동함으로써 기판의 제 2부분상에 전해질만 흘려보내는 단계에 의해서 상기 막을 상기 기판의 제 1 및 제 2부분상에 도금하는 방법.
  14. 제 1항에 있어서, 상기 기판 표면을 전해질로 함침하는 단계를 추가로 포함하며, 상기 기판의 제 1부분에 가깝게 가동 제트 양극을 이동하는 단계와 개별적으로 상기 기판의 제 2부분에 가깝게 가동 제트 양극을 이동하는 단계에 의해서, 상기 막을 상기 기판의 제 1 및 제 2부분상에 도금하는 방법.
  15. 제 1항에 있어서, 상기 막을 상기 기판의 제 2부분상에 도금하면서 상기 막을 상기 기판의 제 1부분상에 연속적으로 도금하는 방법.
  16. 제 15항에 있어서, 상기 막을 상기 기판의 제 1부분상에 도금하면서 상기 기판의 제 1부분상에 전해질을 흘려보내는 단계와 상기 막을 상기 기판의 제 1 및 제 2부분상에 동시에 도금하면서 상기 기판의 제 1 및 제 2부분상에 전해질을 흘려보내는 단계에 의해서 상기 막을 상기 기판의 제 1 및 제 2부분상에 도금하는 방법.
  17. 제 16항에 있어서, 상기 막을 상기 소망의 두께로 상기 기판의 제 1 및 제 2부분상에 도금하여 연속 시드층을 형성하는데,
    상기 연속 시드층상에 추가 두께를 도금하여 상기 기판상의 시드층의 상기 소망의 두께보다 큰 제 2균일한 두께의 연속 막을 형성하는 단계를 추가로 포함하는 방법.
  18. 제 1항에 있어서, 상기 막을 상기 기판의 제 1부분상에 도금하면서 기판의 제 1부분상에만 전해질을 흘려보내는 단계와 상기 막을 상기 기판이 제 1부분상에 도금하면서 동시에 상기 기판의 제 1 및 제 2부분에 전해질을 흘려보내는 단계에 의해서 상기 막을 상기 기판의 제 1 및 제 2부분상에 도금하는 방법.
  19. 제 18항에 있어서, 상기 도금 전류를 상기 기판의 제 2부분에 가하면서, 상기 막이 상기 기판의 제 1부분상의 소망의 두께에 도달한 후 디플레이팅을 방지하도록 상기 기판의 제 1부분에 충분한 도금 전압을 제공하는 단계를 추가로 포함하는 방법.
  20. 제 19항에 있어서, 상기 막을 상기 소망의 두께로 상기 기판의 제 1 및 제 2부분상에 도금하여 연속 시드층을 형성하는데,
    상기 연속 시드층상에 추가 두께를 도금하여 상기 기판상의 시드층의 상기 소망의 두께보다 큰 제 2균일한 두께의 연속 막을 형성하는 단계를 추가로 포함하는 방법.
  21. 제 1항에 있어서, 상기 기판의 제 2부분은 상기 기판의 제 1부분에 인접해 있는 방법.
  22. 제 1항에 있어서, 상기 기판은 반도체 웨이퍼인 방법.
  23. 제 22항에 있어서, 상기 반도체 웨이퍼는 실리콘 웨이퍼인 방법.
  24. 제 23항에 있어서, 상기 실리콘 웨이퍼는 상기 웨이퍼 상부에 배리어층을 포함하는 방법.
  25. 제 24항에 있어서, 상기 배리어층은 티타늄, 질화티타늄, 탄탈 또는 질화탄탈인 방법.
  26. 제 24항에 있어서, 상기 반도체 웨이퍼는 추가로 상기 배리어층의 상부상의 시드층을 포함하는 방법.
  27. 제 26항에 있어서, 상기 시드층은 상기 반도체 웨이퍼의 주변 영역에 인접해서는 보다 두껍고 내부 영역에서는 보다 얇은 방법.
  28. 제 22항에 있어서, 상기 막은 상기 반도체 웨이퍼상의 집적회로내의 배선을 포함하는 방법.
  29. 제 28항에 있어서, 상기 배선은 대머신 구조인 방법.
  30. 막을 기판상에 도금하는 장치에 있어서,
    상기 기판을 도금 전해질과 접촉하도록 위치설정하기 위한 기판 홀더와,
    상기 기판에 도금 전류를 공급하기 위한 하나 이상의 양극과,
    상기 기판에 접촉하는 전해물을 공급하도록 연결된 두 개 이상의 흐름 제어기와,
    상기 막을 기판의 부분들상에 연속적으로 도금함으로써 상기 기판에 연속적이고 균일한 두께 막을 제공하도록 기판의 연속 부분에 조합해서 전해질과 도금 전류를 제공하기 위한 상기 하나 이상의 양극과 상기 두 개 이상의 흐름 제어기에 결합된 제어 시스템을 포함하는 장치.
  31. 제 30항에 있어서, 상기 하나 이상의 양극은 두 개 이상의 양극을 포함하며, 상기 두 개 이상의 양극 각각을 에워싸는 절연 벽에 의해 분리되어져 있는 장치.
  32. 제 31항에 있어서, 각 양극의 절연 벽은 동일한 높이인 장치.
  33. 제 31항에 있어서, 각 양극의 절연 벽은 다른 높이인 장치.
  34. 제 31항에 있어서, 상기 기판의 중앙에 가까운 각 양극의 절연 벽은 상기 기판의 에지에 가까운 각 양극의 절연 벽보다 더 높은 장치.
  35. 제 31항에 있어서, 상기 기판의 중앙에 가까운 각 양극의 절연 벽은 상기 기판의 에지에 가까운 각 양극의 절연 벽보다 더 낮은 장치.
  36. 제 31항에 있어서, 상기 두 개이상의 흐름 제어기는 상기 두 개 이상의 양극의 각각에 인접한 기판의 부분들에 도금 전해질을 선택적으로 공급하기 위한 개별 밸브이고, 상기 개별 밸브에 결합된 하나 이상의 펌프를 더 포함하는 장치.
  37. 제 36항에 있어서, 상기 하나 이상의 펌프는 두 펌프를 포함하는 장치.
  38. 제 36항에 있어서, 상기 하나 이상의 펌프의 출구에 결합된 압력 누설 밸브를 더 포함하는 장치.
  39. 제 36항에 있어서, 상기 밸브는 액체 매스 플루우 제어 밸브인 장치.
  40. 제 31항에 있어서, 상기 제어 시스템은 상기 두 개 이상의 양극에 도금 전류를 선택적으로 공급하도록 형상져 있는 장치.
  41. 제 31항에 있어서, 상기 기판의 연속 부분들에 전해질을 공급하도록 형상져 있는 다수의 전해질 흐름 채널을 더 포함하는 장치.
  42. 제 41항에 있어서, 상기 다수의 전해질 흐름 채널 각각은 상기 기판 홀더에 직면하는 하나의 입구와 다수의 노즐을 가지는 장치.
  43. 제 41항에 있어서, 상기 전해질 흐름 채널중 두 인접한 채널은 상기 두 인접한 채널사이의 하나 이상의 전해질 리턴 통로를 포함하는 장치.
  44. 제 30항에 있어서, 상기 기판 홀더는 상기 기판과 상기 양극사이의 갭을 조정하기 위해서 상하로 이동가능한 장치.
  45. 제 30항에 있어서, 상기 기판 홀더는 도금동안 수평 방향으로 진동가능한 장치.
  46. 제 30항에 있어서, 상기 기판 홀더는 도금 프로세스 동안 기판에 수직인 축선 둘레로 회전가능한 장치.
  47. 제 30항에 있어서, 도금 프로세스 동안 일정한 온도에서 상기 전해질을 유지하는 온도 제어 장치를 더 포함하는 장치.
  48. 제 30항에 있어서, 도금 프로세스 동안 전해질을 순환하기 위한 상기 두 개 이상의 흐름 제어기에 결합된 탱크와 필터를 더 포함하는 장치.
  49. 제 30항에 있어서, 상기 제어 시스템은 일정한 전류 모드로 작동가능한 두 개 이상의 DC 전원을 포함하는 장치.
  50. 제 30항에 있어서, 상기 제어 시스템은 일정한 전압 모드로 작동가능한 두 개 이상의 DC 전원을 포함하는 장치.
  51. 제 50항에 있어서, 상기 두 개 이상의 DC 전원은 일정한 전압 모드와 일정한 전류 모드 양쪽에서 작동가능한 장치.
  52. 제 30항에 있어서, 상기 제어 시스템은 두 개 이상의 펄스 전원을 포함하는 장치.
  53. 제 52항에 있어서, 상기 두 개 이상의 펄스 전원은 바이폴라 펄스, 개량된 사인파, 유니폴라 펄스, 펄스 리버스, 펄스-온-펄스 또는 이중 펄스 모드로 작동가능한 장치.
  54. 제 52항에 있어서, 상기 두 개 이상의 펄스 전원은 페이스 이동 모드로 작동가능한 장치.
  55. 제 30항에 있어서, 상기 제어 시스템은 도금되어지는 막의 두께를 측정하기 위한 하나 이상의 전하 모니터를 포함하는 장치.
  56. 제 55항에 있어서, 상기 제어 시스템은 상기 하나 이상의 전하 모니터로부터 입력된 두께를 근거로 상기 기판상에 도금되어지는 막의 두께 균일성을 제어하는 소프트웨어를 포함하는 장치.
  57. 제 30항에 있어서, 상기 하나 이상의 전극은 원형, 타원형 또는 다각형 형상을 가지는 장치.
  58. 제 57항에 있어서, 상기 다각형 형상은 삼각, 정사각, 직사각 또는 오각형인 장치.
  59. 제 57항에 있어서, 상기 양극은 원형, 타원형 또는 다각형 형상을 형성하도록 위치설정된 두 개 이상의 서브-양극을 포함하는 장치.
  60. 제 59항에 있어서, 상기 서브-양극은 서로로부터 전기적으로 절연되어 있는 장치.
  61. 제 30항에 있어서, 상기 제어 시스템은 상기 막을 상기 기판의 부분들상에 연속적으로 도금한 후 상기 막의 연속성을 체크하기 위한 논리표를 더 포함하는 장치.
  62. 제 30항에 있어서, 다수의 전해질 흐름 채널을 더 포함하며, 상기 두 개 이상의 흐름 제어기 각각은 상기 다수의 전해질 흐름 채널중 하나로부터의 밸브와 출구를 포함하는 장치.
  63. 제 62항에 있어서, 각 밸브와 출구는 상기 기판의 중심에 대해서 방사방향으로 위치설정되어 있는 장치.
  64. 제 3항에 있어서, 상기 다수의 흐름 제어기 각각은 액체 매스 플루우 제어기와 펌프를 더 포함하며, 상기 제어 시스템은 상기 흐름 제어기 중 하나에 의해 제어된 상기 흐름 채널의 출구위에 상기 기판의 부분상에 막을 도금하면서 상기 흐름 제어기중 하나의 밸브를 턴오프하도록 형상져 있는 장치.
  65. 제 62항에 있어서, 상기 하나 이상의 양극은 단일 전극인 장치.
  66. 제 62항에 있어서, 상기 하나 이상의 양극은 전기적으로 연결된 두 개 이상의 전기 연결 전극을 포함하며, 상기 전극 각각은 상기 다수의 전해질 흐름 채널중 다른 하나 내에 있는 장치.
  67. 막을 기판상에 도금하는 장치에 있어서,
    상기 기판을 도금 전해질과 접촉하도록 위치설정하기 위한 기판 홀더와,
    상기 기판에 도금 전류를 공급하기 위한 두 개 이상의 양극과,
    상기 기판에 접촉하는 전해물을 공급하도록 연결된 하나 이상의 흐름 제어기와,
    상기 막을 기판의 부분들상에 연속적으로 도금함으로써 상기 기판에 연속적이고 균일한 두께 막을 제공하도록 기판의 연속 부분에 조합해서 전해질과 도금 전류를 제공하기 위한 상기 두 개 이상의 양극과 상기 하나 이상의 흐름 제어기에 결합된 하나 이상의 제어 시스템을 포함하는 장치.
  68. 제 67항에 있어서, 상기 두개 이상의 양극은 상기 두 개 이상의 양극 각각을 에워싸는 절연 벽에 의해 분리되어져 있는 장치.
  69. 제 67항에 있어서, 상기 하나 이상의 제어 시스템은 상기 두 개 이상의 양극에 도금 전류를 선택적으로 공급하도록 형상져 있는 장치.
  70. 제 67항에 있어서, 상기 기판의 연속 부분들에 전해질을 공급하도록 형상져 있는 다수의 전해질 흐름 채널을 더 포함하는 장치.
  71. 제 70항에 있어서, 상기 다수의 전해질 흐름 채널 각각은 상기 기판 홀더에 직면하는 다수의 노즐을 가지는 장치.
  72. 제 67항에 있어서, 상기 하나 이상의 흐름 제어기는 하나 이상의 매스 플루우 제어기인 장치.
  73. 막을 기판상에 도금하는 장치에 있어서,
    상기 기판을 도금 전해질과 접촉하도록 위치설정하기 위한 기판 홀더와,
    상기 기판에 도금 전류를 공급하기 위한 하나 이상의 양극과,
    상기 기판에 접촉하는 전해물을 제어하기 위한 하나 이상의 흐름 제어기와,
    상기 전해질과 접촉하는 기판의 하나 이상의 부분들을 제어하도록 상기 기판 홀더를 상하로 구동하기 위한, 상기 기판 홀더에 결합된 구동 기구와,
    상기 막을 기판의 부분들상에 연속적으로 도금함으로써 상기 기판에 연속적이고 균일한 두께 막을 제공하도록 기판의 연속 부분에 조합해서 전해질과 도금 전류를 제공하기 위한 상기 하나 이상의 양극과 상기 하나 이상의 흐름 제어기에 결합된 하나 이상의 제어 시스템을 포함하며,
    상기 하나 이상의 흐름 제어기는 3개 이상의 원통형 벽을 포함하며, 기판의 중심 부분 아래에 위치설정된 제 1원통형 벽은 기판은 상기 중심 부분 주변의 기판의 제 2부분 아래에 위치설정된 제 2원통형 벽보다 상기 기판에 보다 가깝게 상향으로 연장하는 장치.
  74. 막을 기판상에 도금하는 장치에 있어서,
    상기 기판을 도금 전해질과 접촉하도록 위치설정하기 위한 기판 홀더와,
    상기 기판에 도금 전류를 공급하기 위한 하나 이상의 양극과,
    상기 기판에 접촉하는 전해물을 제어하기 위한 하나의 흐름 제어기와,
    상기 막을 기판의 부분들상에 연속적으로 도금함으로써 상기 기판에 연속적이고 균일한 두께 막을 제공하도록 기판의 연속 부분에 조합해서 전해질과 도금 전류를 제공하기 위한 상기 하나 이상의 양극과 상기 흐름 제어기에 결합된 하나 이상의 제어 시스템을 포함하며,
    상기 흐름 제어기는 상기 전해질에 접촉하는 기판의 하나 이상 부분들을 제어하도록 원통형 벽 각각과 기판사이의 갭을 조정하기 위해서, 상기 기판을 향해 상향으로 그리고 상기 기판으로부터 멀리 하향으로 이동가능한 3개 이상의 원통형 벽을 포함하는 장치.
  75. 제 74항에 있어서, 상기 하나 이상의 양극은 두 개 이상의 양극을 포함하는 장치.
  76. 제 75항에 있어서, 상기 흐름 제어기는 상기 기판의 다른 부분에 전해질의 흐름을 제어하기 위한 두 개 이상의 밸브를 포함하는 장치.
  77. 막을 기판상에 도금하는 장치에 있어서,
    상기 기판을 전해질 표면위에 위치설정하기 위한 기판 홀더와,
    상기 기판 표면에 평행한 방향으로 이동가능한, 상기 기판에 도금 전류 및 전해질을 공급하기 위한 하나 이상의 가동 제트 양극과,
    상기 가동 제트 양극을 통해서 흐르는 전해질을 제어하기 위한 하나 이상의 흐름 제어기와,
    상기 막을 기판의 부분들상에 연속적으로 도금함으로써 상기 기판에 연속적이고 균일한 두께 막을 제공하도록 기판의 연속 부분에 조합해서 전해질과 도금 전류를 제공하기 위한 상기 가동 제트 양극과 상기 흐름 제어기에 결합된 하나 이상의 제어 시스템을 포함하는 장치.
  78. 제 77항에 있어서, 상기 기판 홀더는 상기 기판에 수직인 축선 둘레로 회전가능한 장치.
  79. 제 77항에 있어서, 상기 기판 홀더는 기판을 상기 전해질에 완전히 함침되도록 전해질로 이동가능하고 전해질로부터 멀리 이동가능한 장치.
  80. 제 77항에 있어서, 상기 가동 제트 양극은 하나의 양극과 상기 양극을 에워싸는 전해질 흐름 노즐을 포함하는 장치.
  81. 제 80항에 있어서, 상기 가동 제트 양극은 노즐 외측 둘레에 위치설정된 제 2전극을 더 포함하는 장치.
  82. 제 81항에 있어서, 상기 가동 제트 양극은 제 2전극 둘레에 위치된 절연 벽과, 상기 절연 벽둘레에 위치된 제 3전극을 더 포함하는 장치.
  83. 제 77항에 있어서, 상기 가동 제트 양극은 상기 기판에 평행한 직선 통로내에서 이동가능한 장치.
  84. 제 77항에 있어서, 상기 가동 제트 양극은 상기 기판에 평행한 곡선 통로내에서 이동가능한 장치.
  85. 제 84항에 있어서, 상기 곡선 통로는 나선 통로인 장치.
  86. 막을 기판상에 도금하는 장치에 있어서,
    상기 기판을 전해질 바디내에 위치설정하기 위한 기판 홀더와,
    상기 기판 표면에 평행한 방향으로 이동가능한, 상기 기판에 도금 전류 및 전해질을 공급하기 위한 하나 이상의 가동 제트 양극과,
    상기 가동 제트 양극을 통해서 흐르는 전해질을 제어하기 위한 하나의 흐름 제어기와,
    상기 막을 기판의 부분들상에 연속적으로 도금함으로써 상기 기판에 연속적이고 균일한 두께 막을 제공하도록 기판의 연속 부분에 조합해서 전해질과 도금 전류를 제공하기 위한 상기 가동 제트 양극과 상기 흐름 제어기에 결합된 하나 이상의 제어 시스템을 포함하는 장치.
  87. 제 86항에 있어서, 상기 가동 제트 양극은 상기 기판에 평행한 직선 통로내에서 이동가능한 장치.
  88. 제 86항에 있어서, 상기 가동 제트 양극은 상기 기판에 평행한 곡선 통로내에서 이동가능한 장치.
  89. 제 88항에 있어서, 상기 곡선 통로는 나선 통로인 장치.
  90. 제 86항에 있어서, 상기 기판은 상기 가동 제트 양극에 인접하고 아래에 수평으로 위치설정되어 있는 장치.
  91. 제 86항에 있어서, 상기 기판은 상기 가동 제트 양극에 인접하게 수직으로 위치되어 있는 장치.
  92. 막을 기판상에 도금하는 장치에 있어서,
    상기 기판을 전해질 표면위에 위치설정하기 위한 기판 홀더와,
    상기 전해질과 접촉하는 기판 표면의 일부분을 제어하도록 상기 기판 홀더를 상기 전해질 표면을 향해 그리고 멀리 이동하기 위한, 상기 기판 홀더에 결합된 제 1구동 기구와,
    상기 전해질용 욕과,
    상기 욕내에 장착된 하나 이상의 양극과,
    상기 전해질 표면의 거의 포물선 형상을 형성하도록 수직축선둘레로 상기 욕을 회전하도록 상기 욕에 결합된 제 2구동 기구와,
    상기 막을 기판의 부분들상에 연속적으로 도금함으로써 상기 기판에 연속적이고 균일한 두께 막을 제공하도록 기판의 연속 부분에 조합해서 전해질과 도금 전류를 제공하기 위한 상기 제 1 및 2구동기구와 상기 가동 제트 양극에 결합된 제어 시스템을 포함하는 장치.
  93. 제 92항에 있어서, 도금 동안 신선한 전해질을 공급하는 하나 이상의 흐름 제어기를 더 포함하는 장치.
  94. 제 92항에 있어서, 상기 하나 이상의 양극은 다수의 양극을 포함하는 장치.
  95. 제 92항에 있어서, 상기 기판 표면에 수직인 축선 둘레로 상기 기판 홀더를 회전하도록 상기 기판 홀더에 결합한 제 3구동 기구를 더 포함하는 장치.
  96. 막을 기판상에 도금하는 장치에 있어서,
    상기 기판을 전해질 표면위에 위치설정하기 위한 기판 홀더와,
    상기 전해질과 접촉하는 기판 표면의 일부분을 제어하도록 상기 기판 홀더를 상기 전해질 표면을 향해 그리고 멀리 이동하기 위한, 상기 기판 홀더에 결합된 제 1구동 기구와,
    상기 기판 표면에 수직축선둘레로 상기 기판 홀더를 회전하도록 상기 기판 홀더에 결합된 제 2구동 기구와,
    상기 전해질 표면에 대해서 상기 기판 홀더를 경사지게 하도록 상기 기판 홀더에 결합된 제 3구동 기구와,
    상기 전해질용 욕과,
    상기 욕내에 장착된 하나 이상의 양극과,
    상기 막을 기판의 부분들상에 연속적으로 도금함으로써 상기 기판에 연속적이고 균일한 두께 막을 제공하도록 기판의 연속 부분에 조합해서 전해질과 도금 전류를 제공하기 위한 상기 제 1, 2 및 3구동기구와 상기 가동 제트 양극에 결합된 제어 시스템을 포함하는 장치.
  97. 제 96항에 있어서, 도금 동안 신선한 전해질을 공급하는 하나 이상의 흐름 제어기를 더 포함하는 장치.
  98. 제 96항에 있어서, 상기 하나 이상의 양극은 다수의 양극을 포함하는 장치.
  99. 제 92항에 있어서, 상기 제 3구동 기구는 약 0 내지 180도의 경사각으로 상기 기판 홀더를 경사지도록 형상되어져 있는 장치.
  100. 제 96항에 있어서, 상기 전해질 표면의 거의 포물선 형상을 형성하도록 수직축선둘레로 상기 욕을 회전하도록 상기 욕에 결합된 제 4구동 기구를 더 포함하는 장치.
  101. 기판 표면상에 소망의 두께로 막을 도금하기 위한 방법에 있어서,
    다수의 적층 도금 모듈과 기판 전달 기구를 제공하는 단계와,
    상기 기판 전달 기구로 기판 홀더로부터 기판을 집는 단계와,
    상기 기판을 기판 전달 기구로 상기 적층 도금 모듈중 제 1모듈로 장착하는 단계와,
    상기 적층 도금 모듈중 제 1모듈내에서 기판상에 막을 도금하는 단계와,
    상기 기판을 상기 기판 전달 기구로 기판 홀더에 복귀하는 단계를 포함하는 방법.
  102. 제 101항에 있어서, 상기 기판상에 막을 도금하는 단계 후, 기판을 스핀닝하거나 또는 상기 기판을 건조 가스에 안내하는 것중 하나에 의해 기판을 건조하는 단계를 더 포함하는 방법.
  103. 제 101항에 있어서, 다수의 도금 모듈중 적어도 제 2모듈이 클리닝 모듈이며,
    도금후, 상기 적층 도금 모듈중 제 1모듈로부터 상기 기판 전달 기구로 상기 기판을 집는 단계와,
    클리닝을 위해서 상기 기판을 상기 적층 도금 모듈중 제 2모듈로 위치시키는 단계와,
    상기 적층 도금 모듈중 제 2모듈내의 상기 기판을 클리닝하는 단계와,
    상기 적층 도금 모듈중 제 2모듈내에 기판을 건조하는 단계를 더 포함하는 방법.
  104. 기판상에 막을 도금하기 위한 자동화 툴에 있어서,
    적층된 관계로 위치설정된 두 개이상의 도금 욕과,
    하나 이상의 기판 홀더와,
    기판 전달 기구와,
    상기 도금 욕, 상기 기판 홀더와 상기 기판 전달 기구를 지지하는 프레임과,
    다수의 기판상에 균일한 막 증착을 연속적으로 수행하도록 상기 기판 전달 기구, 상기 기판 홀더와 상기 도금 욕에 결합되어 있는 제어 시스템을 포함하는 자동화 툴.
  105. 제 104항에 있어서, 상기 두 개이상의 도금 욕과 적층관계로 위치설정된 두 개 이상의 클리닝 모듈을 더 포함하는 자동화 툴.
  106. 제 104항에 있어서, 상기 기판 전달 기구는 x, y 및 z 축선으로 이동가능한 확장형 부재를 포함하는 자동화 툴.
  107. 제 104항에 있어서, 상기 기판 전달 기구는 상기 프레임의 바닥 부분상에 장착되어 있는 자동화 툴.
  108. 제 104항에 있어서, 상기 기판 전달 기구는 상기 프레임의 상부 부분상에 장착되어 있는 자동화 툴.
  109. 제 104항에 있어서, 적층관계로 위치설정된 도금 욕의 적어도 제 2세트와 상기 도금 욕의 제 2세트와 적층관계로 위치설정된 두 개 이상의 추가 클리닝 모듈을 더 포함하는 자동화 툴.
KR10-2000-7008837A 1998-02-12 1999-01-15 도금 장치 및 방법 KR100474746B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US7446698P 1998-02-12 1998-02-12
US60/074,466 1998-02-12
US9421598P 1998-07-27 1998-07-27
US60/094,215 1998-07-27

Publications (2)

Publication Number Publication Date
KR20010040926A true KR20010040926A (ko) 2001-05-15
KR100474746B1 KR100474746B1 (ko) 2005-03-08

Family

ID=26755698

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-2000-7008837A KR100474746B1 (ko) 1998-02-12 1999-01-15 도금 장치 및 방법

Country Status (9)

Country Link
US (3) US6391166B1 (ko)
EP (1) EP1055020A2 (ko)
JP (2) JP3523197B2 (ko)
KR (1) KR100474746B1 (ko)
CN (1) CN1222641C (ko)
AU (1) AU2233399A (ko)
CA (1) CA2320278C (ko)
TW (2) TW591122B (ko)
WO (1) WO1999041434A2 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101136773B1 (ko) * 2003-06-27 2012-04-19 램 리써치 코포레이션 반도체 웨이퍼의 박막 증착 및 평탄화 장치 및 방법
KR20220105117A (ko) * 2021-01-19 2022-07-26 시메트릭 세미컨덕터 솔루션즈 컴퍼니 리미티드 전기 도금 장치 및 전기 도금 방법

Families Citing this family (358)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6921467B2 (en) * 1996-07-15 2005-07-26 Semitool, Inc. Processing tools, components of processing tools, and method of making and using same for electrochemical processing of microelectronic workpieces
US6749390B2 (en) 1997-12-15 2004-06-15 Semitool, Inc. Integrated tools with transfer devices for handling microelectronic workpieces
US6752584B2 (en) * 1996-07-15 2004-06-22 Semitool, Inc. Transfer devices for handling microelectronic workpieces within an environment of a processing machine and methods of manufacturing and using such devices in the processing of microelectronic workpieces
US7244677B2 (en) * 1998-02-04 2007-07-17 Semitool. Inc. Method for filling recessed micro-structures with metallization in the production of a microelectronic device
TW593731B (en) * 1998-03-20 2004-06-21 Semitool Inc Apparatus for applying a metal structure to a workpiece
US6565729B2 (en) * 1998-03-20 2003-05-20 Semitool, Inc. Method for electrochemically depositing metal on a semiconductor workpiece
US6416647B1 (en) * 1998-04-21 2002-07-09 Applied Materials, Inc. Electro-chemical deposition cell for face-up processing of single semiconductor substrates
WO1999054527A2 (en) 1998-04-21 1999-10-28 Applied Materials, Inc. Electro-chemical deposition system and method of electroplating on substrates
EP1091024A4 (en) * 1998-04-30 2006-03-22 Ebara Corp METHOD AND DEVICE FOR COATING SUBSTRATES
US6497801B1 (en) * 1998-07-10 2002-12-24 Semitool Inc Electroplating apparatus with segmented anode array
US6248222B1 (en) * 1998-09-08 2001-06-19 Acm Research, Inc. Methods and apparatus for holding and positioning semiconductor workpieces during electropolishing and/or electroplating of the workpieces
US6773571B1 (en) 2001-06-28 2004-08-10 Novellus Systems, Inc. Method and apparatus for uniform electroplating of thin metal seeded wafers using multiple segmented virtual anode sources
US6919010B1 (en) 2001-06-28 2005-07-19 Novellus Systems, Inc. Uniform electroplating of thin metal seeded wafers using rotationally asymmetric variable anode correction
US6258220B1 (en) * 1998-11-30 2001-07-10 Applied Materials, Inc. Electro-chemical deposition system
US7204924B2 (en) * 1998-12-01 2007-04-17 Novellus Systems, Inc. Method and apparatus to deposit layers with uniform properties
US6582578B1 (en) * 1999-04-08 2003-06-24 Applied Materials, Inc. Method and associated apparatus for tilting a substrate upon entry for metal deposition
US6837978B1 (en) 1999-04-08 2005-01-04 Applied Materials, Inc. Deposition uniformity control for electroplating apparatus, and associated method
US6368475B1 (en) * 2000-03-21 2002-04-09 Semitool, Inc. Apparatus for electrochemically processing a microelectronic workpiece
US7585398B2 (en) * 1999-04-13 2009-09-08 Semitool, Inc. Chambers, systems, and methods for electrochemically processing microfeature workpieces
CN1217034C (zh) * 1999-04-13 2005-08-31 塞米用具公司 具有改进的处理流体流的处理腔的工件处理装置
US6916412B2 (en) * 1999-04-13 2005-07-12 Semitool, Inc. Adaptable electrochemical processing chamber
US7264698B2 (en) * 1999-04-13 2007-09-04 Semitool, Inc. Apparatus and methods for electrochemical processing of microelectronic workpieces
US7438788B2 (en) * 1999-04-13 2008-10-21 Semitool, Inc. Apparatus and methods for electrochemical processing of microelectronic workpieces
US7020537B2 (en) * 1999-04-13 2006-03-28 Semitool, Inc. Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US7189318B2 (en) * 1999-04-13 2007-03-13 Semitool, Inc. Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
EP1052062A1 (en) * 1999-05-03 2000-11-15 Applied Materials, Inc. Pré-conditioning fixed abrasive articles
US6623609B2 (en) 1999-07-12 2003-09-23 Semitool, Inc. Lift and rotate assembly for use in a workpiece processing station and a method of attaching the same
WO2001027357A1 (en) * 1999-10-12 2001-04-19 Semitool, Inc. Method and apparatus for executing plural processes on a microelectronic workpiece at a single processing station
US20050205111A1 (en) * 1999-10-12 2005-09-22 Ritzdorf Thomas L Method and apparatus for processing a microfeature workpiece with multiple fluid streams
US6660139B1 (en) * 1999-11-08 2003-12-09 Ebara Corporation Plating apparatus and method
US6299741B1 (en) 1999-11-29 2001-10-09 Applied Materials, Inc. Advanced electrolytic polish (AEP) assisted metal wafer planarization method and apparatus
US6547937B1 (en) * 2000-01-03 2003-04-15 Semitool, Inc. Microelectronic workpiece processing tool including a processing reactor having a paddle assembly for agitation of a processing fluid proximate to the workpiece
US7059948B2 (en) * 2000-12-22 2006-06-13 Applied Materials Articles for polishing semiconductor substrates
US20080156657A1 (en) * 2000-02-17 2008-07-03 Butterfield Paul D Conductive polishing article for electrochemical mechanical polishing
US7066800B2 (en) * 2000-02-17 2006-06-27 Applied Materials Inc. Conductive polishing article for electrochemical mechanical polishing
US7125477B2 (en) * 2000-02-17 2006-10-24 Applied Materials, Inc. Contacts for electrochemical processing
US7029365B2 (en) * 2000-02-17 2006-04-18 Applied Materials Inc. Pad assembly for electrochemical mechanical processing
US7374644B2 (en) * 2000-02-17 2008-05-20 Applied Materials, Inc. Conductive polishing article for electrochemical mechanical polishing
US6848970B2 (en) 2002-09-16 2005-02-01 Applied Materials, Inc. Process control in electrochemically assisted planarization
US7670468B2 (en) * 2000-02-17 2010-03-02 Applied Materials, Inc. Contact assembly and method for electrochemical mechanical processing
US20030213703A1 (en) * 2002-05-16 2003-11-20 Applied Materials, Inc. Method and apparatus for substrate polishing
US7303462B2 (en) * 2000-02-17 2007-12-04 Applied Materials, Inc. Edge bead removal by an electro polishing process
US6991528B2 (en) * 2000-02-17 2006-01-31 Applied Materials, Inc. Conductive polishing article for electrochemical mechanical polishing
US7303662B2 (en) * 2000-02-17 2007-12-04 Applied Materials, Inc. Contacts for electrochemical processing
US20050092621A1 (en) * 2000-02-17 2005-05-05 Yongqi Hu Composite pad assembly for electrochemical mechanical processing (ECMP)
US7678245B2 (en) * 2000-02-17 2010-03-16 Applied Materials, Inc. Method and apparatus for electrochemical mechanical processing
US6962524B2 (en) * 2000-02-17 2005-11-08 Applied Materials, Inc. Conductive polishing article for electrochemical mechanical polishing
US6991526B2 (en) * 2002-09-16 2006-01-31 Applied Materials, Inc. Control of removal profile in electrochemically assisted CMP
US20040020789A1 (en) * 2000-02-17 2004-02-05 Applied Materials, Inc. Conductive polishing article for electrochemical mechanical polishing
US6537144B1 (en) 2000-02-17 2003-03-25 Applied Materials, Inc. Method and apparatus for enhanced CMP using metals having reductive properties
US6979248B2 (en) * 2002-05-07 2005-12-27 Applied Materials, Inc. Conductive polishing article for electrochemical mechanical polishing
US20040182721A1 (en) * 2003-03-18 2004-09-23 Applied Materials, Inc. Process control in electro-chemical mechanical polishing
US8308931B2 (en) * 2006-08-16 2012-11-13 Novellus Systems, Inc. Method and apparatus for electroplating
US8475636B2 (en) 2008-11-07 2013-07-02 Novellus Systems, Inc. Method and apparatus for electroplating
US20050183959A1 (en) * 2000-04-13 2005-08-25 Wilson Gregory J. Tuning electrodes used in a reactor for electrochemically processing a microelectric workpiece
US6495005B1 (en) * 2000-05-01 2002-12-17 International Business Machines Corporation Electroplating apparatus
US6913680B1 (en) 2000-05-02 2005-07-05 Applied Materials, Inc. Method of application of electrical biasing to enhance metal deposition
JP2003532306A (ja) 2000-05-04 2003-10-28 ケーエルエー・テンコール・テクノロジーズ・コーポレーション リソグラフィ・プロセス制御のための方法およびシステム
JP2001316887A (ja) * 2000-05-08 2001-11-16 Tokyo Electron Ltd メッキ処理装置
US6527920B1 (en) 2000-05-10 2003-03-04 Novellus Systems, Inc. Copper electroplating apparatus
US7622024B1 (en) 2000-05-10 2009-11-24 Novellus Systems, Inc. High resistance ionic current source
JP2004513221A (ja) 2000-05-23 2004-04-30 アプライド マテリアルズ インコーポレイテッド 銅シード層の異常を克服し表面形状サイズ及びアスペクト比を調整する方法と装置
AU2001259504A1 (en) * 2000-05-24 2001-12-03 Semitool, Inc. Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
JP2003535974A (ja) * 2000-06-05 2003-12-02 アプライド マテリアルズ インコーポレイテッド プログラマブルアノード装置及び関連する方法
US20050109627A1 (en) * 2003-10-10 2005-05-26 Applied Materials, Inc. Methods and chemistry for providing initial conformal electrochemical deposition of copper in sub-micron features
US20050145499A1 (en) * 2000-06-05 2005-07-07 Applied Materials, Inc. Plating of a thin metal seed layer
US20050284751A1 (en) * 2004-06-28 2005-12-29 Nicolay Kovarsky Electrochemical plating cell with a counter electrode in an isolated anolyte compartment
US7273535B2 (en) * 2003-09-17 2007-09-25 Applied Materials, Inc. Insoluble anode with an auxiliary electrode
US7584761B1 (en) * 2000-06-30 2009-09-08 Lam Research Corporation Wafer edge surface treatment with liquid meniscus
US7234477B2 (en) * 2000-06-30 2007-06-26 Lam Research Corporation Method and apparatus for drying semiconductor wafer surfaces using a plurality of inlets and outlets held in close proximity to the wafer surfaces
US6428673B1 (en) * 2000-07-08 2002-08-06 Semitool, Inc. Apparatus and method for electrochemical processing of a microelectronic workpiece, capable of modifying processing based on metrology
US6747734B1 (en) * 2000-07-08 2004-06-08 Semitool, Inc. Apparatus and method for processing a microelectronic workpiece using metrology
US7102763B2 (en) * 2000-07-08 2006-09-05 Semitool, Inc. Methods and apparatus for processing microelectronic workpieces using metrology
WO2002029137A2 (en) * 2000-10-03 2002-04-11 Applied Materials,Inc. Method and associated apparatus for tilting a substrate upon entry for metal deposition
US6896776B2 (en) * 2000-12-18 2005-05-24 Applied Materials Inc. Method and apparatus for electro-chemical processing
JP2002212786A (ja) * 2001-01-17 2002-07-31 Ebara Corp 基板処理装置
US20040020780A1 (en) * 2001-01-18 2004-02-05 Hey H. Peter W. Immersion bias for use in electro-chemical plating system
JP2002220692A (ja) 2001-01-24 2002-08-09 Ebara Corp めっき装置及び方法
US7160432B2 (en) * 2001-03-14 2007-01-09 Applied Materials, Inc. Method and composition for polishing a substrate
US7582564B2 (en) * 2001-03-14 2009-09-01 Applied Materials, Inc. Process and composition for conductive material removal by electrochemical mechanical polishing
US7128825B2 (en) * 2001-03-14 2006-10-31 Applied Materials, Inc. Method and composition for polishing a substrate
US6811680B2 (en) 2001-03-14 2004-11-02 Applied Materials Inc. Planarization of substrates using electrochemical mechanical polishing
US7323416B2 (en) * 2001-03-14 2008-01-29 Applied Materials, Inc. Method and composition for polishing a substrate
US6899804B2 (en) * 2001-12-21 2005-05-31 Applied Materials, Inc. Electrolyte composition and treatment for electrolytic chemical mechanical polishing
US7232514B2 (en) * 2001-03-14 2007-06-19 Applied Materials, Inc. Method and composition for polishing a substrate
US20060169597A1 (en) * 2001-03-14 2006-08-03 Applied Materials, Inc. Method and composition for polishing a substrate
US20020139684A1 (en) * 2001-04-02 2002-10-03 Mitsubishi Denki Kabushiki Kaisha Plating system, plating method, method of manufacturing semiconductor device using the same, and method of manufacturing printed board using the same
US7189647B2 (en) 2001-04-05 2007-03-13 Novellus Systems, Inc. Sequential station tool for wet processing of semiconductor wafers
US7344432B2 (en) * 2001-04-24 2008-03-18 Applied Materials, Inc. Conductive pad with ion exchange membrane for electrochemical mechanical polishing
US7137879B2 (en) * 2001-04-24 2006-11-21 Applied Materials, Inc. Conductive polishing article for electrochemical mechanical polishing
JP3530149B2 (ja) 2001-05-21 2004-05-24 新光電気工業株式会社 配線基板の製造方法及び半導体装置
US6551487B1 (en) * 2001-05-31 2003-04-22 Novellus Systems, Inc. Methods and apparatus for controlled-angle wafer immersion
US20060011487A1 (en) * 2001-05-31 2006-01-19 Surfect Technologies, Inc. Submicron and nano size particle encapsulation by electrochemical process and apparatus
US7682498B1 (en) 2001-06-28 2010-03-23 Novellus Systems, Inc. Rotationally asymmetric variable electrode correction
US6908540B2 (en) * 2001-07-13 2005-06-21 Applied Materials, Inc. Method and apparatus for encapsulation of an edge of a substrate during an electro-chemical deposition process
US6746591B2 (en) 2001-10-16 2004-06-08 Applied Materials Inc. ECP gap fill by modulating the voltate on the seed layer to increase copper concentration inside feature
US20030072639A1 (en) * 2001-10-17 2003-04-17 Applied Materials, Inc. Substrate support
US6755946B1 (en) 2001-11-30 2004-06-29 Novellus Systems, Inc. Clamshell apparatus with dynamic uniformity control
US7033465B1 (en) 2001-11-30 2006-04-25 Novellus Systems, Inc. Clamshell apparatus with crystal shielding and in-situ rinse-dry
US20070295611A1 (en) * 2001-12-21 2007-12-27 Liu Feng Q Method and composition for polishing a substrate
US6830673B2 (en) 2002-01-04 2004-12-14 Applied Materials, Inc. Anode assembly and method of reducing sludge formation during electroplating
US6951599B2 (en) 2002-01-22 2005-10-04 Applied Materials, Inc. Electropolishing of metallic interconnects
US6837983B2 (en) * 2002-01-22 2005-01-04 Applied Materials, Inc. Endpoint detection for electro chemical mechanical polishing and electropolishing processes
TWI277473B (en) * 2002-01-31 2007-04-01 Ebara Corp Electrolytic processing apparatus and method, fixing method, fixing structure for ion exchanging member
US6991710B2 (en) * 2002-02-22 2006-01-31 Semitool, Inc. Apparatus for manually and automatically processing microelectronic workpieces
US20030159921A1 (en) * 2002-02-22 2003-08-28 Randy Harris Apparatus with processing stations for manually and automatically processing microelectronic workpieces
US7378356B2 (en) * 2002-03-16 2008-05-27 Springworks, Llc Biased pulse DC reactive sputtering of oxide films
JP3843871B2 (ja) * 2002-03-26 2006-11-08 ソニー株式会社 電解研磨方法および半導体装置の製造方法
US7854828B2 (en) * 2006-08-16 2010-12-21 Novellus Systems, Inc. Method and apparatus for electroplating including remotely positioned second cathode
US6911136B2 (en) * 2002-04-29 2005-06-28 Applied Materials, Inc. Method for regulating the electrical power applied to a substrate during an immersion process
US20050194681A1 (en) * 2002-05-07 2005-09-08 Yongqi Hu Conductive pad with high abrasion
US6893505B2 (en) * 2002-05-08 2005-05-17 Semitool, Inc. Apparatus and method for regulating fluid flows, such as flows of electrochemical processing fluids
US20030209523A1 (en) * 2002-05-09 2003-11-13 Applied Materials, Inc. Planarization by chemical polishing for ULSI applications
US7247223B2 (en) * 2002-05-29 2007-07-24 Semitool, Inc. Method and apparatus for controlling vessel characteristics, including shape and thieving current for processing microfeature workpieces
US20040072445A1 (en) * 2002-07-11 2004-04-15 Applied Materials, Inc. Effective method to improve surface finish in electrochemically assisted CMP
US6875331B2 (en) * 2002-07-11 2005-04-05 Applied Materials, Inc. Anode isolation by diffusion differentials
US7114903B2 (en) * 2002-07-16 2006-10-03 Semitool, Inc. Apparatuses and method for transferring and/or pre-processing microelectronic workpieces
US7247222B2 (en) * 2002-07-24 2007-07-24 Applied Materials, Inc. Electrochemical processing cell
US7223323B2 (en) * 2002-07-24 2007-05-29 Applied Materials, Inc. Multi-chemistry plating system
US20040217005A1 (en) * 2002-07-24 2004-11-04 Aron Rosenfeld Method for electroplating bath chemistry control
US7128823B2 (en) 2002-07-24 2006-10-31 Applied Materials, Inc. Anolyte for copper plating
US8535396B2 (en) 2002-08-09 2013-09-17 Infinite Power Solutions, Inc. Electrochemical apparatus with barrier layer protected substrate
US20070264564A1 (en) 2006-03-16 2007-11-15 Infinite Power Solutions, Inc. Thin film battery on an integrated circuit or circuit board and method thereof
US8021778B2 (en) 2002-08-09 2011-09-20 Infinite Power Solutions, Inc. Electrochemical apparatus with barrier layer protected substrate
US8394522B2 (en) 2002-08-09 2013-03-12 Infinite Power Solutions, Inc. Robust metal film encapsulation
US8404376B2 (en) 2002-08-09 2013-03-26 Infinite Power Solutions, Inc. Metal film encapsulation
US8236443B2 (en) 2002-08-09 2012-08-07 Infinite Power Solutions, Inc. Metal film encapsulation
US8431264B2 (en) 2002-08-09 2013-04-30 Infinite Power Solutions, Inc. Hybrid thin-film battery
US8445130B2 (en) 2002-08-09 2013-05-21 Infinite Power Solutions, Inc. Hybrid thin-film battery
JP4058307B2 (ja) 2002-08-29 2008-03-05 大日本スクリーン製造株式会社 メッキ装置
US7112270B2 (en) * 2002-09-16 2006-09-26 Applied Materials, Inc. Algorithm for real-time process control of electro-polishing
US20050061674A1 (en) * 2002-09-16 2005-03-24 Yan Wang Endpoint compensation in electroprocessing
US7383843B2 (en) * 2002-09-30 2008-06-10 Lam Research Corporation Method and apparatus for processing wafer surfaces using thin, high velocity fluid layer
US7614411B2 (en) * 2002-09-30 2009-11-10 Lam Research Corporation Controls of ambient environment during wafer drying using proximity head
US7045018B2 (en) * 2002-09-30 2006-05-16 Lam Research Corporation Substrate brush scrubbing and proximity cleaning-drying sequence using compatible chemistries, and method, apparatus, and system for implementing the same
US7389783B2 (en) * 2002-09-30 2008-06-24 Lam Research Corporation Proximity meniscus manifold
US6954993B1 (en) 2002-09-30 2005-10-18 Lam Research Corporation Concentric proximity processing head
US7093375B2 (en) * 2002-09-30 2006-08-22 Lam Research Corporation Apparatus and method for utilizing a meniscus in substrate processing
US8236382B2 (en) * 2002-09-30 2012-08-07 Lam Research Corporation Proximity substrate preparation sequence, and method, apparatus, and system for implementing the same
US7632376B1 (en) 2002-09-30 2009-12-15 Lam Research Corporation Method and apparatus for atomic layer deposition (ALD) in a proximity system
US7293571B2 (en) * 2002-09-30 2007-11-13 Lam Research Corporation Substrate proximity processing housing and insert for generating a fluid meniscus
US7367345B1 (en) 2002-09-30 2008-05-06 Lam Research Corporation Apparatus and method for providing a confined liquid for immersion lithography
US7240679B2 (en) 2002-09-30 2007-07-10 Lam Research Corporation System for substrate processing with meniscus, vacuum, IPA vapor, drying manifold
US7069937B2 (en) * 2002-09-30 2006-07-04 Lam Research Corporation Vertical proximity processor
US7513262B2 (en) * 2002-09-30 2009-04-07 Lam Research Corporation Substrate meniscus interface and methods for operation
US6988326B2 (en) * 2002-09-30 2006-01-24 Lam Research Corporation Phobic barrier meniscus separation and containment
US7997288B2 (en) * 2002-09-30 2011-08-16 Lam Research Corporation Single phase proximity head having a controlled meniscus for treating a substrate
US7027143B1 (en) 2002-10-15 2006-04-11 Kla-Tencor Technologies Corp. Methods and systems for inspecting reticles using aerial imaging at off-stepper wavelengths
US7379175B1 (en) 2002-10-15 2008-05-27 Kla-Tencor Technologies Corp. Methods and systems for reticle inspection and defect review using aerial imaging
US7123356B1 (en) 2002-10-15 2006-10-17 Kla-Tencor Technologies Corp. Methods and systems for inspecting reticles using aerial imaging and die-to-database detection
WO2004052547A2 (en) * 2002-12-05 2004-06-24 Surfect Technologies, Inc. Coated and magnetic particles and applications thereof
US20040108212A1 (en) * 2002-12-06 2004-06-10 Lyndon Graham Apparatus and methods for transferring heat during chemical processing of microelectronic workpieces
AU2003297814A1 (en) * 2002-12-09 2004-06-30 Acm Research, Inc. Measuring alignment between a wafer chuck and polishing/plating receptacle
US20040226654A1 (en) * 2002-12-17 2004-11-18 Akihisa Hongo Substrate processing apparatus and substrate processing method
DE10261493A1 (de) * 2002-12-23 2004-07-08 METAKEM Gesellschaft für Schichtchemie der Metalle mbH Anode zur Galvanisierung
US20040154926A1 (en) * 2002-12-24 2004-08-12 Zhi-Wen Sun Multiple chemistry electrochemical plating method
JP4303484B2 (ja) * 2003-01-21 2009-07-29 大日本スクリーン製造株式会社 メッキ装置
US20060049038A1 (en) * 2003-02-12 2006-03-09 Surfect Technologies, Inc. Dynamic profile anode
US20040192066A1 (en) * 2003-02-18 2004-09-30 Applied Materials, Inc. Method for immersing a substrate
EP1597408B1 (en) * 2003-02-27 2012-12-05 Symmorphix, Inc. Method for forming dielectric barrier layers
US7842169B2 (en) 2003-03-04 2010-11-30 Applied Materials, Inc. Method and apparatus for local polishing control
US8728285B2 (en) 2003-05-23 2014-05-20 Demaray, Llc Transparent conductive oxides
US7238628B2 (en) * 2003-05-23 2007-07-03 Symmorphix, Inc. Energy conversion and storage films and devices by physical vapor deposition of titanium and titanium oxides and sub-oxides
WO2004107422A2 (en) * 2003-05-27 2004-12-09 Ebara Corporation Plating apparatus and plating method
US7390429B2 (en) * 2003-06-06 2008-06-24 Applied Materials, Inc. Method and composition for electrochemical mechanical polishing processing
KR100545192B1 (ko) * 2003-06-19 2006-01-24 동부아남반도체 주식회사 증착 중단 시기 검출 장치 및 이를 이용한 반도체 소자의구리 배선 형성 방법
US7675000B2 (en) * 2003-06-24 2010-03-09 Lam Research Corporation System method and apparatus for dry-in, dry-out, low defect laser dicing using proximity technology
US7067048B2 (en) * 2003-08-08 2006-06-27 Lsi Logic Corporation Method to improve the control of electro-polishing by use of a plating electrode an electrolyte bath
DE10337669B4 (de) * 2003-08-08 2006-04-27 Atotech Deutschland Gmbh Wässrige, saure Lösung und Verfahren zum galvanischen Abscheiden von Kupferüberzügen sowie Verwendung der Lösung
JP4624738B2 (ja) * 2003-08-21 2011-02-02 株式会社荏原製作所 めっき装置
JP5232844B2 (ja) * 2003-08-21 2013-07-10 株式会社荏原製作所 めっき装置
US6972438B2 (en) * 2003-09-30 2005-12-06 Cree, Inc. Light emitting diode with porous SiC substrate and method for fabricating
US20050092620A1 (en) * 2003-10-01 2005-05-05 Applied Materials, Inc. Methods and apparatus for polishing a substrate
US20050092601A1 (en) * 2003-10-29 2005-05-05 Harald Herchen Electrochemical plating cell having a diffusion member
US20050092602A1 (en) * 2003-10-29 2005-05-05 Harald Herchen Electrochemical plating cell having a membrane stack
US20050095854A1 (en) * 2003-10-31 2005-05-05 Uzoh Cyprian E. Methods for depositing high yield and low defect density conductive films in damascene structures
US20050092611A1 (en) * 2003-11-03 2005-05-05 Semitool, Inc. Bath and method for high rate copper deposition
US20050121141A1 (en) * 2003-11-13 2005-06-09 Manens Antoine P. Real time process control for a polishing process
US7186164B2 (en) * 2003-12-03 2007-03-06 Applied Materials, Inc. Processing pad assembly with zone control
US6998337B1 (en) * 2003-12-08 2006-02-14 Advanced Micro Devices, Inc. Thermal annealing for Cu seed layer enhancement
US20070039827A1 (en) * 2003-12-09 2007-02-22 Acm Reasearch, Inc. Measuring alignment between a wafer chuck and polishing/plating receptacle
US20050178666A1 (en) * 2004-01-13 2005-08-18 Applied Materials, Inc. Methods for fabrication of a polishing article
US7390744B2 (en) * 2004-01-29 2008-06-24 Applied Materials, Inc. Method and composition for polishing a substrate
US20060021974A1 (en) * 2004-01-29 2006-02-02 Applied Materials, Inc. Method and composition for polishing a substrate
TW200533791A (en) * 2004-02-04 2005-10-16 Surfect Technologies Inc Plating apparatus and method
US8062471B2 (en) * 2004-03-31 2011-11-22 Lam Research Corporation Proximity head heating method and apparatus
US8623193B1 (en) 2004-06-16 2014-01-07 Novellus Systems, Inc. Method of electroplating using a high resistance ionic current source
US7285195B2 (en) * 2004-06-24 2007-10-23 Applied Materials, Inc. Electric field reducing thrust plate
US7214297B2 (en) * 2004-06-28 2007-05-08 Applied Materials, Inc. Substrate support element for an electrochemical plating cell
US7645364B2 (en) * 2004-06-30 2010-01-12 Lam Research Corporation Apparatus and method for plating semiconductor wafers
US20060030156A1 (en) * 2004-08-05 2006-02-09 Applied Materials, Inc. Abrasive conductive polishing article for electrochemical mechanical polishing
US7084064B2 (en) * 2004-09-14 2006-08-01 Applied Materials, Inc. Full sequence metal and barrier layer electrochemical mechanical processing
WO2006039436A2 (en) * 2004-10-01 2006-04-13 Applied Materials, Inc. Pad design for electrochemical mechanical polishing
US7520968B2 (en) * 2004-10-05 2009-04-21 Applied Materials, Inc. Conductive pad design modification for better wafer-pad contact
US20060102467A1 (en) * 2004-11-15 2006-05-18 Harald Herchen Current collimation for thin seed and direct plating
JP2008522040A (ja) * 2004-11-30 2008-06-26 イー・アイ・デュポン・ドウ・ヌムール・アンド・カンパニー 導電性表面の膜制限選択性電気めっき
US7959769B2 (en) 2004-12-08 2011-06-14 Infinite Power Solutions, Inc. Deposition of LiCoO2
CN101931097B (zh) 2004-12-08 2012-11-21 希莫菲克斯公司 LiCoO2的沉积
US7368042B2 (en) * 2004-12-30 2008-05-06 United Microelectronics Corp. Electroplating apparatus including a real-time feedback system
US20060163058A1 (en) * 2005-01-26 2006-07-27 Kiyonori Watanabe Apparatus for plating a semiconductor wafer and plating solution bath used therein
US7655565B2 (en) * 2005-01-26 2010-02-02 Applied Materials, Inc. Electroprocessing profile control
US20060169674A1 (en) * 2005-01-28 2006-08-03 Daxin Mao Method and composition for polishing a substrate
US20060196778A1 (en) * 2005-01-28 2006-09-07 Renhe Jia Tungsten electroprocessing
US20060175201A1 (en) * 2005-02-07 2006-08-10 Hooman Hafezi Immersion process for electroplating applications
US20060219566A1 (en) * 2005-03-29 2006-10-05 Taiwan Semiconductor Manufacturing Co., Ltd. Method for fabricating metal layer
US20060219663A1 (en) * 2005-03-31 2006-10-05 Applied Materials, Inc. Metal CMP process on one or more polishing stations using slurries with oxidizers
US7427340B2 (en) * 2005-04-08 2008-09-23 Applied Materials, Inc. Conductive pad
US7820026B2 (en) * 2005-04-13 2010-10-26 Applied Materials, Inc. Method to deposit organic grafted film on barrier layer
US20060237319A1 (en) * 2005-04-22 2006-10-26 Akira Furuya Planting process and manufacturing process for semiconductor device thereby, and plating apparatus
US20060249395A1 (en) * 2005-05-05 2006-11-09 Applied Material, Inc. Process and composition for electrochemical mechanical polishing
US20060249394A1 (en) * 2005-05-05 2006-11-09 Applied Materials, Inc. Process and composition for electrochemical mechanical polishing
US7837851B2 (en) 2005-05-25 2010-11-23 Applied Materials, Inc. In-situ profile measurement in an electroplating process
US7838133B2 (en) * 2005-09-02 2010-11-23 Springworks, Llc Deposition of perovskite and other compound ceramic films for dielectric applications
KR100651919B1 (ko) * 2005-09-29 2006-12-01 엘지전자 주식회사 녹화 속도 조절 기능을 갖는 이동통신단말기 및 이를이용한 방법
JP2007123473A (ja) * 2005-10-27 2007-05-17 Alps Electric Co Ltd 軟磁性膜及びその製造方法、ならびに前記軟磁性膜を用いた薄膜磁気ヘッド及びその製造方法
US7570796B2 (en) 2005-11-18 2009-08-04 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
US20070141818A1 (en) * 2005-12-19 2007-06-21 Bulent Basol Method of depositing materials on full face of a wafer
US8029653B2 (en) * 2006-02-21 2011-10-04 Ebara Corporation Electroplating apparatus and electroplating method
US7655126B2 (en) 2006-03-27 2010-02-02 Federal Mogul World Wide, Inc. Fabrication of topical stopper on MLS gasket by active matrix electrochemical deposition
US20070227633A1 (en) * 2006-04-04 2007-10-04 Basol Bulent M Composition control for roll-to-roll processed photovoltaic films
CN101454486B (zh) * 2006-04-04 2013-03-13 索罗能源公司 用于卷绕处理光电薄膜的组分控制
US20070254485A1 (en) * 2006-04-28 2007-11-01 Daxin Mao Abrasive composition for electrochemical mechanical polishing
US7928366B2 (en) * 2006-10-06 2011-04-19 Lam Research Corporation Methods of and apparatus for accessing a process chamber using a dual zone gas injector with improved optical access
US7422982B2 (en) * 2006-07-07 2008-09-09 Applied Materials, Inc. Method and apparatus for electroprocessing a substrate with edge profile control
US9822461B2 (en) 2006-08-16 2017-11-21 Novellus Systems, Inc. Dynamic current distribution control apparatus and method for wafer electroplating
US8813764B2 (en) 2009-05-29 2014-08-26 Lam Research Corporation Method and apparatus for physical confinement of a liquid meniscus over a semiconductor wafer
KR20090069323A (ko) 2006-09-29 2009-06-30 인피니트 파워 솔루션스, 인크. 가요성 기판의 마스킹 및 가요성 기판에 배터리 층을 증착하기 위한 재료의 구속
US8197781B2 (en) 2006-11-07 2012-06-12 Infinite Power Solutions, Inc. Sputtering target of Li3PO4 and method for producing same
JP4915220B2 (ja) * 2006-11-24 2012-04-11 富士通株式会社 携帯端末装置
US7736928B2 (en) * 2006-12-01 2010-06-15 Applied Materials, Inc. Precision printing electroplating through plating mask on a solar cell substrate
US20080128019A1 (en) * 2006-12-01 2008-06-05 Applied Materials, Inc. Method of metallizing a solar cell substrate
US7799182B2 (en) * 2006-12-01 2010-09-21 Applied Materials, Inc. Electroplating on roll-to-roll flexible solar cell substrates
US7704352B2 (en) * 2006-12-01 2010-04-27 Applied Materials, Inc. High-aspect ratio anode and apparatus for high-speed electroplating on a solar cell substrate
US8146902B2 (en) * 2006-12-21 2012-04-03 Lam Research Corporation Hybrid composite wafer carrier for wet clean equipment
US7799684B1 (en) * 2007-03-05 2010-09-21 Novellus Systems, Inc. Two step process for uniform across wafer deposition and void free filling on ruthenium coated wafers
US7975708B2 (en) * 2007-03-30 2011-07-12 Lam Research Corporation Proximity head with angled vacuum conduit system, apparatus and method
US8464736B1 (en) 2007-03-30 2013-06-18 Lam Research Corporation Reclaim chemistry
US20080293343A1 (en) * 2007-05-22 2008-11-27 Yuchun Wang Pad with shallow cells for electrochemical mechanical processing
DE102007026633B4 (de) 2007-06-06 2009-04-02 Atotech Deutschland Gmbh Vorrichtung und Verfahren zum elektrolytischen Behandeln von plattenförmiger Ware
US8141566B2 (en) * 2007-06-19 2012-03-27 Lam Research Corporation System, method and apparatus for maintaining separation of liquids in a controlled meniscus
US8528498B2 (en) * 2007-06-29 2013-09-10 Lam Research Corporation Integrated steerability array arrangement for minimizing non-uniformity
US7985325B2 (en) 2007-10-30 2011-07-26 Novellus Systems, Inc. Closed contact electroplating cup assembly
US7935231B2 (en) 2007-10-31 2011-05-03 Novellus Systems, Inc. Rapidly cleanable electroplating cup assembly
CN101903560B (zh) 2007-12-21 2014-08-06 无穷动力解决方案股份有限公司 用于电解质膜的溅射靶的方法
US8268488B2 (en) 2007-12-21 2012-09-18 Infinite Power Solutions, Inc. Thin film electrolyte for thin film batteries
CN101911367B (zh) 2008-01-11 2015-02-25 无穷动力解决方案股份有限公司 用于薄膜电池及其他器件的薄膜包封
US8703615B1 (en) 2008-03-06 2014-04-22 Novellus Systems, Inc. Copper electroplating process for uniform across wafer deposition and void free filling on ruthenium coated wafers
US7964506B1 (en) 2008-03-06 2011-06-21 Novellus Systems, Inc. Two step copper electroplating process with anneal for uniform across wafer deposition and void free filling on ruthenium coated wafers
US8513124B1 (en) 2008-03-06 2013-08-20 Novellus Systems, Inc. Copper electroplating process for uniform across wafer deposition and void free filling on semi-noble metal coated wafers
US20090236447A1 (en) * 2008-03-21 2009-09-24 Applied Materials, Inc. Method and apparatus for controlling gas injection in process chamber
WO2009124191A2 (en) 2008-04-02 2009-10-08 Infinite Power Solutions, Inc. Passive over/under voltage control and protection for energy storage devices associated with energy harvesting
US20090250352A1 (en) * 2008-04-04 2009-10-08 Emat Technology, Llc Methods for electroplating copper
CN101580945B (zh) * 2008-05-12 2012-12-05 盛美半导体设备(上海)有限公司 电沉积系统
KR101841897B1 (ko) 2008-07-28 2018-03-23 케이엘에이-텐코어 코오포레이션 웨이퍼 상의 메모리 디바이스 영역에서 검출된 결함들을 분류하기 위한 컴퓨터-구현 방법들, 컴퓨터-판독 가능 매체, 및 시스템들
KR20110058793A (ko) 2008-08-11 2011-06-01 인피니트 파워 솔루션스, 인크. 전자기 에너지를 수확하기 위한 일체형 컬렉터 표면을 갖는 에너지 디바이스 및 전자기 에너지를 수확하는 방법
JP5650646B2 (ja) 2008-09-12 2015-01-07 インフィニット パワー ソリューションズ, インコーポレイテッド 電磁エネルギーを介したデータ通信のための一体型伝導性表面を有するエネルギーデバイスおよび電磁エネルギーを介したデータ通信のための方法
JP2012503096A (ja) * 2008-09-16 2012-02-02 エーシーエム リサーチ (シャンハイ) インコーポレーテッド 半導体ウエハ上に実質的に均一な銅析出を行う方法
WO2010042594A1 (en) 2008-10-08 2010-04-15 Infinite Power Solutions, Inc. Environmentally-powered wireless sensor module
US11225727B2 (en) 2008-11-07 2022-01-18 Lam Research Corporation Control of current density in an electroplating apparatus
US10011917B2 (en) 2008-11-07 2018-07-03 Lam Research Corporation Control of current density in an electroplating apparatus
US8858774B2 (en) 2008-11-07 2014-10-14 Novellus Systems, Inc. Electroplating apparatus for tailored uniformity profile
US20100126849A1 (en) * 2008-11-24 2010-05-27 Applied Materials, Inc. Apparatus and method for forming 3d nanostructure electrode for electrochemical battery and capacitor
CN101748459B (zh) * 2008-12-01 2014-09-24 盛美半导体设备(上海)有限公司 在半导体晶片上超均匀沉积铜膜的方法
US8172992B2 (en) 2008-12-10 2012-05-08 Novellus Systems, Inc. Wafer electroplating apparatus for reducing edge defects
US9512538B2 (en) 2008-12-10 2016-12-06 Novellus Systems, Inc. Plating cup with contoured cup bottom
US8475637B2 (en) * 2008-12-17 2013-07-02 Novellus Systems, Inc. Electroplating apparatus with vented electrolyte manifold
US8262871B1 (en) 2008-12-19 2012-09-11 Novellus Systems, Inc. Plating method and apparatus with multiple internally irrigated chambers
JP5084766B2 (ja) * 2009-03-11 2012-11-28 住友電気工業株式会社 薄膜超電導線材および超電導ケーブル導体
US9455139B2 (en) 2009-06-17 2016-09-27 Novellus Systems, Inc. Methods and apparatus for wetting pretreatment for through resist metal plating
US8962085B2 (en) 2009-06-17 2015-02-24 Novellus Systems, Inc. Wetting pretreatment for enhanced damascene metal filling
US9677188B2 (en) 2009-06-17 2017-06-13 Novellus Systems, Inc. Electrofill vacuum plating cell
JP5492998B2 (ja) 2009-09-01 2014-05-14 インフィニット パワー ソリューションズ, インコーポレイテッド 薄膜バッテリを組み込んだプリント回路基板
US20110041899A1 (en) * 2009-10-30 2011-02-24 National Institute Of Standards And Technology Three Dimensionally Structured Thin Film Photovoltaic Devices with Self-Aligned Back Contacts
US9347987B2 (en) 2009-11-06 2016-05-24 Intel Corporation Direct liquid-contact micro-channel heat transfer devices, methods of temperature control for semiconductive devices, and processes of forming same
US9138784B1 (en) 2009-12-18 2015-09-22 Novellus Systems, Inc. Deionized water conditioning system and methods
TWI397614B (zh) * 2009-12-22 2013-06-01 Zhen Ding Technology Co Ltd 電鍍治具
TWI410531B (zh) * 2010-05-07 2013-10-01 Taiwan Semiconductor Mfg 直立式電鍍設備及其電鍍方法
US9441295B2 (en) * 2010-05-14 2016-09-13 Solarcity Corporation Multi-channel gas-delivery system
US9385035B2 (en) 2010-05-24 2016-07-05 Novellus Systems, Inc. Current ramping and current pulsing entry of substrates for electroplating
CN102947976B (zh) 2010-06-07 2018-03-16 萨普拉斯特研究有限责任公司 可充电、高密度的电化学设备
US9523155B2 (en) 2012-12-12 2016-12-20 Novellus Systems, Inc. Enhancement of electrolyte hydrodynamics for efficient mass transfer during electroplating
US10094034B2 (en) 2015-08-28 2018-10-09 Lam Research Corporation Edge flow element for electroplating apparatus
US10233556B2 (en) 2010-07-02 2019-03-19 Lam Research Corporation Dynamic modulation of cross flow manifold during electroplating
US9624592B2 (en) 2010-07-02 2017-04-18 Novellus Systems, Inc. Cross flow manifold for electroplating apparatus
US8795480B2 (en) 2010-07-02 2014-08-05 Novellus Systems, Inc. Control of electrolyte hydrodynamics for efficient mass transfer during electroplating
TWI414640B (zh) * 2010-09-06 2013-11-11 Grand Plastic Technology Co Ltd 垂直懸臂式電鍍夾具
TW201213622A (en) * 2010-09-27 2012-04-01 Pin-Chun Huang Device and method for electroplating thin board
US20120325671A2 (en) * 2010-12-17 2012-12-27 Tel Nexx, Inc. Electroplated lead-free bump deposition
CN102041531B (zh) * 2010-12-30 2012-05-23 东莞铭励电器制品有限公司 一种局部喷镀银接触钉之喷镀装置及一种局部喷镀银接触钉
US9170211B2 (en) 2011-03-25 2015-10-27 Kla-Tencor Corp. Design-based inspection using repeating structures
US8575028B2 (en) 2011-04-15 2013-11-05 Novellus Systems, Inc. Method and apparatus for filling interconnect structures
US9028666B2 (en) 2011-05-17 2015-05-12 Novellus Systems, Inc. Wetting wave front control for reduced air entrapment during wafer entry into electroplating bath
JP6113154B2 (ja) 2011-06-24 2017-04-12 エーシーエム リサーチ (シャンハイ) インコーポレーテッド 基板上に均一な金属膜を形成するための方法及び装置
US9221081B1 (en) 2011-08-01 2015-12-29 Novellus Systems, Inc. Automated cleaning of wafer plating assembly
WO2013021847A1 (ja) * 2011-08-11 2013-02-14 東京エレクトロン株式会社 半導体装置の製造方法、半導体装置及び配線形成用治具
US9228270B2 (en) 2011-08-15 2016-01-05 Novellus Systems, Inc. Lipseals and contact elements for semiconductor electroplating apparatuses
US10066311B2 (en) 2011-08-15 2018-09-04 Lam Research Corporation Multi-contact lipseals and associated electroplating methods
US9988734B2 (en) 2011-08-15 2018-06-05 Lam Research Corporation Lipseals and contact elements for semiconductor electroplating apparatuses
US9087367B2 (en) 2011-09-13 2015-07-21 Kla-Tencor Corp. Determining design coordinates for wafer defects
US20130233356A1 (en) * 2012-03-12 2013-09-12 Lam Research Ag Process and apparatus for treating surfaces of wafer-shaped articles
SG11201406133WA (en) 2012-03-28 2014-10-30 Novellus Systems Inc Methods and apparatuses for cleaning electroplating substrate holders
TWI609100B (zh) 2012-03-30 2017-12-21 諾發系統有限公司 使用反向電流除鍍以清洗電鍍基板夾持具
CN103590092B (zh) * 2012-08-16 2017-05-10 盛美半导体设备(上海)有限公司 一种电化学抛光/电镀装置及方法
US9189844B2 (en) 2012-10-15 2015-11-17 Kla-Tencor Corp. Detecting defects on a wafer using defect-specific information
US9909228B2 (en) 2012-11-27 2018-03-06 Lam Research Corporation Method and apparatus for dynamic current distribution control during electroplating
US9053527B2 (en) 2013-01-02 2015-06-09 Kla-Tencor Corp. Detecting defects on a wafer
US9134254B2 (en) 2013-01-07 2015-09-15 Kla-Tencor Corp. Determining a position of inspection system output in design data space
US9311698B2 (en) 2013-01-09 2016-04-12 Kla-Tencor Corp. Detecting defects on a wafer using template image matching
KR102019534B1 (ko) 2013-02-01 2019-09-09 케이엘에이 코포레이션 결함 특유의, 다중 채널 정보를 이용한 웨이퍼 상의 결함 검출
US9746427B2 (en) 2013-02-15 2017-08-29 Novellus Systems, Inc. Detection of plating on wafer holding apparatus
US10416092B2 (en) 2013-02-15 2019-09-17 Lam Research Corporation Remote detection of plating on wafer holding apparatus
US9613833B2 (en) 2013-02-20 2017-04-04 Novellus Systems, Inc. Methods and apparatus for wetting pretreatment for through resist metal plating
US9435048B2 (en) * 2013-02-27 2016-09-06 Taiwan Semiconductor Manufacturing Co., Ltd. Layer by layer electro chemical plating (ECP) process
US9865512B2 (en) 2013-04-08 2018-01-09 Kla-Tencor Corp. Dynamic design attributes for wafer inspection
US9310320B2 (en) 2013-04-15 2016-04-12 Kla-Tencor Corp. Based sampling and binning for yield critical defects
US10113244B2 (en) * 2013-04-22 2018-10-30 Acm Research (Shanghai) Inc. Method and apparatus for uniformly metallization on substrate
US9670588B2 (en) 2013-05-01 2017-06-06 Lam Research Corporation Anisotropic high resistance ionic current source (AHRICS)
US9449808B2 (en) 2013-05-29 2016-09-20 Novellus Systems, Inc. Apparatus for advanced packaging applications
US9677190B2 (en) 2013-11-01 2017-06-13 Lam Research Corporation Membrane design for reducing defects in electroplating systems
US9435049B2 (en) 2013-11-20 2016-09-06 Lam Research Corporation Alkaline pretreatment for electroplating
CN103938257A (zh) * 2014-05-08 2014-07-23 中国科学院宁波材料技术与工程研究所 多用途电化学池装置及电镀和分析方法
CN105316754B (zh) * 2014-07-29 2019-08-16 盛美半导体设备(上海)有限公司 电化学加工工艺及电化学加工装置
CN105590987B (zh) * 2014-10-20 2022-06-14 苏州易益新能源科技有限公司 一种水平电化学沉积金属的方法
US20170260641A1 (en) * 2014-11-25 2017-09-14 Acm Research (Shanghai) Inc. Apparatus and method for uniform metallization on substrate
US9752248B2 (en) 2014-12-19 2017-09-05 Lam Research Corporation Methods and apparatuses for dynamically tunable wafer-edge electroplating
US9567685B2 (en) 2015-01-22 2017-02-14 Lam Research Corporation Apparatus and method for dynamic control of plated uniformity with the use of remote electric current
US9481942B2 (en) 2015-02-03 2016-11-01 Lam Research Corporation Geometry and process optimization for ultra-high RPM plating
TWI560323B (en) * 2015-02-13 2016-12-01 Inotera Memories Inc Electrochemical plating device and anode assembly thereof
US9617648B2 (en) 2015-03-04 2017-04-11 Lam Research Corporation Pretreatment of nickel and cobalt liners for electrodeposition of copper into through silicon vias
US9816194B2 (en) 2015-03-19 2017-11-14 Lam Research Corporation Control of electrolyte flow dynamics for uniform electroplating
US10014170B2 (en) 2015-05-14 2018-07-03 Lam Research Corporation Apparatus and method for electrodeposition of metals with the use of an ionically resistive ionically permeable element having spatially tailored resistivity
US9972740B2 (en) 2015-06-07 2018-05-15 Tesla, Inc. Chemical vapor deposition tool and process for fabrication of photovoltaic structures
US9988733B2 (en) 2015-06-09 2018-06-05 Lam Research Corporation Apparatus and method for modulating azimuthal uniformity in electroplating
US10053793B2 (en) 2015-07-09 2018-08-21 Lam Research Corporation Integrated elastomeric lipseal and cup bottom for reducing wafer sticking
EP3176288A1 (en) * 2015-12-03 2017-06-07 ATOTECH Deutschland GmbH Method for galvanic metal deposition
US10364505B2 (en) 2016-05-24 2019-07-30 Lam Research Corporation Dynamic modulation of cross flow manifold during elecroplating
US9748434B1 (en) 2016-05-24 2017-08-29 Tesla, Inc. Systems, method and apparatus for curing conductive paste
AU2017295870B2 (en) 2016-07-13 2022-04-28 Iontra Inc Electrochemical methods, devices and compositions
CN109475884A (zh) * 2016-07-20 2019-03-15 技术公司 在半导电晶片上电沉积均匀厚度的金属层
US9954136B2 (en) 2016-08-03 2018-04-24 Tesla, Inc. Cassette optimized for an inline annealing system
WO2018063257A1 (en) * 2016-09-29 2018-04-05 Intel Corporation Methods & apparatus for electroless plating dispense
US10115856B2 (en) 2016-10-31 2018-10-30 Tesla, Inc. System and method for curing conductive paste using induction heating
CN106917121A (zh) * 2017-03-02 2017-07-04 北京半导体专用设备研究所(中国电子科技集团公司第四十五研究所) 一种晶圆电镀装置及电镀方法
KR102000672B1 (ko) * 2017-07-28 2019-07-17 주식회사 선익시스템 박막 증착용 마스크 제조 방법 및 이를 통해 제작된 증착 마스크
KR101987172B1 (ko) * 2017-07-28 2019-06-10 주식회사 선익시스템 박막 증착용 마스크 제조 방법 및 이를 통해 제작된 증착 마스크
US11001934B2 (en) 2017-08-21 2021-05-11 Lam Research Corporation Methods and apparatus for flow isolation and focusing during electroplating
US10781527B2 (en) 2017-09-18 2020-09-22 Lam Research Corporation Methods and apparatus for controlling delivery of cross flowing and impinging electrolyte during electroplating
CN110512248B (zh) * 2018-05-21 2022-04-12 盛美半导体设备(上海)股份有限公司 电镀设备及电镀方法
JP7296699B2 (ja) * 2018-07-02 2023-06-23 東京エレクトロン株式会社 ガス供給システム、プラズマ処理装置およびガス供給システムの制御方法
WO2020133149A1 (en) * 2018-12-28 2020-07-02 Acm Research (Shanghai) Inc. Plating apparatus and plating method
KR102639119B1 (ko) * 2018-12-31 2024-02-20 엘지디스플레이 주식회사 전기 도금 장치 및 이를 이용한 전기 도금 방법
IT201900007878A1 (it) * 2019-06-03 2020-12-03 C D T Centro Depurazione Toscano Srl Impianto galvanostatico per la gestione della distribuzione dello spessore di riporti galvanici ed il procedimento per ottenerli
CN110614074B (zh) * 2019-09-25 2021-07-23 赣州泰普新材料有限公司 一种带有废物处理机构的萜烯树脂用生产装置
CN110923761B (zh) * 2019-12-26 2022-01-11 重庆切普电子技术有限公司 一种喷淋电镀系统
CN113493920B (zh) * 2020-03-19 2022-12-23 芯恩(青岛)集成电路有限公司 改善电镀薄膜均匀性的装置及方法
JP7356401B2 (ja) * 2020-05-12 2023-10-04 株式会社荏原製作所 プレート、めっき装置、及びプレートの製造方法
CN111854415B (zh) * 2020-07-15 2022-04-01 合肥三伍机械有限公司 一种烘干机用自清洁网板结构和烘干机
KR200496932Y1 (ko) 2020-08-25 2023-06-07 주식회사 한국가스기술공사 방폭등기구 테스트 장치

Family Cites Families (52)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3880725A (en) * 1974-04-10 1975-04-29 Rca Corp Predetermined thickness profiles through electroplating
JPS56102590A (en) * 1979-08-09 1981-08-17 Koichi Shimamura Method and device for plating of microarea
US4304641A (en) 1980-11-24 1981-12-08 International Business Machines Corporation Rotary electroplating cell with controlled current distribution
JPS57171690A (en) * 1981-04-13 1982-10-22 Tokio Osaki Plating method
AU602673B2 (en) * 1985-12-24 1990-10-25 Gould Electronics Inc Electroplating metal foil
GB8617675D0 (en) * 1986-07-19 1986-08-28 Ae Plc Deposition of bearing alloys
JPH01234590A (ja) * 1988-03-16 1989-09-19 Toshiba Eng Co Ltd 部分メッキ装置
US5235995A (en) 1989-03-27 1993-08-17 Semitool, Inc. Semiconductor processor apparatus with dynamic wafer vapor treatment and particulate volatilization
WO1990000476A1 (en) 1988-07-12 1990-01-25 The Regents Of The University Of California Planarized interconnect etchback
JPH07113159B2 (ja) * 1988-08-29 1995-12-06 日本電装株式会社 めっき装置
JPH02185999A (ja) * 1989-01-11 1990-07-20 Nec Corp 電気メッキ槽
JPH083153B2 (ja) * 1990-02-26 1996-01-17 日本電装株式会社 めっき装置
US5222310A (en) 1990-05-18 1993-06-29 Semitool, Inc. Single wafer processor with a frame
JPH04170031A (ja) * 1990-11-02 1992-06-17 Nec Corp 金属配線の形成方法
US5326455A (en) * 1990-12-19 1994-07-05 Nikko Gould Foil Co., Ltd. Method of producing electrolytic copper foil and apparatus for producing same
JP2538705Y2 (ja) * 1991-01-10 1997-06-18 日本電気株式会社 めっき処理装置
JPH04311591A (ja) * 1991-04-08 1992-11-04 Sumitomo Metal Ind Ltd めっき装置及びめっき方法
JP3112700B2 (ja) * 1991-05-08 2000-11-27 啓一郎 菅沼 半導体製造方法並びにその装置
JPH0555167A (ja) * 1991-08-28 1993-03-05 Nec Corp 半導体装置の製造方法
JPH05206064A (ja) * 1991-12-10 1993-08-13 Nec Corp 半導体装置の製造方法
JPH05195183A (ja) * 1992-01-23 1993-08-03 Mitsubishi Electric Corp 半導体装置の製造方法
JPH0617291A (ja) * 1992-07-03 1994-01-25 Nec Corp 金属めっき装置
JPH0625899A (ja) 1992-07-10 1994-02-01 Nec Corp 電解メッキ装置
JPH0645283A (ja) * 1992-07-27 1994-02-18 Nec Corp 半導体装置の製造方法
JP3350564B2 (ja) * 1993-01-22 2002-11-25 沖電気工業株式会社 めっき装置及びめっき方法
JP3064734B2 (ja) * 1993-04-01 2000-07-12 日本電気株式会社 半導体装置の製造方法
US5489341A (en) 1993-08-23 1996-02-06 Semitool, Inc. Semiconductor processing with non-jetting fluid stream discharge array
US5421987A (en) 1993-08-30 1995-06-06 Tzanavaras; George Precision high rate electroplating cell and method
JP3289459B2 (ja) * 1993-12-29 2002-06-04 カシオ計算機株式会社 メッキ方法及びメッキ装置
WO1995020064A1 (en) * 1994-01-24 1995-07-27 Berg N Edward Uniform electroplating of printed circuit boards
US5544421A (en) 1994-04-28 1996-08-13 Semitool, Inc. Semiconductor wafer processing system
JP3033009B2 (ja) * 1994-09-09 2000-04-17 東京エレクトロン株式会社 処理装置
JP3394842B2 (ja) * 1995-04-14 2003-04-07 島田理化工業株式会社 ウエハ処理装置
US5522975A (en) * 1995-05-16 1996-06-04 International Business Machines Corporation Electroplating workpiece fixture
US5516412A (en) 1995-05-16 1996-05-14 International Business Machines Corporation Vertical paddle plating cell
EP0751566A3 (en) * 1995-06-30 1997-02-26 Ibm Metal thin film barrier for electrical connections
US5670034A (en) 1995-07-11 1997-09-23 American Plating Systems Reciprocating anode electrolytic plating apparatus and method
US5620581A (en) * 1995-11-29 1997-04-15 Aiwa Research And Development, Inc. Apparatus for electroplating metal films including a cathode ring, insulator ring and thief ring
US6176667B1 (en) * 1996-04-30 2001-01-23 Applied Materials, Inc. Multideck wafer processing system
JP3537269B2 (ja) * 1996-05-21 2004-06-14 アネルバ株式会社 マルチチャンバースパッタリング装置
US5779799A (en) * 1996-06-21 1998-07-14 Micron Technology, Inc. Substrate coating apparatus
DE19821781C2 (de) * 1997-05-15 2002-07-18 Toyoda Gosei Kk Beschichtungsverfahren und Beschichtungsgerät zur Herstellung dreidimensionaler Metallgegenstände
JP3223850B2 (ja) * 1997-07-18 2001-10-29 日本電気株式会社 噴流めっき装置
JPH1180993A (ja) * 1997-09-10 1999-03-26 Ebara Corp 半導体ウエハメッキ装置
JP3554665B2 (ja) * 1997-09-17 2004-08-18 株式会社荏原製作所 半導体基板配線のバリア層及び配線構造
US5882498A (en) * 1997-10-16 1999-03-16 Advanced Micro Devices, Inc. Method for reducing oxidation of electroplating chamber contacts and improving uniform electroplating of a substrate
US6027631A (en) * 1997-11-13 2000-02-22 Novellus Systems, Inc. Electroplating system with shields for varying thickness profile of deposited layer
US6251528B1 (en) * 1998-01-09 2001-06-26 International Business Machines Corporation Method to plate C4 to copper stud
US6140234A (en) * 1998-01-20 2000-10-31 International Business Machines Corporation Method to selectively fill recesses with conductive metal
JP3501937B2 (ja) * 1998-01-30 2004-03-02 富士通株式会社 半導体装置の製造方法
US6143155A (en) * 1998-06-11 2000-11-07 Speedfam Ipec Corp. Method for simultaneous non-contact electrochemical plating and planarizing of semiconductor wafers using a bipiolar electrode assembly
US6497801B1 (en) * 1998-07-10 2002-12-24 Semitool Inc Electroplating apparatus with segmented anode array

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101136773B1 (ko) * 2003-06-27 2012-04-19 램 리써치 코포레이션 반도체 웨이퍼의 박막 증착 및 평탄화 장치 및 방법
KR20220105117A (ko) * 2021-01-19 2022-07-26 시메트릭 세미컨덕터 솔루션즈 컴퍼니 리미티드 전기 도금 장치 및 전기 도금 방법

Also Published As

Publication number Publication date
WO1999041434A2 (en) 1999-08-19
CN1290310A (zh) 2001-04-04
JP2002503766A (ja) 2002-02-05
JP2004162166A (ja) 2004-06-10
TW591122B (en) 2004-06-11
AU2233399A (en) 1999-08-30
US20020008036A1 (en) 2002-01-24
TWI240019B (en) 2005-09-21
US6391166B1 (en) 2002-05-21
CN1222641C (zh) 2005-10-12
CA2320278A1 (en) 1999-08-19
EP1055020A2 (en) 2000-11-29
JP3523197B2 (ja) 2004-04-26
TW200416307A (en) 2004-09-01
CA2320278C (en) 2006-01-03
WO1999041434A3 (en) 1999-10-14
US20010040100A1 (en) 2001-11-15
KR100474746B1 (ko) 2005-03-08

Similar Documents

Publication Publication Date Title
KR100474746B1 (ko) 도금 장치 및 방법
US20220010446A1 (en) Electrodeposition of nanotwinned copper structures
TW202038409A (zh) 低溫銅-銅直接接合
KR20200059309A (ko) 혼합된 피처 전기도금을 위한 대류 최적화
JP2005097732A (ja) めっき装置
KR20230026331A (ko) 나노쌍정된 (nanotwinned) 구리 피처 및 비나노쌍정 (non-nanotwinned) 구리 피처의 전기도금
US20220415710A1 (en) Interconnect structure with selective electroplated via fill
JP5232844B2 (ja) めっき装置
US10975489B2 (en) One-piece anode for tuning electroplating at an edge of a substrate
US20240084473A1 (en) Electrochemical assembly for forming semiconductor features
KR20230157852A (ko) 기판 상의 다이-레벨 패턴들에 대해 공간적으로 맞춤된 (tailor) 차폐부 (shield) 또는 이온 저항성 (resistive) 이온 투과성 (permeable) 엘리먼트를 사용한 금속들의 전착 (electrodeposition)
KR20230136017A (ko) 전기 도금 동안 테일러링된 유체 역학에 대한 공간적으로 그리고 치수적으로 불균일한 채널링된 플레이트
CN112160003B (zh) 电镀装置中的电流密度的控制
WO2022271568A1 (en) Micro inert anode array for die level electrodeposition thickness distribution control
KR20220075236A (ko) 립시일 석출 (plate-out) 방지를 위한 웨이퍼 차폐
CN112160003A (zh) 电镀装置中的电流密度的控制

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20080205

Year of fee payment: 4

LAPS Lapse due to unpaid annual fee