CN116420220A - 具有互连结构的键合结构 - Google Patents

具有互连结构的键合结构 Download PDF

Info

Publication number
CN116420220A
CN116420220A CN202180071066.8A CN202180071066A CN116420220A CN 116420220 A CN116420220 A CN 116420220A CN 202180071066 A CN202180071066 A CN 202180071066A CN 116420220 A CN116420220 A CN 116420220A
Authority
CN
China
Prior art keywords
conductive
bonding
die
interconnect structure
pad
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202180071066.8A
Other languages
English (en)
Inventor
B·哈巴
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
American Semiconductor Bonding Technology Co ltd
Original Assignee
American Semiconductor Bonding Technology Co ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by American Semiconductor Bonding Technology Co ltd filed Critical American Semiconductor Bonding Technology Co ltd
Publication of CN116420220A publication Critical patent/CN116420220A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0652Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next and on each other, i.e. mixed assemblies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5383Multilayer substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5385Assembly of a plurality of insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5389Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates the chips being integrally enclosed by the interconnect and support structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/93Batch processes
    • H01L24/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L24/96Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being encapsulated in a common layer, e.g. neo-wafer or pseudo-wafer, said common layer being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/93Batch processes
    • H01L24/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L24/97Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being connected to a common substrate, e.g. interposer, said common substrate being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0655Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next to each other
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • H01L21/4857Multilayer substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0233Structure of the redistribution layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05647Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08151Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/08153Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being arranged next to each other, e.g. on a common substrate
    • H01L2224/08155Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being arranged next to each other, e.g. on a common substrate the item being non-metallic, e.g. being an insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08151Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/08221Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/08225Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08151Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/08221Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/08225Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/08235Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation the bonding area connecting to a via metallisation of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80003Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding involving a temporary auxiliary member not forming part of the bonding apparatus
    • H01L2224/80006Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding involving a temporary auxiliary member not forming part of the bonding apparatus being a temporary or sacrificial substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80009Pre-treatment of the bonding area
    • H01L2224/8001Cleaning the bonding area, e.g. oxide removal step, desmearing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80009Pre-treatment of the bonding area
    • H01L2224/8001Cleaning the bonding area, e.g. oxide removal step, desmearing
    • H01L2224/80013Plasma cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80053Bonding environment
    • H01L2224/80095Temperature settings
    • H01L2224/80099Ambient temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/8034Bonding interfaces of the bonding area
    • H01L2224/80357Bonding interfaces of the bonding area being flush with the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80801Soldering or alloying
    • H01L2224/8082Diffusion bonding
    • H01L2224/8083Solid-solid interdiffusion
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80895Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically conductive surfaces, e.g. copper-copper direct bonding, surface activated bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80896Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically insulating surfaces, e.g. oxide or nitride layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80905Combinations of bonding methods provided for in at least two different groups from H01L2224/808 - H01L2224/80904
    • H01L2224/80906Specific sequence of method steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80909Post-treatment of the bonding area
    • H01L2224/80948Thermal treatments, e.g. annealing, controlled cooling
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L24/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/181Encapsulation

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Structures Or Materials For Encapsulating Or Coating Semiconductor Devices Or Solid State Devices (AREA)

Abstract

公开了一种键合结构。键合结构可以包括互连结构,该互连结构具有第一侧和与第一侧相对的第二侧。键合结构还可以包括安装到互连结构的第一侧的第一管芯。第一管芯可以在没有中间粘合剂的情况下直接键合到互连结构。键合结构还可以包括安装到互连结构的第一侧的第二管芯。键合结构还可以包括安装到互连结构的第二侧的元件。第一管芯和第二管芯通过至少互连结构和该元件电连接。

Description

具有互连结构的键合结构
相关申请的交叉引用
本申请要求于2020年9月4日提交的题为“BONDED STRUCTURE WITH INTERCONNECTSTRUCTURE”的美国临时专利申请第63/075,038号的优先权,该申请的全部内容通过引用并入本文。
技术领域
本领域总体上涉及键合结构,并且具体地涉及具有互连结构的键合结构。
背景技术
电子组件可以连接到再分布层(RDL),该RDL可以包括导电路由迹线,导电路由迹线用于在电子组件的覆盖区之外横向地路由信号。RDL可以通过沉积工艺形成在电子组件上。当RDL被形成时,在RDL的导电部分与电子组件的接触焊盘之间可能存在未对准。因此,仍然需要用于制造电子组件的改进的结构和方法。
附图说明
现在将参考以下附图来描述具体实现,这些附图是通过示例而非限制的方式提供的。
图1A示出了集成器件管芯和多个元件在安装到互连结构之前的示意性侧视图。
图1B示出了根据一个实施例的键合结构的示意性横截面侧视图。
图2A示出了根据一个实施例的制造键合结构的工艺中的一个步骤。
图2B示出了在图2A之后制造键合结构的过程中的另一步骤。
图2C示出了在图2B之后制造键合结构的过程中的另一步骤。
图2D示出了在图2C之后制造键合结构的过程中的另一步骤。
图2E示出了在图2D之后制造键合结构的过程中的另一步骤。
图3A示出了根据另一实施例的制造键合结构的工艺中的一个步骤。
图3B示出了在图3A之后制造键合结构的过程中的另一步骤。
图3C示出了在图3B之后制造键合结构的过程中的另一步骤。
图3D示出了在图3C之后制造键合结构的过程中的另一步骤。
图3E示出了在图3D之后制造键合结构的过程中的另一步骤。
图4示出了根据另一实施例的键合结构的示意性横截面侧视图。
图5是根据各种实施例的包括一个或多个微电子组件的系统的示意图。
具体实施方式
集成器件封装可以使用再分布层(RDL)将信号从封装中的一个或多个集成器件管芯再分布到其他器件(例如,在集成器件管芯的覆盖区之外的其他器件)。例如,扇出再分布可以将信号从集成器件管芯的精细节距的键合焊盘传送到与管芯地横向间隔开的其他器件。在一些实现中,扇出RDL可以将信号从管芯传送到被配置为连接到系统板(例如,印刷电路板或PCB)的引线或接触焊盘。在一些实现中,扇出RDL可以将信号从管芯传送到其他器件,诸如其他集成器件管芯等。在包括多个集成器件管芯的一些封装中,管芯可以安装到牺牲载体,并且模制化合物可以设置在管芯和载体之上。牺牲载体可以去除,并且模制的器件管芯可以被翻转。RDL可以沉积在模制化合物和器件管芯之上以形成重构晶片。重构晶片可以被单片化为多个封装,每个封装包括连接到RDL的一个或多个管芯。
然而,在利用沉积RDL的重构晶片中,管芯相对于其预期位置可能具有较小未对准,例如旋转和/或平移未对准。当数百或数千个管芯跨重构晶片未对准时,沉积RDL的未对准可能相应地被放大相当大的量。在这种情况下,未对准可以通过在(多个)管芯上使用粗间距和/或在管芯之间使用有限数目的互连来补偿。然而,减少管芯上的接触焊盘的数目和/或管芯之间的互连的数目可能是不希望的。当一个系统中使用两个以上的管芯时,可能会加剧未对准问题。因此,仍然需要利用扇出再分布的封装中的集成器件管芯的改进的对准。
本文中公开的各种实施例可以通过将器件管芯安装(例如,直接键合)到互连结构(例如,RDL)来有利地确保多个器件管芯相对于彼此对准,该互连结构可以用作对准层以相对于彼此和/或重构晶片中的期望位置来精确地对准管芯。一个或多个元件可以安装(例如,直接键合)到互连结构的相对侧,以电连接横向地间隔的器件管芯。模制化合物可以设置在互连结构、管芯和元件的一个或两个侧之上。将器件管芯和/或连接元件直接键合到公共互连结构(例如,用作RDL的对准层)可以改善管芯之间的对准。重构晶片可以被单片化以形成多个封装,每个封装包括在互连结构的相对侧上的多个器件管芯和连接元件。
两个或更多个半导体元件(诸如集成器件管芯、晶片等)可以堆叠或彼此键合以形成键合结构。一个元件的导电接触焊盘可以电连接到另一元件的对应导电接触焊盘。任何合适数目的元件都可以堆叠在键合结构中。
参考图1A和图1B,在一些实施例中,元件(例如,多个管芯12和互连结构10)在没有粘合剂的情况下直接彼此键合。在各种实现中,第一元件(例如,具有有源电路系统的第一半导体器件管芯或第一集成器件管芯12)的非导电材料46可以在没有粘合剂的情况下直接键合到第二元件(例如,具有有源电路系统的第二半导体器件管芯或互连结构10)的对应介电场区域(例如,非导电材料30)。非导电材料46可以称为第一元件的非导电键合区域。在一些实施例中,第一元件的非导电材料可以使用介电介电键合技术直接键合到第二元件的对应介电场区域。例如,介电介电键合可以使用至少在美国专利第9,564,414号、第9,391,143号和第10,434,749号中公开的直接键合技术在没有粘合剂的情况下形成,其中的每个专利的全部内容通过引用整体并入本文并且用于所有目的。
在各种实施例中,混合直接键合可以在没有中间粘合剂的情况下形成。例如,介电键合表面可以被抛光到高度光滑。键合表面可以被清洁并且暴露于等离子体和/或蚀刻剂以激活表面。在一些实施例中,表面可以在激活之后或在激活期间(例如,在等离子体和/或蚀刻工艺期间)用物质终止。在不受理论限制的情况下,在一些实施例中,激活过程可以被执行以破坏键合表面处的化学键,并且终止过程可以在键合表面处提供附加化学物质,其提高了直接键合期间的键合能量。在一些实施例中,激活和终止在相同步骤中提供,例如,用于激活和终止表面的等离子体或湿蚀刻剂。在其他实施例中,键合表面可以在单独的处理中终止,以提供用于直接键合的附加物质。在各种实施例中,终止物质可以包括氮。此外,在一些实施例中,键合表面可以暴露于氟。例如,在层和/或键合界面附近可以存在一个或多个氟峰。因此,在直接键合结构中,两种介电材料之间的键合界面可以包括在键合界面处具有较高氮含量和/或氟峰的非常光滑的界面。激活和/或终止处理的附加示例可以在美国专利第9,564,414号、第9,391,143号和第10,434,749号中找到,其中的每个专利的全部内容通过引用整体并入本文并且用于所有目的。
在各种实施例中,第一元件的导电接触焊盘可以直接键合到第二元件的对应导电接触焊盘。例如,混合键合技术可以用于提供沿着键合界面的导体到导体直接键合,该键合界面包括如上所述制备的共价直接键合介电到介电表面。在各种实施例中,导体到导体(例如,接触焊盘到接触焊盘)直接键合和介电到介电混合键合可以使用至少在美国专利第9,716,033号和第9,852,988号中公开的直接键合技术来形成,其中的每个专利的全部内容通过引用整体并入本文并且用于所有目的。
例如,介电键合表面可以被制备并且在没有中间粘合剂的情况下直接彼此键合,如上所述。导电接触焊盘(其可以被非导电介电场区域围绕)也可以在没有中间粘合剂的情况下直接彼此键合。在一些实施例中,相应接触焊盘可以凹陷在介电场或非导电键合区域的外(例如,上)表面下方,例如,凹陷小于20nm、小于15nm或小于10nm,例如,凹陷2nm至20nm的范围内,或凹陷4nm至10nm的范围内。在一些实施例中,不导电键合区域可以在室温下、在没有粘合剂的情况下直接彼此键合,并且随后,键合结构可以被退火。在退火时,接触焊盘可以膨胀并且彼此接触以形成金属到金属直接键合。有益的是,直接键合互连或
Figure BDA0004182394240000051
技术的使用可以实现跨直接键合界面连接的高密度焊盘(例如,针对常规阵列的小间距或精细间距)。在一些实施例中,键合焊盘的间距可以小于40微米或小于10微米或甚至小于2微米。对于一些应用,键合焊盘的间距与键合焊盘的尺寸中的一个尺寸的比率小于5,或小于3,有时希望小于2。在各种实施例中,接触焊盘可以包括铜,尽管其他金属也可以是合适的。
因此,在直接键合工艺中,第一元件可以在中间粘合剂的情况下直接键合到第二元件。在一些布置中,第一元件可以包括单片化元件,诸如单片化集成器件管芯。在其他布置中,第一元件可以包括载体或衬底(例如,晶片),该载体或衬底包括多个(例如,数十个、数百个或更多个)器件区域,这些器件区域在被单片化时形成多个集成器件管芯。类似地,第二元件可以包括单片化元件,诸如单片化集成器件管芯。在其他布置中,第二元件可以包括载体或衬底(例如,晶片)。
如本文中所述,第一元件和第二元件可以在没有粘合剂的情况下直接彼此键合,这与沉积工艺不同。第一元件和第二元件可以相应地包括非沉积元件。此外,与沉积层不同,直接键合结构可以包括沿着键合界面的缺陷区域,缺陷区域中存在纳米空隙。纳米空隙可能是由于键合表面的激活(例如,暴露于等离子体)而形成的。如上所述,键合界面可以包括来自激活和/或最后化学处理过程的材料的浓度。例如,在利用氮等离子体进行激活的实施例中,氮峰可以形成在键合界面处。在利用氧等离子体进行激活的实施例中,氧峰可以形成在键合界面处。在一些实施例中,键合界面可以包括氮氧化硅、碳氮氧化硅或碳氮化硅。如本文中解释的,直接键合可以包括共价键合,其比范德华键更强。键合层还可以包括被平坦化到高度光滑的抛光表面。
在各种实施例中,接触焊盘之间的金属到金属键合可以被结合,使得铜晶粒跨键合界面生长到彼此之中。在一些实施例中,铜可以具有沿着111晶面定向的晶粒,以改善铜跨键合界面的扩散。键合界面可以基本上完全延伸到键合接触焊盘的至少一部分,使得在键合接触焊盘处或附近的非导电键合区域之间基本上没有间隙。在一些实施例中,阻挡层可以设置在接触焊盘(例如,可以包括铜)下方。然而,在其他实施例中,例如,如US 2019/0096741中所述,在接触焊盘下方可以不存在阻挡层,该专利通过引用整体并入本文并且用于所有目的。
图1A示出了集成器件管芯12a-12c和多个元件14a-14b在安装到互连结构10之前的示意性侧视图。图1B示出了根据一个实施例的键合结构1的示意性横截面侧视图。图1B的键合结构1可以表示已经从重构晶片分离(例如,通过锯切或冲压)的单片化结构。键合结构1可以包括具有第一侧10a和第二侧10b的互连结构10、安装到互连结构10的第一侧10a的多个集成器件管芯12(例如,第一管芯12a、第二管芯12b和第三管芯12c)、以及安装到互连结构10的第二侧10b的多个连接元件14(例如,第一元件14a和第二元件14b)。键合结构还可以包括设置在互连结构的第一侧10a上的第一模制材料16、设置在互连结构的第二侧10b上的第二模制材料18、以及第二互连结构20。
互连结构10的第一侧10a可以包括多个导电接触焊盘22(例如,第一接触焊盘22a、第二接触焊盘22b和第三接触焊盘22c),并且互连结构10的第二侧10b可以包括多个导电接触焊盘24(例如,第一接触焊盘24a、第二接触焊盘24b)。在一些实施例中,第一接触焊盘22a和第一接触焊盘24a可以电连接,并且第二接触焊盘22b和第二接触焊盘24b可以电连接。第一接触焊盘22a可以相对于第一接触焊盘24a横向地偏移。第二接触焊盘22b可以相对于第二接触焊盘24b横向地偏移。在一些实施例中,互连结构10可以包括再分布层(RDL)。互连结构10可以包括非导电材料30、形成在非导电材料30中的多个导线32、形成在非导电材料30中的多个导电过孔(未示出)。非导电材料30可以包括任何合适的材料。例如,非导电材料30可以包括介电材料(诸如氧化硅)或聚合物(诸如聚酰亚胺)。在一些实施例中,导线32可以包括用于向管芯12提供电力的电力线。在一些实施例中,导线32可以包括用于在管芯12a-12c之间传输信号的信号线。在一些实施例中,导线32可以在第一侧10a上的接触焊盘(例如,第一接触焊盘22a和第二焊盘22b)与第二侧10b上的接触焊盘(例如,第一接触焊盘24a和第二接触焊盘24b)之间横向地传输或再分布信号。
互连结构10可以通过转移工艺提供。例如,在一些实施例中,互连结构10(例如,RDL)可以形成在载体(诸如半导体或玻璃载体)上,并且直接键合到多个管芯12。载体可以从互连结构10去除以将RDL转移到多个管芯12。因此,在一些实施例中,互连结构10可以包括转移RDL。第一管芯12a、第二管芯12b和第三管芯12c可以沿着互连结构10的第一侧10a彼此间隔开。互连结构10可以有利地用于相对于公共互连结构10(例如,RDL)对准多个管芯12a、12b、12c和元件14。
在一些实施例中,多个集成器件管芯12中的一个或多个可以倒装芯片安装到互连结构10。多个集成器件管芯12可以包括任何合适类型的器件管芯。例如,多个集成器件管芯12中的一个或多个管芯可以包括电子组件,诸如处理器管芯、存储器管芯、微机电系统(MEMS)管芯、光学器件、或任何其他合适类型的器件管芯。在其他实施例中,电子组件可以包括无源器件,诸如电容器、电感器或其他表面安装器件。在各种实施例中,电路系统(诸如晶体管等有源组件)可以在多个集成器件管芯12中的一个或多个管芯的(多个)有源表面处或附近被图案化。有源表面可以在多个集成器件管芯12中的一个或多个管芯的一侧上,该侧与多个集成设备管芯12中的一个或多个的相应背面相对。背面可以包括也可以不包括任何有源电路系统或无源器件。第一集成器件管芯12和第二集成器件管芯14可以是相同类型的集成器件管芯或不同类型的器件管芯。
第一管芯12a可以包括键合表面40和与键合表面40相对的背表面42。键合表面40可以具有多个导电键合焊盘(包括导电键合焊盘44)和靠近导电键合焊盘44的非导电材料46。在一些实施例中,导电键合焊盘44可以键合到第一导电焊盘22a,并且非导电材料46可以键合到非导电材料30的一部分。在一些实施例中,导电键合焊盘44可以在没有中间粘合剂的情况下直接键合到第一导电焊盘22a,并且非导电材料46可以在没有中间粘合剂的情况下直接键合到非导电材料30的上述部分。非导电材料46、30和导电焊盘44、22a可以在没有粘合剂的情况下直接键合,如整个美国专利第7,126,212号、第8,153,505号、第7,622,324号、第7,602,070号、第8,163,373号、第8,389,378号、第7,485,968号、第8,735,219号、第9,385,024号、第9,391,143号、第9,431,368号、第9,953,941号、第9,716,033号、第9,852,988号、第10,032,068号、第10,204,893号、第10,434,749号和第10,446,532号中所述,其中的每个专利的内容通过引用整体并入本文并且用于所有目的。在一些实施例中,多个集成器件管芯12可以替代地通过导热键合(TCB)键合到互连结构10。
第二管芯12b和第三管芯12c可以以与第一管芯12a类似的方式键合到互连结构。在一些实施例中,第二管芯12b可以包括多个接触焊盘和非导电材料52,接触焊盘包括导电接触焊盘50,导电接触焊盘50可以键合到互连结构10的导电接触焊盘22b,非导电材料52可以键合到互连结构10的非导电材料30的一部分。在一些实施例中,第二管芯12b可以包括导电接触焊盘50和非导电材料52,导电接触焊盘50可以在没有中间粘合剂的情况下直接键合到互连结构10的导电接触焊盘22b,非导电材料52可以在没有中间粘合剂的情况下直接键合到互连结构10的非导电材料30的一部分。
在一些实施例中,多个元件14(例如,第一元件14a和第二元件14b)可以包括互连层60和元件本体62。在一些实施例中,互连层60可以包括具有嵌入在绝缘或非导电材料中的导体的再分布层(RDL)。在一些实施例中,元件本体62可以包括衬底,诸如硅衬底、玻璃衬底等。在一些实施例中,元件本体62可以包括集成器件管芯。在一些实施例中,多个元件14可以包括高密度互连衬底。元件14可以通过连接到互连结构10中连接到管芯12a-12c的导体来有利地提供管芯12a、12b、12c之间的电通信。在一些布置中,互连结构10可以包括横向地电连接管芯12a-12c的迹线。然而,互连结构10可以包括一个或若干层,这可能不足以在管芯12a-12c之间提供密集的信号线。有利地,元件14可以包括多个或密集的互连和信号线,这些互连和信号线可以在管芯12a-12c之间传送大量信号。例如,在一些实施例中,互连结构10可以通过互连结构10中的过孔将信号从管芯12a的焊盘垂直地传输到元件14a。第一元件14a可以通过元件内的迹线或导体66a横向地传输信号。信号可以通过互连结构10中的过孔垂直地传输到管芯12b。第二元件14b可以通过元件内的迹线或导体66b横向地传输信号。
第一元件14a可以包括第一导电接触焊盘64a和第二导电接触焊盘64b。第一导电接触焊盘64a和第二导电接触焊盘64b可以通过导线66a彼此电耦合。第一导电焊盘64a和第二导电焊盘64b可以键合到互连结构10的第二侧10b上的对应焊盘。在一些实施例中,第一导电焊盘64a和第二导电焊盘64b可以以与第一管芯12a的导电接触焊盘44键合到互连结构的导电接触焊盘22a的方式相同或大体相似的方式键合到互连结构10的第二侧10b上的对应焊盘。元件14b还可以包括键合到互连结构10的第二侧10b的非导电材料68。在一些实施例中,非导电材料68可以以与第一管芯12a的非导电材料46在互连结构10的第一侧10a处键合到非导电材料30的一部分的方式相同或大体相似的方式在互连结构10的第二侧10b处直接键合到非导电材料30的一部分。
互连结构10和第一元件14a可以限定第一管芯12a与第二管芯12b之间的导电路径的至少一部分。在一些实施例中,第一元件14a和第二元件14b可以大体相似。例如,第一元件14a和第二元件14b可以是相同结构。在其他实施例中,第一元件14a和第二元件14b可以包括不同结构。尽管在图1B中,第一元件14a限定两个相邻管芯(第一管芯12a和第二管芯12b)之间的导电路径的一部分,但在一些实施例中,元件可以限定互连结构10上的两个或更多个相邻管心或两个或更多个远程定位管芯之间的导电通路的至少一部分。仅通过互连结构10(例如,RDL,在没有元件14a、14b的情况下)将管芯12彼此电耦合可能导致某些问题和困难。例如,针对两个或更多个管芯12在RDL中形成导电路由可能需要复杂的多层结构,并且RDL可能不能提供足够的密度用于管芯12之间的适当连接。此外,在互连结构中形成用于两个或更多个管芯12的导电路由可能相对昂贵。因此,元件14可以提供与在互连结构10中具有用于管芯12的导电路由相关联的这些问题和困难的解决方案。
第一模制材料16可以包括聚合物、环氧树脂、树脂或类似材料。在一些实施例中,第一模制材料16为第一集成器件管芯12a、第二集成器件管芯12b和/或第三集成器件管心12c提供机械支撑。在一些实施例中,第一模制材料16可以至少部分设置在第一集成器件管芯12a、第二集成器件管芯12b和第三集成器件管心12c周围。
第二模制材料18可以包括与第一材料18相同或大体相似的材料。在一些实施例中,第一模制材料16和第二模制材料18可以包括功能相似的材料。第二模制材料18可以包括聚合物、环氧树脂、树脂或类似材料。在一些实施例中,第二模制材料18为第一元件14a和/或第二元件14b提供机械支撑。在一些实施例中,第二模制材料18可以至少部分设置在第一元件14a和第二元件14b周围。
键合结构1还可以包括至少形成在第二模制材料18中的导电过孔70。在一些实施例中,导电过孔70可以至少部分延伸穿过第二模制材料18的厚度。在一些实施例中,导电过孔70可以从互连结构10的第二侧10b延伸到第二互连结构20。在一些实施例中,导电过孔70可以从互连结构10的第一侧10a延伸到第二互连结构20,从而与多个管芯12中的一个管芯直接接触。
第二互连结构20可以包括与第一互连结构10相同或大体相似的结构。在一些实施例中,第一互连结构10和第二互连结构20可以包括功能相似的结构。
图2A-图2E示出了根据一个实施例的制造键合结构1的工艺流程。图2A示出了形成在载体74上的互连结构10的示意性横截面侧视图。在一些实施例中,载体74可以包括半导体或玻璃载体。在图2B中,多个管芯12可以设置在互连结构10的第一侧10a上。管芯12可以在没有粘合剂的情况下直接键合到互连结构10(例如,管芯12和互连结构10的导电触点和非导电场区域可以分别彼此直接键合)。在图2B中,第一模制材料16还可以设置在互连结构10的第一侧10a之上,包括在互连结构10的第一侧10a、管芯12的侧表面和管芯12的上表面之上。载体74可以从互连层10去除。在图2C中,一个或多个元件14可以设置在互连结构10的与第一侧10a相对的第二侧10b上。在一些实施例中,元件14可以在没有粘合剂的情况下直接键合到互连结构10的第二侧10b(例如,元件14和互连结构10的导电触点和非导电场区域可以分别彼此直接键合)。一个或多个元件14可以通过互连结构10(例如,RDL)电耦合多个集成器件管芯12中的两个或更多个集成器件管芯。在一些实施例中,图2C所示的结构可以是可以安装到另一器件(诸如系统板)的最终结构。
在图2D中,第二模制材料18可以至少部分设置在元件14周围,例如,在互连结构10的第二侧10b之上。在所示实施例中,元件14可以完全嵌入第二模制材料18中,使得第二模制材料18覆盖元件14的侧表面和上表面。在一些实施例中,第二模制材料18可以包括至少部分延伸穿过第二模制材料18的厚度的导电过孔70。在一些实施例中,图2D所示的结构可以是可以安装到另一器件(诸如系统板或其他器件)的最终结构。
在图2E中,可以提供第二互连结构20。在一些实施例中,图2E所示的结构可以是可以安装到另一器件(诸如系统板或其他器件)的最终结构。在一些实施例中,图2E所示的结构可以安装到系统板或PCB。在其他实施例中,诸如图2E所示的多个结构可以彼此堆叠,或者可以堆叠在不同类型的结构上,诸如重构的晶片或元件、管芯、中介层等。
图3A-图3E示出了根据另一实施例的制造键合结构的工艺流程。图3A示出了形成在载体74上或载体74中的互连结构10的示意性横截面侧视图。在一些实施例中,载体74可以包括半导体或玻璃载体。在图3B中,一个或多个元件14可以设置在互连结构10的第二侧10b上。如上所述,在一些实施例中,元件14可以在没有粘合剂的情况下直接键合到互连结构10。第二模制材料18可以至少部分设置在元件14周围。在一些实施例中,第二模制材料18可以包括至少部分延伸穿过(例如,完全穿过)第二模制材料18的厚度的导电过孔70。
在图3C中,可以提供第二载体76。在一些实施例中,第二载体76可以包括半导体或玻璃载体。载体74可以从互连层10去除。在图3D中,多个管芯12可以设置在互连结构10的第一侧10a上。如上所述,在一些实施例中,管芯12可以在没有粘合剂的情况下直接键合到互连结构10。第一模制材料16也可以设置在互连结构10的第一侧10a之上。在图3E中,第二载体76可以去除。图3E所示的结构可以与图2D所示的结构相同或大体相似。
图4示出了根据一个实施例的键合结构2的示意性横截面侧视图。除非另有说明,否则图4中的组件可以与图1A-图3E中编号相同的组件相同或大体相似。图4的键合结构2可以表示已经从重构晶片分离(例如,通过锯切或冲孔)的单片化结构。键合结构2可以包括具有第一侧l0'a和第二侧l0'b的互连结构10'、安装到互连结构10'的第一侧l0'a的多个集成器件管芯12(例如,第一管芯12a、第二管芯12b和第三管芯12c)、以及安装到互连结构10'的第二侧l0'b的多个连接元件14(例如,第一元件14a和第二元件14b)。键合结构还可以包括设置在互连结构的第一侧l0'a上的第一模制材料16、设置在互连结构的第二侧l0'b上的第二模制材料18、以及第二互连结构20。
图4中的互连结构10'通常类似于图1A-图3E所示的互连结构10。在互连结构10'中,导电接触焊盘22不是内部电连接的。例如,第一导电接触焊盘22a和第二导电接触焊盘22b在互连结构10'内没有内部电连接在一起。相反,第一导电接触焊盘22a和第二导电接触焊盘22b通过分别连接到第一接触焊盘24a和第二接触焊盘24b的第一元件14a的第一导电接触焊盘64a和第二导电接触焊盘64b电耦合。
图5是根据各种实现的合并一个或多个键合结构5的系统80的示意图。系统80可以包括任何合适类型的电子设备,诸如移动电子设备(例如,智能手机、平板计算设备、膝上型计算机等)、台式计算机、汽车或其组件、立体声系统、医疗设备、相机或任何其他合适类型的系统。在一些实施例中,电子设备可以包括微处理器、图形处理器、电子记录设备或数字存储器。系统80可以包括一个或多个器件封装82,器件封装82例如通过一个或多个主板机械连接和电连接到系统80。每个封装82可以包括一个或多个键合结构5。图5所示的键合结构5可以包括本文中公开的任何键合结构。键合结构5可以包括执行系统80的各种功能的一个或多个集成器件管芯。
在一个方面,公开了一种键合结构。键合结构可以包括互连结构,该互连结构具有第一侧和与第一侧相对的第二侧。第一侧包括第一导电焊盘、第二导电焊盘和非导电区域。键合结构还可以包括安装并且直接键合到互连结构的第一侧的第一管芯。第一管芯电连接到互连结构的第一导电焊盘。键合结构还可以包括安装到互连结构的第一侧的第二管芯。第二管芯电连接到互连结构的第二导电焊盘。第二管芯沿着互连结构的第一侧与第一管芯横向地间隔开。键合结构还可以包括安装到互连结构的第二侧的元件。第一管芯和第二管芯通过至少互连结构和该元件电连接。
在一个实施例中,第一管芯包括键合表面。键合表面可以包括第一导电键合焊盘和第一非导电材料。第一导电键合焊盘可以在没有中间粘合剂的情况下直接键合到第一导电焊盘。第一非导电材料可以在没有中间粘合剂的情况下直接键合到非导电区域的第一部分。第二管芯包括键合表面。键合表面可以包括第二导电键合焊盘和第二非导电材料。第二导电键合焊盘可以在没有中间粘合剂的情况下直接键合到第二导电焊盘。第二非导电材料可以在没有中间粘合剂的情况下直接键合到非导电区域的第二部分。
在一个实施例中,元件在没有中间粘合剂的情况下直接键合到互连结构的第二侧。
在一个实施例中,第一管芯通过导热键合(TCB)安装到互连结构的第一侧。
在一个实施例中,互连结构包括再分布层(RDL)。RDL层包括单层RDL。
在一个实施例中,该元件通过导热键合(TCB)安装到互连结构的第二侧。
在一个实施例中,该元件包括第三管芯。
在一个实施例中,该元件包括形成在衬底上的再分布层(RDL)。
在一个实施例中,该元件包括高密度互连衬底。
在一个实施例中,键合结构还包括第一模制材料,该第一模制材料设置在互连结构之上并且至少部分在第一管芯与第二管芯之间。
在一个实施例中,键合结构还包括设置在该元件周围的第二模制材料。键合结构还可以包括至少延伸穿过第二模制材料的厚度的导电过孔。键合结构还可以包括通过过孔被电耦合到互连结构的第二互连结构。该元件可以定位在互连结构与第二互连结构之间。
在一个方面,公开了一种键合结构。键合结构可以包括互连结构,该互连结构具有第一侧和与第一侧相对的第二侧。第一侧包括第一导电焊盘、第二导电焊盘和非导电区域。第二侧包括第三导电焊盘和第四导电焊盘。键合结构还可以包括安装到互连结构的第一侧的第一管芯。第一管芯电连接到互连结构的第一导电焊盘。键合结构还可以包括安装到互连结构的第一侧的第二管芯。第二管芯电连接到互连结构的第二导电焊盘。第二管芯沿着互连结构的第一侧与第一管芯横向地间隔开。键合结构还包括安装并且直接键合到互连结构的第二侧并且电连接到第三导电焊盘和第四导电焊盘的元件。该元件被配置为提供第一管芯与第二管芯之间的导电路径的至少一部分。
在一个实施例中,第三导电焊盘相对于第一导电焊盘横向地偏移。
在一个实施例中,第一管芯包括键合表面。键合表面可以包括第一导电键合焊盘和第一非导电材料。第一导电键合焊盘可以在没有中间粘合剂的情况下直接键合到第一导电焊盘。第一非导电材料可以直接键合到非导电区域的第一部分。第二管芯可以包括键合表面。键合表面可以包括第二导电键合焊盘和第二非导电材料。第二导电键合焊盘可以在没有中间粘合剂的情况下直接键合到第二导电焊盘。第二非导电材料可以在没有中间粘合剂的情况下直接键合到非导电区域的第二部分。
在一个实施例中,该元件在没有中间粘合剂的情况下直接键合到互连结构的第二侧。
在一个实施例中,第一管芯通过导热键合(TCB)安装到互连结构的第一侧。
在一个实施例中,互连结构包括再分布层(RDL)。RDL层包括单层RDL。
在一个实施例中,该元件通过导热键合(TCB)安装到互连结构的第二侧。
在一个实施例中,该元件包括第三管芯。
在一个实施例中,该元件包括形成在衬底上的再分布层(RDL)。
在一个实施例中,该元件包括高密度互连衬底。
在一个实施例中,键合结构还包括第一模制材料,该第一模制材料至少部分设置在第一管芯与第二管芯之间的互连结构之上。
在一个实施例中,键合结构还包括设置在该元件周围的第二模制材料。键合结构还可以包括至少延伸穿过第二模制材料的厚度的导电过孔。键合结构还可以包括通过过孔被电耦合到互连结构的第二互连结构。该元件可以定位在互连结构与第二互连结构之间。
在一个方面,公开了一种键合结构。键合结构可以包括互连结构,该互连结构具有第一侧和与第一侧相对的第二侧。第一侧被配置为支撑多个管芯。第二侧包括第一导电焊盘、第二导电焊盘和在第一导电焊盘与第二导电焊盘之间的非导电区域。键合结构还可以包括具有键合表面的元件。键合表面包括第一导电键合焊盘、第二导电键合焊盘和在第一导电键合焊盘与第二导电键合焊盘之间的非导电材料。第一导电键合焊盘和第二导电键合焊盘分别在没有中间粘合剂的情况下直接键合到第一导电焊盘和第二导电焊盘。非导电材料直接键合到非导电区域的一部分。该元件被配置为限定多个管芯中的两个或更多个管芯之间的导电路径的至少一部分。
在一个实施例中,键合结构还包括安装到互连结构的第一侧的第一管芯和安装到互连结构的第一侧的第二管芯。第二管芯可以与第一管芯沿着互连结构的第一侧横向地间隔开。第一管芯通过至少互连层和该元件与第二管芯电耦合。键合结构还可以包括第一模制材料,第一模制材料至少部分第一管芯与第二管芯之间设置在互连结构之上。第一管芯可以在没有中间粘合剂的情况下直接安装到互连结构的第一侧。
在一个实施例中,互连结构包括再分布层(RDL)。RDL层可以包括单层RDL。
在一个实施例中,该元件包括集成器件管芯。
在一个实施例中,该元件包括形成在衬底上的再分布层(RDL)。
在一个实施例中,该元件包括高密度互连衬底。
在一个实施例中,键合结构还包括设置在该元件周围的第二模制材料。键合结构还可以包括至少延伸穿过模制材料的厚度的导电过孔。键合结构还可以包括通过过孔被电耦合到互连结构的第二互连结构。该元件定位在互连结构与第二互连结构之间。
除非上下文另有明确要求,否则在整个说明书和权利要求书中,词语“包括(comprise)”、“包括(comprising)”、“包括(include)”、“包括(including)”等应当在包括性的意义上解释,而不是在排他性或详尽的意义上解释;也就是说,在“包括但不限于”的意义上解释。本文中通常使用的词语“耦合”是指两个或更多个元件,它们可以直接连接,也可以通过一个或多个中间元件连接。同样,本文中通常使用的词语“连接”是指两个或更多个元件,它们可以直接连接,也可以通过一个或多个中间元件连接。此外,在本申请中使用的词语“本文中”、“上面”、“下面”和具有类似含义的词语应当是指本申请的整体,而不是本申请的任何特定部分。在上下文允许的情况下,上述“具体实施方式”中使用单数或复数的词语也可以分别包括复数或单数。词语“或”是指两个或更多个项目的列表,该词语涵盖了对该词语的所有以下解释:列表中的任何项目、列表中的所有项目、以及列表中的项目的任何组合。
此外,本文中使用的条件语言,诸如“可能(can)”、“可以(could)”、“可以(might)”、“可以(may)”、“例如(e.g.)”、“例如(for example)”、“诸如(such as)”等,除非另有特别说明,或在所使用的上下文中以其他方式理解,否则通常旨在传达某些实施例包括、而其他实施例不包括某些特征、元素和/或状态。因此,这种条件语言通常并不表示特征、元素和/或状态以任何方式是一个或多个实施例所需要的。
虽然已经描述了某些实施例,但这些实施例仅以示例的方式呈现,并不旨在限制本公开的范围。事实上,本文中描述的新颖装置、方法和系统可以以各种其他形式来体现;此外,在不脱离本公开的精神的情况下,可以对本文中描述的方法和系统的形式进行各种省略、替换和改变。例如,虽然块以给定布置呈现,但是替代实施例可以利用不同的组件和/或电路拓扑来执行类似的功能,并且一些块可以删除、移动、添加、细分、组合和/或修改。这些块中的每个可以以各种不同方式来实现。上述各种实施例的元件和动作的任何适当组合都可以被组合以提供另外的实施例。所附权利要求及其等同物旨在涵盖落入本公开的范围和精神内的这样的形式或修改。

Claims (43)

1.一种键合结构,包括:
互连结构,具有第一侧和与所述第一侧相对的第二侧,所述第一侧包括第一导电焊盘、第二导电焊盘和非导电区域;
第一管芯,安装并且直接键合到所述互连结构的所述第一侧,所述第一管芯电连接到所述互连结构的所述第一导电焊盘;
第二管芯,安装到所述互连结构的所述第一侧,所述第二管芯电连接到所述互连结构的所述第二导电焊盘,所述第二管芯沿着所述互连结构的所述第一侧与所述第一管芯横向地间隔开;以及
元件,安装到所述互连结构的所述第二侧,
其中所述第一管芯和所述第二管芯通过至少所述互连结构和所述元件电连接。
2.根据权利要求1所述的键合结构,其中所述第一管芯包括键合表面,所述键合表面包括第一导电键合焊盘和第一非导电材料,所述第一导电键合焊盘在没有中间粘合剂的情况下直接键合到所述第一导电焊盘,并且所述第一非导电材料在没有中间粘合剂的情况下直接键合到所述非导电区域的第一部分。
3.根据权利要求2所述的键合结构,其中所述第二管芯包括键合表面,所述键合表面包括第二导电键合焊盘和第二非导电材料,所述第二导电键合焊盘在没有中间粘合剂的情况下直接键合到所述第二导电焊盘,并且所述第二非导电材料在没有中间粘合剂的情况下直接键合到所述非导电区域的第二部分。
4.根据权利要求1所述的键合结构,其中所述元件在没有中间粘合剂的情况下直接键合到所述互连结构的所述第二侧。
5.根据权利要求1所述的键合结构,其中所述第一管芯通过导热键合(TCB)安装到所述互连结构的所述第一侧。
6.根据权利要求1所述的键合结构,其中所述互连结构包括再分布层(RDL)。
7.根据权利要求6所述的键合结构,其中所述RDL层包括单层RDL。
8.根据权利要求1所述的键合结构,其中所述元件通过导热键合(TCB)安装到所述互连结构的所述第二侧。
9.根据权利要求1所述的键合结构,其中所述元件包括第三管芯。
10.根据权利要求1所述的键合结构,其中所述元件包括再分布层(RDL),所述RDL形成在衬底上。
11.根据权利要求1所述的键合结构,其中所述元件包括高密度互连衬底。
12.根据权利要求1所述的键合结构,还包括第一模制材料,所述第一模制材料至少部分在所述第一管芯与所述第二管芯之间设置在所述互连结构之上。
13.根据权利要求1所述的键合结构,还包括第二模制材料,所述第二模制材料设置在所述元件周围。
14.根据权利要求13所述的键合结构,还包括导电过孔,所述导电过孔至少延伸穿过所述第二模制材料的厚度。
15.根据权利要求14所述的键合结构,还包括第二互连结构,所述第二互连结构通过所述过孔被电耦合到所述互连结构,所述元件定位在所述互连结构与所述第二互连结构之间。
16.一种键合结构,包括:
互连结构,具有第一侧和与所述第一侧相对的第二侧,所述第一侧包括第一导电焊盘、第二导电焊盘和非导电区域,并且所述第二侧包括第三导电焊盘和第四导电焊盘;
第一管芯,安装到所述互连结构的所述第一侧,所述第一管芯电连接到所述互连结构的所述第一导电焊盘;
第二管芯,安装到所述互连结构的所述第一侧,所述第二管芯电连接到所述互连结构的所述第二导电焊盘,所述第二管芯沿着所述互连结构的所述第一侧与所述第一管芯横向地间隔开;以及
元件,安装并且直接键合到所述互连结构的所述第二侧,并且电连接到所述第三导电焊盘和所述第四导电焊盘,所述元件被配置为提供所述第一管芯与所述第二管芯之间的导电路径的至少一部分。
17.根据权利要求16所述的键合结构,其中所述第三导电焊盘相对于所述第一导电焊盘横向地偏移。
18.根据权利要求16所述的键合结构,其中所述第一管芯包括键合表面,所述键合表面包括第一导电键合焊盘和第一非导电材料,所述第一导电键合焊盘在没有中间粘合剂的情况下直接键合到所述第一导电焊盘,并且所述第一非导电材料直接键合到所述非导电区域的第一部分。
19.根据权利要求18所述的键合结构,其中所述第二管芯包括键合表面,所述键合表面包括第二导电键合焊盘和第二非导电材料,所述第二导电键合焊盘在没有中间粘合剂的情况下直接键合到所述第二导电焊盘,并且所述第二非导电材料在没有中间粘合剂的情况下直接键合到所述非导电区域的第二部分。
20.根据权利要求16所述的键合结构,其中所述元件在没有中间粘合剂的情况下直接键合到所述互连结构的所述第二侧。
21.根据权利要求16所述的键合结构,其中所述第一管芯通过导热键合(TCB)安装到所述互连结构的所述第一侧。
22.根据权利要求16所述的键合结构,其中所述互连结构包括再分布层(RDL)。
23.根据权利要求22所述的键合结构,其中所述RDL层包括单层RDL。
24.根据权利要求16所述的键合结构,其中所述元件通过导热键合(TCB)安装到所述互连结构的所述第二侧。
25.根据权利要求16所述的键合结构,其中所述元件包括第三管芯。
26.根据权利要求16所述的键合结构,其中所述元件再分布层(RDL),所述RDL包括形成在衬底上。
27.根据权利要求16所述的键合结构,其中所述元件包括高密度互连衬底。
28.根据权利要求16所述的键合结构,还包括第一模制材料,所述第一模制材料至少部分在所述第一管芯与所述第二管芯之间设置在所述互连结构之上。
29.根据权利要求16所述的键合结构,还包括第二模制材料,所述第二模制材料设置在所述元件周围。
30.根据权利要求29所述的键合结构,还包括导电过孔,所述导电过孔至少延伸穿过所述第二模制材料的厚度。
31.根据权利要求30所述的键合结构,还包括第二互连结构,所述第二互连结构通过所述过孔被电耦合到所述互连结构,所述元件定位在所述互连结构与所述第二互连结构之间。
32.一种键合结构,包括:
互连结构,具有第一侧和与所述第一侧相对的第二侧,所述第一侧被配置为支撑多个管芯,所述第二侧包括第一导电焊盘、第二导电焊盘和非导电区域,所述非导电区域位于所述第一导电焊盘与所述第二导电焊盘之间;以及
元件,具有键合表面,所述键合表面包括第一导电键合焊盘、第二导电键合焊盘和非导电材料,所述非导电材料位于所述第一导电键合焊盘与所述第二导电键合焊盘之间,所述第一导电键合焊盘和所述第二导电键合焊盘分别在没有中间粘合剂的情况下直接键合到所述第一导电焊盘和所述第二导电焊盘,并且所述非导电材料直接键合到所述非导电区域的一部分,
其中所述元件被配置为限定所述多个管芯中的两个或更多个管芯之间的导电路径的至少一部分。
33.根据权利要求32所述的键合结构,还包括:
第一管芯,安装到所述互连结构的所述第一侧;以及
第二管芯,安装到所述互连结构的所述第一侧,所述第二管芯沿着所述互连结构的所述第一侧与所述第一管芯横向地间隔开,
其中所述第一管芯通过至少所述互连层和所述元件与所述第二管芯电耦合。
34.根据权利要求33所述的键合结构,还包括第一模制材料,所述第一模制材料至少部分在所述第一管芯与所述第二管芯之间设置在所述互连结构之上。
35.根据权利要求33所述的键合结构,其中所述第一管芯在没有中间粘合剂的情况下直接安装到所述互连结构的所述第一侧。
36.根据权利要求32所述的键合结构,其中所述互连结构包括再分布层(RDL)。
37.根据权利要求36所述的键合结构,其中所述RDL层包括单层RDL。
38.根据权利要求32所述的键合结构,其中所述元件包括集成器件管芯。
39.根据权利要求32所述的键合结构,其中所述元件包括再分布层(RDL),所述RDL形成在衬底上。
40.根据权利要求32所述的键合结构,其中所述元件包括高密度互连衬底。
41.根据权利要求32所述的键合结构,还包括第二模制材料,所述第二模制材料设置在所述元件周围。
42.根据权利要求41所述的键合结构,还包括导电过孔,所述导电过孔至少延伸穿过所述模制材料的厚度。
43.根据权利要求42所述的键合结构,还包括第二互连结构,所述第二互连结构通过所述过孔被电耦合到所述互连结构,所述元件定位在所述互连结构与所述第二互连结构之间。
CN202180071066.8A 2020-09-04 2021-08-19 具有互连结构的键合结构 Pending CN116420220A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US202063075038P 2020-09-04 2020-09-04
US63/075,038 2020-09-04
PCT/US2021/046749 WO2022051103A1 (en) 2020-09-04 2021-08-19 Bonded structure with interconnect structure

Publications (1)

Publication Number Publication Date
CN116420220A true CN116420220A (zh) 2023-07-11

Family

ID=80470830

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202180071066.8A Pending CN116420220A (zh) 2020-09-04 2021-08-19 具有互连结构的键合结构

Country Status (5)

Country Link
US (2) US11728273B2 (zh)
EP (1) EP4208896A1 (zh)
KR (1) KR20230058508A (zh)
CN (1) CN116420220A (zh)
WO (1) WO2022051103A1 (zh)

Families Citing this family (56)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7109092B2 (en) 2003-05-19 2006-09-19 Ziptronix, Inc. Method of room temperature covalent bonding
US7485968B2 (en) 2005-08-11 2009-02-03 Ziptronix, Inc. 3D IC method and device
US8735219B2 (en) 2012-08-30 2014-05-27 Ziptronix, Inc. Heterogeneous annealing method and device
US10886250B2 (en) 2015-07-10 2021-01-05 Invensas Corporation Structures and methods for low temperature bonding using nanoparticles
US10204893B2 (en) 2016-05-19 2019-02-12 Invensas Bonding Technologies, Inc. Stacked dies and methods for forming bonded structures
US10719762B2 (en) 2017-08-03 2020-07-21 Xcelsis Corporation Three dimensional chip structure implementing machine trained network
US10580735B2 (en) 2016-10-07 2020-03-03 Xcelsis Corporation Stacked IC structure with system level wiring on multiple sides of the IC die
TWI822659B (zh) 2016-10-27 2023-11-21 美商艾德亞半導體科技有限責任公司 用於低溫接合的結構和方法
US10002844B1 (en) 2016-12-21 2018-06-19 Invensas Bonding Technologies, Inc. Bonded structures
KR20230156179A (ko) 2016-12-29 2023-11-13 아데이아 세미컨덕터 본딩 테크놀로지스 인코포레이티드 집적된 수동 컴포넌트를 구비한 접합된 구조체
WO2018169968A1 (en) 2017-03-16 2018-09-20 Invensas Corporation Direct-bonded led arrays and applications
US10515913B2 (en) 2017-03-17 2019-12-24 Invensas Bonding Technologies, Inc. Multi-metal contact structure
US10269756B2 (en) 2017-04-21 2019-04-23 Invensas Bonding Technologies, Inc. Die processing
US10879212B2 (en) 2017-05-11 2020-12-29 Invensas Bonding Technologies, Inc. Processed stacked dies
US10446441B2 (en) 2017-06-05 2019-10-15 Invensas Corporation Flat metal features for microelectronics applications
US10840205B2 (en) 2017-09-24 2020-11-17 Invensas Bonding Technologies, Inc. Chemical mechanical polishing for hybrid bonding
US11031285B2 (en) 2017-10-06 2021-06-08 Invensas Bonding Technologies, Inc. Diffusion barrier collar for interconnects
US10923408B2 (en) 2017-12-22 2021-02-16 Invensas Bonding Technologies, Inc. Cavity packages
US11380597B2 (en) 2017-12-22 2022-07-05 Invensas Bonding Technologies, Inc. Bonded structures
US10727219B2 (en) 2018-02-15 2020-07-28 Invensas Bonding Technologies, Inc. Techniques for processing devices
US11169326B2 (en) 2018-02-26 2021-11-09 Invensas Bonding Technologies, Inc. Integrated optical waveguides, direct-bonded waveguide interface joints, optical routing and interconnects
US11056348B2 (en) 2018-04-05 2021-07-06 Invensas Bonding Technologies, Inc. Bonding surfaces for microelectronics
US10790262B2 (en) 2018-04-11 2020-09-29 Invensas Bonding Technologies, Inc. Low temperature bonded structures
US10964664B2 (en) 2018-04-20 2021-03-30 Invensas Bonding Technologies, Inc. DBI to Si bonding for simplified handle wafer
US11004757B2 (en) 2018-05-14 2021-05-11 Invensas Bonding Technologies, Inc. Bonded structures
US11276676B2 (en) 2018-05-15 2022-03-15 Invensas Bonding Technologies, Inc. Stacked devices and methods of fabrication
WO2019241417A1 (en) 2018-06-13 2019-12-19 Invensas Bonding Technologies, Inc. Tsv as pad
US11393779B2 (en) 2018-06-13 2022-07-19 Invensas Bonding Technologies, Inc. Large metal pads over TSV
US10910344B2 (en) 2018-06-22 2021-02-02 Xcelsis Corporation Systems and methods for releveled bump planes for chiplets
US11664357B2 (en) 2018-07-03 2023-05-30 Adeia Semiconductor Bonding Technologies Inc. Techniques for joining dissimilar materials in microelectronics
US11158606B2 (en) 2018-07-06 2021-10-26 Invensas Bonding Technologies, Inc. Molded direct bonded and interconnected stack
US11462419B2 (en) 2018-07-06 2022-10-04 Invensas Bonding Technologies, Inc. Microelectronic assemblies
US11515291B2 (en) 2018-08-28 2022-11-29 Adeia Semiconductor Inc. Integrated voltage regulator and passive components
US20200075533A1 (en) 2018-08-29 2020-03-05 Invensas Bonding Technologies, Inc. Bond enhancement in microelectronics by trapping contaminants and arresting cracks during direct-bonding processes
US11158573B2 (en) 2018-10-22 2021-10-26 Invensas Bonding Technologies, Inc. Interconnect structures
WO2020150159A1 (en) 2019-01-14 2020-07-23 Invensas Bonding Technologies, Inc. Bonded structures
US11901281B2 (en) 2019-03-11 2024-02-13 Adeia Semiconductor Bonding Technologies Inc. Bonded structures with integrated passive component
US10854578B2 (en) 2019-03-29 2020-12-01 Invensas Corporation Diffused bitline replacement in stacked wafer memory
US11373963B2 (en) 2019-04-12 2022-06-28 Invensas Bonding Technologies, Inc. Protective elements for bonded structures
US11205625B2 (en) 2019-04-12 2021-12-21 Invensas Bonding Technologies, Inc. Wafer-level bonding of obstructive elements
US11610846B2 (en) 2019-04-12 2023-03-21 Adeia Semiconductor Bonding Technologies Inc. Protective elements for bonded structures including an obstructive element
US11355404B2 (en) 2019-04-22 2022-06-07 Invensas Bonding Technologies, Inc. Mitigating surface damage of probe pads in preparation for direct bonding of a substrate
US11296053B2 (en) 2019-06-26 2022-04-05 Invensas Bonding Technologies, Inc. Direct bonded stack structures for increased reliability and improved yield in microelectronics
US11862602B2 (en) 2019-11-07 2024-01-02 Adeia Semiconductor Technologies Llc Scalable architecture for reduced cycles across SOC
US11762200B2 (en) 2019-12-17 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded optical devices
US11876076B2 (en) 2019-12-20 2024-01-16 Adeia Semiconductor Technologies Llc Apparatus for non-volatile random access memory stacks
US11721653B2 (en) 2019-12-23 2023-08-08 Adeia Semiconductor Bonding Technologies Inc. Circuitry for electrical redundancy in bonded structures
WO2021133741A1 (en) 2019-12-23 2021-07-01 Invensas Bonding Technologies, Inc. Electrical redundancy for bonded structures
US11742314B2 (en) 2020-03-31 2023-08-29 Adeia Semiconductor Bonding Technologies Inc. Reliable hybrid bonded apparatus
US11735523B2 (en) 2020-05-19 2023-08-22 Adeia Semiconductor Bonding Technologies Inc. Laterally unconfined structure
US11710669B2 (en) * 2020-05-25 2023-07-25 International Business Machines Corporation Precision thin electronics handling integration
US11631647B2 (en) 2020-06-30 2023-04-18 Adeia Semiconductor Bonding Technologies Inc. Integrated device packages with integrated device die and dummy element
US11764177B2 (en) 2020-09-04 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11264357B1 (en) 2020-10-20 2022-03-01 Invensas Corporation Mixed exposure for large die
US11664315B2 (en) * 2021-03-11 2023-05-30 Taiwan Semiconductor Manufacturing Company, Ltd. Structure with interconnection die and method of making same
US20240055407A1 (en) * 2022-08-11 2024-02-15 Adeia Semiconductor Bonding Technologies Inc. Bonded debugging elements for integrated circuits and methods for debugging integrated circuits using same

Family Cites Families (372)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2626408B1 (fr) 1988-01-22 1990-05-11 Thomson Csf Capteur d'image a faible encombrement
JPH0272642A (ja) 1988-09-07 1990-03-12 Nec Corp 基板の接続構造および接続方法
JPH0344067A (ja) 1989-07-11 1991-02-25 Nec Corp 半導体基板の積層方法
US5019673A (en) 1990-08-22 1991-05-28 Motorola, Inc. Flip-chip package for integrated circuits
JPH04337694A (ja) 1991-05-15 1992-11-25 Nec Yamagata Ltd 電子部品保護用樹脂膜
CA2083072C (en) 1991-11-21 1998-02-03 Shinichi Hasegawa Method for manufacturing polyimide multilayer wiring substrate
US6008126A (en) 1992-04-08 1999-12-28 Elm Technology Corporation Membrane dielectric isolation IC fabrication
JPH07193294A (ja) 1993-11-01 1995-07-28 Matsushita Electric Ind Co Ltd 電子部品およびその製造方法
KR960009074A (ko) 1994-08-29 1996-03-22 모리시다 요이치 반도체 장치 및 그 제조방법
DE4433330C2 (de) 1994-09-19 1997-01-30 Fraunhofer Ges Forschung Verfahren zur Herstellung von Halbleiterstrukturen mit vorteilhaften Hochfrequenzeigenschaften sowie eine Halbleiterwaferstruktur
JP3979687B2 (ja) 1995-10-26 2007-09-19 アプライド マテリアルズ インコーポレイテッド ハロゲンをドープした酸化珪素膜の膜安定性を改良する方法
KR100274333B1 (ko) 1996-01-19 2001-01-15 모기 쥰이찌 도체층부착 이방성 도전시트 및 이를 사용한 배선기판
US5956605A (en) 1996-09-20 1999-09-21 Micron Technology, Inc. Use of nitrides for flip-chip encapsulation
US5729896A (en) 1996-10-31 1998-03-24 International Business Machines Corporation Method for attaching a flip chip on flexible circuit carrier using chip with metallic cap on solder
US6221753B1 (en) 1997-01-24 2001-04-24 Micron Technology, Inc. Flip chip technique for chip assembly
JP4032454B2 (ja) 1997-06-27 2008-01-16 ソニー株式会社 三次元回路素子の製造方法
US6097096A (en) 1997-07-11 2000-08-01 Advanced Micro Devices Metal attachment method and structure for attaching substrates at low temperatures
US5854507A (en) 1998-07-21 1998-12-29 Hewlett-Packard Company Multiple chip assembly
JP2000100679A (ja) 1998-09-22 2000-04-07 Canon Inc 薄片化による基板間微小領域固相接合法及び素子構造
FR2787241B1 (fr) 1998-12-14 2003-01-31 Ela Medical Sa Composant microelectronique cms enrobe, notamment pour un dispositif medical implantable actif, et son procede de fabrication
JP3532788B2 (ja) 1999-04-13 2004-05-31 唯知 須賀 半導体装置及びその製造方法
US6782610B1 (en) 1999-05-21 2004-08-31 North Corporation Method for fabricating a wiring substrate by electroplating a wiring film on a metal base
JP2001102479A (ja) 1999-09-27 2001-04-13 Toshiba Corp 半導体集積回路装置およびその製造方法
US6984571B1 (en) 1999-10-01 2006-01-10 Ziptronix, Inc. Three dimensional device integration method and integrated device
US6500694B1 (en) 2000-03-22 2002-12-31 Ziptronix, Inc. Three dimensional device integration method and integrated device
US6902987B1 (en) 2000-02-16 2005-06-07 Ziptronix, Inc. Method for low temperature bonding and bonded structure
JP2001284520A (ja) 2000-04-04 2001-10-12 Matsushita Electric Ind Co Ltd 半導体チップ搭載用の配線基板、配線基板の製造方法、中継接続用の配線基板、半導体装置および半導体装置間接続構造
JP2001313350A (ja) 2000-04-28 2001-11-09 Sony Corp チップ状電子部品及びその製造方法、並びにその製造に用いる疑似ウエーハ及びその製造方法
US7247932B1 (en) 2000-05-19 2007-07-24 Megica Corporation Chip package with capacitor
JP4322402B2 (ja) 2000-06-22 2009-09-02 大日本印刷株式会社 プリント配線基板及びその製造方法
JP3440057B2 (ja) 2000-07-05 2003-08-25 唯知 須賀 半導体装置およびその製造方法
US6423640B1 (en) 2000-08-09 2002-07-23 Taiwan Semiconductor Manufacturing Co., Ltd. Headless CMP process for oxide planarization
US6507115B2 (en) 2000-12-14 2003-01-14 International Business Machines Corporation Multi-chip integrated circuit module
JP3420748B2 (ja) 2000-12-14 2003-06-30 松下電器産業株式会社 半導体装置及びその製造方法
JP2002359345A (ja) 2001-03-30 2002-12-13 Toshiba Corp 半導体装置及びその製造方法
JP2002353416A (ja) 2001-05-25 2002-12-06 Sony Corp 半導体記憶装置およびその製造方法
US6887769B2 (en) 2002-02-06 2005-05-03 Intel Corporation Dielectric recess for wafer-to-wafer and die-to-die metal bonding and method of fabricating the same
US6762076B2 (en) 2002-02-20 2004-07-13 Intel Corporation Process of vertically stacking multiple wafers supporting different active integrated circuit (IC) devices
EP1506701B1 (en) 2002-05-23 2007-07-11 International Business Machines Corporation Improved structure of stacked vias in multiple layer electronic device carriers
US7573136B2 (en) 2002-06-27 2009-08-11 Micron Technology, Inc. Semiconductor device assemblies and packages including multiple semiconductor device components
US7105980B2 (en) 2002-07-03 2006-09-12 Sawtek, Inc. Saw filter device and method employing normal temperature bonding for producing desirable filter production and performance characteristics
JP4083502B2 (ja) 2002-08-19 2008-04-30 株式会社フジミインコーポレーテッド 研磨方法及びそれに用いられる研磨用組成物
US7023093B2 (en) 2002-10-24 2006-04-04 International Business Machines Corporation Very low effective dielectric constant interconnect Structures and methods for fabricating the same
JP2004193493A (ja) 2002-12-13 2004-07-08 Nec Machinery Corp ダイピックアップ方法および装置
US7354798B2 (en) 2002-12-20 2008-04-08 International Business Machines Corporation Three-dimensional device fabrication method
US6962835B2 (en) 2003-02-07 2005-11-08 Ziptronix, Inc. Method for room temperature metal direct bonding
TWI239629B (en) 2003-03-17 2005-09-11 Seiko Epson Corp Method of manufacturing semiconductor device, semiconductor device, circuit substrate and electronic apparatus
US6908027B2 (en) 2003-03-31 2005-06-21 Intel Corporation Complete device layer transfer without edge exclusion via direct wafer bonding and constrained bond-strengthening process
US7109092B2 (en) 2003-05-19 2006-09-19 Ziptronix, Inc. Method of room temperature covalent bonding
TWI275168B (en) 2003-06-06 2007-03-01 Sanyo Electric Co Semiconductor device and method for making the same
US6873049B2 (en) 2003-07-31 2005-03-29 The Boeing Company Near hermetic power chip on board device and manufacturing method therefor
US6867073B1 (en) 2003-10-21 2005-03-15 Ziptronix, Inc. Single mask via method and device
US7183643B2 (en) 2003-11-04 2007-02-27 Tessera, Inc. Stacked packages and systems incorporating the same
US7060601B2 (en) 2003-12-17 2006-06-13 Tru-Si Technologies, Inc. Packaging substrates for integrated circuits and soldering methods
KR100538158B1 (ko) 2004-01-09 2005-12-22 삼성전자주식회사 웨이퍼 레벨 적층 칩 접착 방법
DE102004013681B3 (de) 2004-03-18 2005-11-17 Infineon Technologies Ag Halbleitermodul mit einem Kopplungssubstrat und Verfahren zur Herstellung desselben
CN101048868B (zh) 2004-08-20 2010-06-09 佐伊科比株式会社 具有三维层叠结构的半导体器件的制造方法
US20060057945A1 (en) 2004-09-16 2006-03-16 Chia-Lin Hsu Chemical mechanical polishing process
US20060076634A1 (en) 2004-09-27 2006-04-13 Lauren Palmateer Method and system for packaging MEMS devices with incorporated getter
TWI303864B (en) 2004-10-26 2008-12-01 Sanyo Electric Co Semiconductor device and method for making the same
GB0505680D0 (en) 2005-03-22 2005-04-27 Cambridge Display Tech Ltd Apparatus and method for increased device lifetime in an organic electro-luminescent device
US7942182B2 (en) 2005-06-14 2011-05-17 Cufer Asset Ltd. L.L.C. Rigid-backed, membrane-based chip tooling
US7485968B2 (en) 2005-08-11 2009-02-03 Ziptronix, Inc. 3D IC method and device
US7786572B2 (en) 2005-09-13 2010-08-31 Taiwan Semiconductor Manufacturing Company, Ltd. System in package (SIP) structure
KR100804392B1 (ko) 2005-12-02 2008-02-15 주식회사 네패스 반도체 패키지 및 그 제조 방법
US7193423B1 (en) 2005-12-12 2007-03-20 International Business Machines Corporation Wafer-to-wafer alignments
DE102005060081B4 (de) 2005-12-15 2007-08-30 Infineon Technologies Ag Elektronisches Bauteil mit zumindest einer Leiterplatte und mit einer Mehrzahl gleichartiger Halbleiterbausteine und Verfahren
US7402442B2 (en) 2005-12-21 2008-07-22 International Business Machines Corporation Physically highly secure multi-chip assembly
US20070158024A1 (en) 2006-01-11 2007-07-12 Symbol Technologies, Inc. Methods and systems for removing multiple die(s) from a surface
TWI299552B (en) 2006-03-24 2008-08-01 Advanced Semiconductor Eng Package structure
US7972683B2 (en) 2006-03-28 2011-07-05 Innovative Micro Technology Wafer bonding material with embedded conductive particles
JP4160083B2 (ja) 2006-04-11 2008-10-01 シャープ株式会社 光学装置用モジュール及び光学装置用モジュールの製造方法
US7554203B2 (en) 2006-06-30 2009-06-30 Intel Corporation Electronic assembly with stacked IC's using two or more different connection technologies and methods of manufacture
US7750488B2 (en) 2006-07-10 2010-07-06 Tezzaron Semiconductor, Inc. Method for bonding wafers to produce stacked integrated circuits
TWI305036B (en) 2006-09-28 2009-01-01 Siliconware Precision Industries Co Ltd Sensor-type package structure and fabrication method thereof
JP2008130603A (ja) 2006-11-16 2008-06-05 Toshiba Corp イメージセンサ用ウェハレベルパッケージ及びその製造方法
US8178964B2 (en) 2007-03-30 2012-05-15 Advanced Chip Engineering Technology, Inc. Semiconductor device package with die receiving through-hole and dual build-up layers over both side-surfaces for WLP and method of the same
US8178963B2 (en) 2007-01-03 2012-05-15 Advanced Chip Engineering Technology Inc. Wafer level package with die receiving through-hole and method of the same
US7803693B2 (en) 2007-02-15 2010-09-28 John Trezza Bowed wafer hybridization compensation
US7919410B2 (en) 2007-03-14 2011-04-05 Aptina Imaging Corporation Packaging methods for imager devices
JP4734282B2 (ja) 2007-04-23 2011-07-27 株式会社日立製作所 半導体チップおよび半導体装置
DE102007020656B4 (de) 2007-04-30 2009-05-07 Infineon Technologies Ag Werkstück mit Halbleiterchips, Halbleiterbauteil und Verfahren zur Herstellung eines Werkstücks mit Halbleiterchips
TWI332790B (en) 2007-06-13 2010-11-01 Ind Tech Res Inst Image sensor module with a three-dimensional dies-stacking structure
US20090127667A1 (en) 2007-11-21 2009-05-21 Powertech Technology Inc. Semiconductor chip device having through-silicon-via (TSV) and its fabrication method
US7871902B2 (en) 2008-02-13 2011-01-18 Infineon Technologies Ag Crack stop trenches
JP5743553B2 (ja) 2008-03-05 2015-07-01 ザ ボード オブ トラスティーズ オブ ザ ユニヴァーシティー オブ イリノイ 伸張可能及び折畳み可能な電子デバイス
EP2257608A1 (en) 2008-03-07 2010-12-08 3M Innovative Properties Company Dicing tape and die attach adhesive with patterned backing
US8064224B2 (en) 2008-03-31 2011-11-22 Intel Corporation Microelectronic package containing silicon patches for high density interconnects, and method of manufacturing same
KR20090106822A (ko) 2008-04-07 2009-10-12 삼성전자주식회사 웨이퍼 본딩 방법 및 그 방법에 의해 본딩된 웨이퍼 구조체
US8253230B2 (en) 2008-05-15 2012-08-28 Micron Technology, Inc. Disabling electrical connections using pass-through 3D interconnects and associated systems and methods
US8349635B1 (en) 2008-05-20 2013-01-08 Silicon Laboratories Inc. Encapsulated MEMS device and method to form the same
US7969009B2 (en) 2008-06-30 2011-06-28 Qualcomm Incorporated Through silicon via bridge interconnect
US8193632B2 (en) 2008-08-06 2012-06-05 Industrial Technology Research Institute Three-dimensional conducting structure and method of fabricating the same
WO2010024678A1 (en) 2008-09-01 2010-03-04 Nederlandse Organisatie Voor Toegepast-Natuurwetenschappelijk Onderzoek Tno Chip die clamping device and transfer method
US9893004B2 (en) 2011-07-27 2018-02-13 Broadpak Corporation Semiconductor interposer integration
KR20100037300A (ko) 2008-10-01 2010-04-09 삼성전자주식회사 내장형 인터포저를 갖는 반도체장치의 형성방법
KR101736722B1 (ko) 2008-11-19 2017-05-17 셈프리어스 아이엔씨. 전단-보조 탄성 스탬프 전사에 의한 프린팅 반도체 소자
US8168458B2 (en) 2008-12-08 2012-05-01 Stats Chippac, Ltd. Semiconductor device and method of forming bond wires and stud bumps in recessed region of peripheral area around the device for electrical interconnection to other devices
KR100945800B1 (ko) 2008-12-09 2010-03-05 김영혜 이종 접합 웨이퍼 제조방법
US7816856B2 (en) 2009-02-25 2010-10-19 Global Oled Technology Llc Flexible oled display with chiplets
US8610019B2 (en) 2009-02-27 2013-12-17 Mineral Separation Technologies Inc. Methods for sorting materials
US8476165B2 (en) 2009-04-01 2013-07-02 Tokyo Electron Limited Method for thinning a bonding wafer
US8013525B2 (en) 2009-04-09 2011-09-06 Global Oled Technology Llc Flexible OLED display with chiplets
US8227904B2 (en) 2009-06-24 2012-07-24 Intel Corporation Multi-chip package and method of providing die-to-die interconnects in same
US8263434B2 (en) 2009-07-31 2012-09-11 Stats Chippac, Ltd. Semiconductor device and method of mounting die with TSV in cavity of substrate for electrical interconnect of Fi-PoP
US8482132B2 (en) 2009-10-08 2013-07-09 International Business Machines Corporation Pad bonding employing a self-aligned plated liner for adhesion enhancement
JP5697898B2 (ja) 2009-10-09 2015-04-08 ピーエスフォー ルクスコ エスエイアールエルPS4 Luxco S.a.r.l. 半導体装置及びその製造方法
JP2011128140A (ja) 2009-11-19 2011-06-30 Dainippon Printing Co Ltd センサデバイス及びその製造方法
US9202769B2 (en) 2009-11-25 2015-12-01 Stats Chippac, Ltd. Semiconductor device and method of forming thermal lid for balancing warpage and thermal management
FR2954585B1 (fr) 2009-12-23 2012-03-02 Soitec Silicon Insulator Technologies Procede de realisation d'une heterostructure avec minimisation de contrainte
JP5609144B2 (ja) 2010-02-19 2014-10-22 ソニー株式会社 半導体装置および貫通電極のテスト方法
JP2011171614A (ja) 2010-02-22 2011-09-01 Casio Computer Co Ltd 半導体装置及び半導体装置の製造方法
US9385095B2 (en) 2010-02-26 2016-07-05 Taiwan Semiconductor Manufacturing Company, Ltd. 3D semiconductor package interposer with die cavity
JP5517800B2 (ja) 2010-07-09 2014-06-11 キヤノン株式会社 固体撮像装置用の部材および固体撮像装置の製造方法
US8481406B2 (en) 2010-07-15 2013-07-09 Soitec Methods of forming bonded semiconductor structures
US8791575B2 (en) 2010-07-23 2014-07-29 Tessera, Inc. Microelectronic elements having metallic pads overlying vias
US8361842B2 (en) 2010-07-30 2013-01-29 Taiwan Semiconductor Manufacturing Company, Ltd. Embedded wafer-level bonding approaches
US9224647B2 (en) 2010-09-24 2015-12-29 Stats Chippac, Ltd. Semiconductor device and method of forming TSV interposer with semiconductor die and build-up interconnect structure on opposing surfaces of the interposer
FR2966283B1 (fr) 2010-10-14 2012-11-30 Soi Tec Silicon On Insulator Tech Sa Procede pour realiser une structure de collage
US8377798B2 (en) 2010-11-10 2013-02-19 Taiwan Semiconductor Manufacturing Co., Ltd Method and structure for wafer to wafer bonding in semiconductor packaging
US8476146B2 (en) 2010-12-03 2013-07-02 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing wafer distortion through a low CTE layer
US8987137B2 (en) 2010-12-16 2015-03-24 Lsi Corporation Method of fabrication of through-substrate vias
US8620164B2 (en) 2011-01-20 2013-12-31 Intel Corporation Hybrid III-V silicon laser formed by direct bonding
JP5682327B2 (ja) 2011-01-25 2015-03-11 ソニー株式会社 固体撮像素子、固体撮像素子の製造方法、及び電子機器
US20120194719A1 (en) 2011-02-01 2012-08-02 Scott Churchwell Image sensor units with stacked image sensors and image processors
US20120199960A1 (en) 2011-02-07 2012-08-09 Texas Instruments Incorporated Wire bonding for interconnection between interposer and flip chip die
US8988299B2 (en) 2011-02-17 2015-03-24 International Business Machines Corporation Integrated antenna for RFIC package applications
US8501537B2 (en) 2011-03-31 2013-08-06 Soitec Methods for bonding semiconductor structures involving annealing processes, and bonded semiconductor structures formed using such methods
US8716105B2 (en) 2011-03-31 2014-05-06 Soitec Methods for bonding semiconductor structures involving annealing processes, and bonded semiconductor structures and intermediate structures formed using such methods
KR20120123919A (ko) 2011-05-02 2012-11-12 삼성전자주식회사 칩 적층 반도체 패키지 제조 방법 및 이에 의해 제조된 칩 적층 반도체 패키지
EP2717300B1 (en) 2011-05-24 2020-03-18 Sony Corporation Semiconductor device
US9252172B2 (en) 2011-05-31 2016-02-02 Stats Chippac, Ltd. Semiconductor device and method of forming EWLB semiconductor package with vertical interconnect structure and cavity region
JP5982748B2 (ja) 2011-08-01 2016-08-31 ソニー株式会社 半導体装置、半導体装置の製造方法、および電子機器
US8697493B2 (en) 2011-07-18 2014-04-15 Soitec Bonding surfaces for direct bonding of semiconductor structures
US8710648B2 (en) 2011-08-09 2014-04-29 Alpha & Omega Semiconductor, Inc. Wafer level packaging structure with large contact area and preparation method thereof
US8441131B2 (en) 2011-09-12 2013-05-14 Globalfoundries Inc. Strain-compensating fill patterns for controlling semiconductor chip package interactions
JP5780228B2 (ja) 2011-11-11 2015-09-16 住友ベークライト株式会社 半導体装置の製造方法
US9059179B2 (en) 2011-12-28 2015-06-16 Broadcom Corporation Semiconductor package with a bridge interposer
US20130265733A1 (en) 2012-04-04 2013-10-10 Texas Instruments Incorporated Interchip communication using an embedded dielectric waveguide
CN103377911B (zh) 2012-04-16 2016-09-21 中国科学院微电子研究所 提高化学机械平坦化工艺均匀性的方法
US9142517B2 (en) 2012-06-05 2015-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid bonding mechanisms for semiconductor wafers
US8809123B2 (en) 2012-06-05 2014-08-19 Taiwan Semiconductor Manufacturing Company, Ltd. Three dimensional integrated circuit structures and hybrid bonding methods for semiconductor wafers
US8759961B2 (en) 2012-07-16 2014-06-24 International Business Machines Corporation Underfill material dispensing for stacked semiconductor chips
US8735219B2 (en) 2012-08-30 2014-05-27 Ziptronix, Inc. Heterogeneous annealing method and device
US9136293B2 (en) 2012-09-07 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and apparatus for sensor module
US8872349B2 (en) 2012-09-11 2014-10-28 Intel Corporation Bridge interconnect with air gap in package assembly
US20140070405A1 (en) 2012-09-13 2014-03-13 Globalfoundries Inc. Stacked semiconductor devices with a glass window wafer having an engineered coefficient of thermal expansion and methods of making same
US9136236B2 (en) 2012-09-28 2015-09-15 Intel Corporation Localized high density substrate routing
US8912670B2 (en) 2012-09-28 2014-12-16 Intel Corporation Bumpless build-up layer package including an integrated heat spreader
US8975726B2 (en) 2012-10-11 2015-03-10 Taiwan Semiconductor Manufacturing Company, Ltd. POP structures and methods of forming the same
US9252491B2 (en) 2012-11-30 2016-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. Embedding low-k materials in antennas
US9190380B2 (en) 2012-12-06 2015-11-17 Intel Corporation High density substrate routing in BBUL package
US8878353B2 (en) 2012-12-20 2014-11-04 Invensas Corporation Structure for microelectronic packaging with bond elements to encapsulation surface
DE102012224310A1 (de) 2012-12-21 2014-06-26 Tesa Se Gettermaterial enthaltendes Klebeband
US20140175655A1 (en) 2012-12-22 2014-06-26 Industrial Technology Research Institute Chip bonding structure and manufacturing method thereof
TWI518991B (zh) 2013-02-08 2016-01-21 Sj Antenna Design Integrated antenna and integrated circuit components of the shielding module
US8946784B2 (en) 2013-02-18 2015-02-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for image sensor packaging
US8901748B2 (en) 2013-03-14 2014-12-02 Intel Corporation Direct external interconnect for embedded interconnect bridge package
US9443796B2 (en) 2013-03-15 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Air trench in packages incorporating hybrid bonding
US8802538B1 (en) 2013-03-15 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for hybrid wafer bonding
US9054063B2 (en) 2013-04-05 2015-06-09 Infineon Technologies Ag High power single-die semiconductor package
US9064937B2 (en) 2013-05-30 2015-06-23 International Business Machines Corporation Substrate bonding with diffusion barrier structures
US9929050B2 (en) 2013-07-16 2018-03-27 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming three-dimensional integrated circuit (3DIC) stacking structure
US9159690B2 (en) 2013-09-25 2015-10-13 Intel Corporation Tall solders for through-mold interconnect
US9349703B2 (en) 2013-09-25 2016-05-24 Intel Corporation Method for making high density substrate interconnect using inkjet printing
US9723716B2 (en) 2013-09-27 2017-08-01 Infineon Technologies Ag Contact pad structure, an electronic component, and a method for manufacturing a contact pad structure
US9093337B2 (en) 2013-09-27 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for controlling warpage in packaging
KR102143518B1 (ko) 2013-10-16 2020-08-11 삼성전자 주식회사 칩 적층 반도체 패키지 및 그 제조 방법
US9257399B2 (en) 2013-10-17 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. 3D integrated circuit and methods of forming the same
JP2015115446A (ja) 2013-12-11 2015-06-22 株式会社東芝 半導体装置の製造方法
US9437572B2 (en) 2013-12-18 2016-09-06 Taiwan Semiconductor Manufacturing Company, Ltd. Conductive pad structure for hybrid bonding and methods of forming same
US9768038B2 (en) 2013-12-23 2017-09-19 STATS ChipPAC, Pte. Ltd. Semiconductor device and method of making embedded wafer level chip scale packages
US9355997B2 (en) 2014-03-12 2016-05-31 Invensas Corporation Integrated circuit assemblies with reinforcement frames, and methods of manufacture
US20150262902A1 (en) 2014-03-12 2015-09-17 Invensas Corporation Integrated circuits protected by substrates with cavities, and methods of manufacture
US9230941B2 (en) 2014-03-28 2016-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Bonding structure for stacked semiconductor devices
US9299736B2 (en) 2014-03-28 2016-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid bonding with uniform pattern density
US9472458B2 (en) 2014-06-04 2016-10-18 Semiconductor Components Industries, Llc Method of reducing residual contamination in singulated semiconductor die
KR102275705B1 (ko) 2014-07-11 2021-07-09 삼성전자주식회사 웨이퍼 대 웨이퍼 접합 구조
US9601353B2 (en) 2014-07-30 2017-03-21 Taiwan Semiconductor Manufacturing Company, Ltd. Packages with molding structures and methods of forming the same
US9666559B2 (en) 2014-09-05 2017-05-30 Invensas Corporation Multichip modules and methods of fabrication
US9536848B2 (en) 2014-10-16 2017-01-03 Globalfoundries Inc. Bond pad structure for low temperature flip chip bonding
US9394161B2 (en) 2014-11-14 2016-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. MEMS and CMOS integration with low-temperature bonding
US9548273B2 (en) 2014-12-04 2017-01-17 Invensas Corporation Integrated circuit assemblies with rigid layers used for protection against mechanical thinning and for other purposes, and methods of fabricating such assemblies
US9899442B2 (en) 2014-12-11 2018-02-20 Invensas Corporation Image sensor device
US11069734B2 (en) 2014-12-11 2021-07-20 Invensas Corporation Image sensor device
US9633974B2 (en) 2015-03-04 2017-04-25 Apple Inc. System in package fan out stacking architecture and process flow
DE102015103274A1 (de) 2015-03-06 2016-09-08 HARTING Electronics GmbH Kabelabdichtung
JP6738591B2 (ja) 2015-03-13 2020-08-12 古河電気工業株式会社 半導体ウェハの処理方法、半導体チップおよび表面保護テープ
US9443824B1 (en) 2015-03-30 2016-09-13 Qualcomm Incorporated Cavity bridge connection for die split architecture
US9659907B2 (en) 2015-04-07 2017-05-23 Apple Inc. Double side mounting memory integration in thin low warpage fanout package
US10068862B2 (en) 2015-04-09 2018-09-04 STATS ChipPAC Pte. Ltd. Semiconductor device and method of forming a package in-fan out package
US10074630B2 (en) 2015-04-14 2018-09-11 Amkor Technology, Inc. Semiconductor package with high routing density patch
US9666502B2 (en) 2015-04-17 2017-05-30 Taiwan Semiconductor Manufacturing Company, Ltd. Discrete polymer in fan-out packages
US9613931B2 (en) 2015-04-30 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Fan-out stacked system in package (SIP) having dummy dies and methods of making the same
US9595494B2 (en) 2015-05-04 2017-03-14 Qualcomm Incorporated Semiconductor package with high density die to die connection and method of making the same
US20160343685A1 (en) 2015-05-21 2016-11-24 Mediatek Inc. Semiconductor package assembly and method for forming the same
KR101664411B1 (ko) 2015-06-04 2016-10-14 주식회사 에스에프에이반도체 웨이퍼 레벨의 팬 아웃 패키지 제조방법
US9741620B2 (en) 2015-06-24 2017-08-22 Invensas Corporation Structures and methods for reliable packages
US9704827B2 (en) 2015-06-25 2017-07-11 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid bond pad structure
US9656852B2 (en) 2015-07-06 2017-05-23 Taiwan Semiconductor Manufacturing Company Ltd. CMOS-MEMS device structure, bonding mesa structure and associated method
US10886250B2 (en) 2015-07-10 2021-01-05 Invensas Corporation Structures and methods for low temperature bonding using nanoparticles
US10075657B2 (en) 2015-07-21 2018-09-11 Fermi Research Alliance, Llc Edgeless large area camera system
US9728521B2 (en) 2015-07-23 2017-08-08 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid bond using a copper alloy for yield improvement
US9559081B1 (en) 2015-08-21 2017-01-31 Apple Inc. Independent 3D stacking
US9953941B2 (en) 2015-08-25 2018-04-24 Invensas Bonding Technologies, Inc. Conductive barrier direct hybrid bonding
US9768145B2 (en) 2015-08-31 2017-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming multi-die package structures including redistribution layers
WO2017052652A1 (en) 2015-09-25 2017-03-30 Intel Corporation Combination of semiconductor die with another die by hybrid bonding
US10032751B2 (en) 2015-09-28 2018-07-24 Invensas Corporation Ultrathin layer for forming a capacitive interface between joined integrated circuit components
KR101787832B1 (ko) 2015-10-22 2017-10-19 앰코 테크놀로지 코리아 주식회사 반도체 패키지 제조 방법 및 이를 이용한 반도체 패키지
US10163856B2 (en) 2015-10-30 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked integrated circuit structure and method of forming
US9666560B1 (en) 2015-11-25 2017-05-30 Invensas Corporation Multi-chip microelectronic assembly with built-up fine-patterned circuit structure
US9627365B1 (en) 2015-11-30 2017-04-18 Taiwan Semiconductor Manufacturing Company, Ltd. Tri-layer CoWoS structure
US9496239B1 (en) 2015-12-11 2016-11-15 International Business Machines Corporation Nitride-enriched oxide-to-oxide 3D wafer bonding
US9852988B2 (en) 2015-12-18 2017-12-26 Invensas Bonding Technologies, Inc. Increased contact alignment tolerance for direct bonding
KR20170075125A (ko) 2015-12-22 2017-07-03 에스케이하이닉스 주식회사 반도체 패키지 및 제조 방법
US9881882B2 (en) 2016-01-06 2018-01-30 Mediatek Inc. Semiconductor package with three-dimensional antenna
US9923011B2 (en) 2016-01-12 2018-03-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with stacked semiconductor dies
US10446532B2 (en) 2016-01-13 2019-10-15 Invensas Bonding Technologies, Inc. Systems and methods for efficient transfer of semiconductor elements
US10636767B2 (en) 2016-02-29 2020-04-28 Invensas Corporation Correction die for wafer/die stack
US10026716B2 (en) 2016-04-15 2018-07-17 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC formation with dies bonded to formed RDLs
US9761559B1 (en) 2016-04-21 2017-09-12 Micron Technology, Inc. Semiconductor package and fabrication method thereof
US10204893B2 (en) 2016-05-19 2019-02-12 Invensas Bonding Technologies, Inc. Stacked dies and methods for forming bonded structures
US10032722B2 (en) 2016-05-31 2018-07-24 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package structure having am antenna pattern and manufacturing method thereof
KR102505856B1 (ko) 2016-06-09 2023-03-03 삼성전자 주식회사 웨이퍼 대 웨이퍼 접합 구조체
US9941241B2 (en) 2016-06-30 2018-04-10 International Business Machines Corporation Method for wafer-wafer bonding
US9859254B1 (en) 2016-06-30 2018-01-02 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and a manufacturing method thereof
US9966360B2 (en) * 2016-07-05 2018-05-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and manufacturing method thereof
US9892961B1 (en) 2016-08-09 2018-02-13 International Business Machines Corporation Air gap spacer formation for nano-scale semiconductor devices
US10672741B2 (en) 2016-08-18 2020-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages with thermal-electrical-mechanical chips and methods of forming the same
US10446487B2 (en) 2016-09-30 2019-10-15 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
WO2018063316A1 (en) * 2016-09-30 2018-04-05 Robert Alan May Device and method of very high density routing used with embedded multi-die interconnect bridge
US10672663B2 (en) 2016-10-07 2020-06-02 Xcelsis Corporation 3D chip sharing power circuit
US10580735B2 (en) 2016-10-07 2020-03-03 Xcelsis Corporation Stacked IC structure with system level wiring on multiple sides of the IC die
US10719762B2 (en) 2017-08-03 2020-07-21 Xcelsis Corporation Three dimensional chip structure implementing machine trained network
US9722098B1 (en) 2016-10-18 2017-08-01 Ase Electronics (M) Sdn Bhd Semiconductor device package and method of manufacturing the same
US10304801B2 (en) 2016-10-31 2019-05-28 Taiwan Semiconductor Manufacturing Company, Ltd. Redistribution layers in semiconductor packages and methods of forming same
US20180130768A1 (en) 2016-11-09 2018-05-10 Unisem (M) Berhad Substrate Based Fan-Out Wafer Level Packaging
US10153222B2 (en) 2016-11-14 2018-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. Package structures and methods of forming the same
US10163750B2 (en) 2016-12-05 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure for heat dissipation
US10453832B2 (en) 2016-12-15 2019-10-22 Taiwan Semiconductor Manufacturing Co., Ltd. Seal ring structures and methods of forming same
US10002844B1 (en) 2016-12-21 2018-06-19 Invensas Bonding Technologies, Inc. Bonded structures
US20180182665A1 (en) 2016-12-28 2018-06-28 Invensas Bonding Technologies, Inc. Processed Substrate
CN110178212B (zh) 2016-12-28 2024-01-09 艾德亚半导体接合科技有限公司 堆栈基板的处理
KR20230156179A (ko) 2016-12-29 2023-11-13 아데이아 세미컨덕터 본딩 테크놀로지스 인코포레이티드 집적된 수동 컴포넌트를 구비한 접합된 구조체
US20180190583A1 (en) 2016-12-29 2018-07-05 Invensas Bonding Technologies, Inc. Bonded structures with integrated passive component
US10276909B2 (en) 2016-12-30 2019-04-30 Invensas Bonding Technologies, Inc. Structure comprising at least a first element bonded to a carrier having a closed metallic channel waveguide formed therein
US10431614B2 (en) 2017-02-01 2019-10-01 Semiconductor Components Industries, Llc Edge seals for semiconductor packages
TWI738947B (zh) 2017-02-09 2021-09-11 美商英帆薩斯邦德科技有限公司 接合結構與形成接合結構的方法
WO2018169968A1 (en) 2017-03-16 2018-09-20 Invensas Corporation Direct-bonded led arrays and applications
US10515913B2 (en) 2017-03-17 2019-12-24 Invensas Bonding Technologies, Inc. Multi-metal contact structure
US10508030B2 (en) 2017-03-21 2019-12-17 Invensas Bonding Technologies, Inc. Seal for microelectronic assembly
JP6640780B2 (ja) 2017-03-22 2020-02-05 キオクシア株式会社 半導体装置の製造方法および半導体装置
US10784191B2 (en) 2017-03-31 2020-09-22 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US10269756B2 (en) 2017-04-21 2019-04-23 Invensas Bonding Technologies, Inc. Die processing
US10580823B2 (en) 2017-05-03 2020-03-03 United Microelectronics Corp. Wafer level packaging method
US10879212B2 (en) 2017-05-11 2020-12-29 Invensas Bonding Technologies, Inc. Processed stacked dies
US10446441B2 (en) 2017-06-05 2019-10-15 Invensas Corporation Flat metal features for microelectronics applications
US10541228B2 (en) 2017-06-15 2020-01-21 Taiwan Semiconductor Manufacturing Company, Ltd. Packages formed using RDL-last process
US10217720B2 (en) 2017-06-15 2019-02-26 Invensas Corporation Multi-chip modules formed using wafer-level processing of a reconstitute wafer
US10658335B2 (en) 2017-06-16 2020-05-19 Futurewei Technologies, Inc. Heterogenous 3D chip stack for a mobile processor
US10840205B2 (en) 2017-09-24 2020-11-17 Invensas Bonding Technologies, Inc. Chemical mechanical polishing for hybrid bonding
US11195748B2 (en) 2017-09-27 2021-12-07 Invensas Corporation Interconnect structures and methods for forming same
US11393745B2 (en) * 2017-09-29 2022-07-19 Intel Corporation Semiconductor packages with embedded interconnects
US11031285B2 (en) 2017-10-06 2021-06-08 Invensas Bonding Technologies, Inc. Diffusion barrier collar for interconnects
US11251157B2 (en) 2017-11-01 2022-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. Die stack structure with hybrid bonding structure and method of fabricating the same and package
US11011503B2 (en) 2017-12-15 2021-05-18 Invensas Bonding Technologies, Inc. Direct-bonded optoelectronic interconnect for high-density integrated photonics
US10923408B2 (en) 2017-12-22 2021-02-16 Invensas Bonding Technologies, Inc. Cavity packages
US11380597B2 (en) 2017-12-22 2022-07-05 Invensas Bonding Technologies, Inc. Bonded structures
US10559507B1 (en) 2018-02-06 2020-02-11 Facebook Technologies, Llc Direct wafer mapping and selective elastomer deposition
US11127738B2 (en) 2018-02-09 2021-09-21 Xcelsis Corporation Back biasing of FD-SOI circuit blocks
US10727219B2 (en) 2018-02-15 2020-07-28 Invensas Bonding Technologies, Inc. Techniques for processing devices
US11169326B2 (en) 2018-02-26 2021-11-09 Invensas Bonding Technologies, Inc. Integrated optical waveguides, direct-bonded waveguide interface joints, optical routing and interconnects
US11256004B2 (en) 2018-03-20 2022-02-22 Invensas Bonding Technologies, Inc. Direct-bonded lamination for improved image clarity in optical devices
US10991804B2 (en) 2018-03-29 2021-04-27 Xcelsis Corporation Transistor level interconnection methodologies utilizing 3D interconnects
US11056348B2 (en) 2018-04-05 2021-07-06 Invensas Bonding Technologies, Inc. Bonding surfaces for microelectronics
US10790262B2 (en) 2018-04-11 2020-09-29 Invensas Bonding Technologies, Inc. Low temperature bonded structures
US10964664B2 (en) 2018-04-20 2021-03-30 Invensas Bonding Technologies, Inc. DBI to Si bonding for simplified handle wafer
US10937743B2 (en) 2018-04-30 2021-03-02 Taiwan Semiconductor Manufacturing Company, Ltd. Mixing organic materials into hybrid packages
US11398258B2 (en) 2018-04-30 2022-07-26 Invensas Llc Multi-die module with low power operation
US10403577B1 (en) 2018-05-03 2019-09-03 Invensas Corporation Dielets on flexible and stretchable packaging for microelectronics
US11004757B2 (en) 2018-05-14 2021-05-11 Invensas Bonding Technologies, Inc. Bonded structures
US11276676B2 (en) 2018-05-15 2022-03-15 Invensas Bonding Technologies, Inc. Stacked devices and methods of fabrication
US10727204B2 (en) 2018-05-29 2020-07-28 Advances Micro Devices, Inc. Die stacking for multi-tier 3D integration
US10923413B2 (en) 2018-05-30 2021-02-16 Xcelsis Corporation Hard IP blocks with physically bidirectional passageways
CN112514059B (zh) 2018-06-12 2024-05-24 隔热半导体粘合技术公司 堆叠微电子部件的层间连接
US11393779B2 (en) 2018-06-13 2022-07-19 Invensas Bonding Technologies, Inc. Large metal pads over TSV
WO2019241417A1 (en) 2018-06-13 2019-12-19 Invensas Bonding Technologies, Inc. Tsv as pad
US10685937B2 (en) 2018-06-15 2020-06-16 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit package having dummy structures and method of forming same
US10910344B2 (en) 2018-06-22 2021-02-02 Xcelsis Corporation Systems and methods for releveled bump planes for chiplets
US10930633B2 (en) 2018-06-29 2021-02-23 Taiwan Semiconductor Manufacturing Company, Ltd. Buffer design for package integration
US11664357B2 (en) 2018-07-03 2023-05-30 Adeia Semiconductor Bonding Technologies Inc. Techniques for joining dissimilar materials in microelectronics
US11462419B2 (en) 2018-07-06 2022-10-04 Invensas Bonding Technologies, Inc. Microelectronic assemblies
US11158606B2 (en) 2018-07-06 2021-10-26 Invensas Bonding Technologies, Inc. Molded direct bonded and interconnected stack
US20200035641A1 (en) 2018-07-26 2020-01-30 Invensas Bonding Technologies, Inc. Post cmp processing for hybrid bonding
KR102560697B1 (ko) 2018-07-31 2023-07-27 삼성전자주식회사 인터포저를 가지는 반도체 패키지
US10700094B2 (en) 2018-08-08 2020-06-30 Xcelsis Corporation Device disaggregation for improved performance
US10727205B2 (en) 2018-08-15 2020-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid bonding technology for stacking integrated circuits
US11515291B2 (en) 2018-08-28 2022-11-29 Adeia Semiconductor Inc. Integrated voltage regulator and passive components
US20200075533A1 (en) 2018-08-29 2020-03-05 Invensas Bonding Technologies, Inc. Bond enhancement in microelectronics by trapping contaminants and arresting cracks during direct-bonding processes
US11011494B2 (en) 2018-08-31 2021-05-18 Invensas Bonding Technologies, Inc. Layer structures for making direct metal-to-metal bonds at low temperatures in microelectronics
US11158573B2 (en) 2018-10-22 2021-10-26 Invensas Bonding Technologies, Inc. Interconnect structures
KR20200047845A (ko) 2018-10-24 2020-05-08 삼성전자주식회사 반도체 패키지
KR102596758B1 (ko) 2018-10-24 2023-11-03 삼성전자주식회사 반도체 패키지
US11158607B2 (en) 2018-11-29 2021-10-26 Apple Inc. Wafer reconstitution and die-stitching
US11244920B2 (en) 2018-12-18 2022-02-08 Invensas Bonding Technologies, Inc. Method and structures for low temperature device bonding
WO2020150159A1 (en) 2019-01-14 2020-07-23 Invensas Bonding Technologies, Inc. Bonded structures
KR20200092236A (ko) 2019-01-24 2020-08-03 삼성전기주식회사 브리지 내장 인터포저, 및 이를 포함하는 패키지 기판 및 반도체 패키지
US11387202B2 (en) 2019-03-01 2022-07-12 Invensas Llc Nanowire bonding interconnect for fine-pitch microelectronics
US11901281B2 (en) 2019-03-11 2024-02-13 Adeia Semiconductor Bonding Technologies Inc. Bonded structures with integrated passive component
US11552019B2 (en) * 2019-03-12 2023-01-10 Intel Corporation Substrate patch reconstitution options
US10854578B2 (en) 2019-03-29 2020-12-01 Invensas Corporation Diffused bitline replacement in stacked wafer memory
US11610846B2 (en) 2019-04-12 2023-03-21 Adeia Semiconductor Bonding Technologies Inc. Protective elements for bonded structures including an obstructive element
US11205625B2 (en) 2019-04-12 2021-12-21 Invensas Bonding Technologies, Inc. Wafer-level bonding of obstructive elements
US11373963B2 (en) 2019-04-12 2022-06-28 Invensas Bonding Technologies, Inc. Protective elements for bonded structures
US11355404B2 (en) 2019-04-22 2022-06-07 Invensas Bonding Technologies, Inc. Mitigating surface damage of probe pads in preparation for direct bonding of a substrate
US11385278B2 (en) 2019-05-23 2022-07-12 Invensas Bonding Technologies, Inc. Security circuitry for bonded structures
US20200395321A1 (en) 2019-06-12 2020-12-17 Invensas Bonding Technologies, Inc. Sealed bonded structures and methods for forming the same
US20200395300A1 (en) * 2019-06-13 2020-12-17 Intel Corporation Substrateless double-sided embedded multi-die interconnect bridge
US11296053B2 (en) 2019-06-26 2022-04-05 Invensas Bonding Technologies, Inc. Direct bonded stack structures for increased reliability and improved yield in microelectronics
US20210020577A1 (en) * 2019-07-16 2021-01-21 Dyi-chung Hu Semiconductor package and manufacturing method thereof
US11978685B2 (en) * 2019-07-25 2024-05-07 Intel Corporation Glass core patch with in situ fabricated fan-out layer to enable die tiling applications
US11742301B2 (en) * 2019-08-19 2023-08-29 Advanced Micro Devices, Inc. Fan-out package with reinforcing rivets
US11094635B2 (en) 2019-08-22 2021-08-17 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and method for forming the same
US11508677B2 (en) * 2019-08-29 2022-11-22 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package for high-speed data transmission and manufacturing method thereof
US11133263B2 (en) * 2019-09-17 2021-09-28 Intel Corporation High-density interconnects for integrated circuit packages
US10998272B2 (en) * 2019-09-17 2021-05-04 Intel Corporation Organic interposers for integrated circuit packages
EP4035205A4 (en) * 2019-09-25 2023-09-20 Intel Corporation CAST CONNECTIONS IN BRIDGES FOR INTEGRATED CIRCUIT PACKAGES
US20210098412A1 (en) 2019-09-26 2021-04-01 Invensas Bonding Technologies, Inc. Direct gang bonding methods and structures
US11824040B2 (en) * 2019-09-27 2023-11-21 Taiwan Semiconductor Manufacturing Company, Ltd. Package component, electronic device and manufacturing method thereof
TWI734455B (zh) * 2019-10-09 2021-07-21 財團法人工業技術研究院 多晶片封裝件及其製造方法
US20210118864A1 (en) 2019-10-21 2021-04-22 Invensas Corporation Non-Volatile Dynamic Random Access Memory
US20210125965A1 (en) * 2019-10-24 2021-04-29 Advanced Semiconductor Engineering, Inc. Semiconductor device package and method of manufacturing the same
US11688693B2 (en) * 2019-10-29 2023-06-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor packages and method of manufacture
US11164817B2 (en) * 2019-11-01 2021-11-02 International Business Machines Corporation Multi-chip package structures with discrete redistribution layers
US11862602B2 (en) 2019-11-07 2024-01-02 Adeia Semiconductor Technologies Llc Scalable architecture for reduced cycles across SOC
US11762200B2 (en) 2019-12-17 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded optical devices
US11876076B2 (en) 2019-12-20 2024-01-16 Adeia Semiconductor Technologies Llc Apparatus for non-volatile random access memory stacks
US11721653B2 (en) 2019-12-23 2023-08-08 Adeia Semiconductor Bonding Technologies Inc. Circuitry for electrical redundancy in bonded structures
WO2021133741A1 (en) 2019-12-23 2021-07-01 Invensas Bonding Technologies, Inc. Electrical redundancy for bonded structures
US11791275B2 (en) * 2019-12-27 2023-10-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacturing
US11616026B2 (en) * 2020-01-17 2023-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US20210242152A1 (en) 2020-02-05 2021-08-05 Invensas Bonding Technologies, Inc. Selective alteration of interconnect pads for direct bonding
TW202135243A (zh) * 2020-03-04 2021-09-16 力成科技股份有限公司 扇出型堆疊式半導體封裝結構之多層模封方法
US20210280507A1 (en) * 2020-03-05 2021-09-09 Qualcomm Incorporated Package comprising dummy interconnects
US11515229B2 (en) * 2020-03-31 2022-11-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11742314B2 (en) 2020-03-31 2023-08-29 Adeia Semiconductor Bonding Technologies Inc. Reliable hybrid bonded apparatus
US11594498B2 (en) * 2020-04-27 2023-02-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and method
US11735523B2 (en) 2020-05-19 2023-08-22 Adeia Semiconductor Bonding Technologies Inc. Laterally unconfined structure
US11508633B2 (en) * 2020-05-28 2022-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure having taper-shaped conductive pillar and method of forming thereof
TWI732568B (zh) * 2020-05-28 2021-07-01 欣興電子股份有限公司 內埋元件的基板結構及其製造方法
US11562963B2 (en) * 2020-06-05 2023-01-24 Intel Corporation Stacked semiconductor package and method of forming the same
US11955431B2 (en) * 2020-06-05 2024-04-09 Intel Corporation Interposer structures and methods for 2.5D and 3D packaging
US11335650B2 (en) * 2020-06-11 2022-05-17 Advanced Semiconductor Engineering, Inc. Package substrate, electronic device package and method for manufacturing the same
US11342272B2 (en) * 2020-06-11 2022-05-24 Advanced Semiconductor Engineering, Inc. Substrate structures, and methods for forming the same and semiconductor package structures
US11239184B2 (en) * 2020-06-11 2022-02-01 Advanced Semicondutor Engineering, Inc. Package substrate, electronic device package and method for manufacturing the same
US11450615B2 (en) * 2020-06-12 2022-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of fabricating the same
US11631647B2 (en) 2020-06-30 2023-04-18 Adeia Semiconductor Bonding Technologies Inc. Integrated device packages with integrated device die and dummy element
US11574890B2 (en) * 2020-07-01 2023-02-07 Amkor Technology Singapore Holding Pte. Lte. Semiconductor devices and methods of manufacturing semiconductor devices
US11764177B2 (en) 2020-09-04 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11264357B1 (en) 2020-10-20 2022-03-01 Invensas Corporation Mixed exposure for large die
KR20230095110A (ko) 2020-10-29 2023-06-28 아데이아 세미컨덕터 본딩 테크놀로지스 인코포레이티드 직접 접합 방법 및 구조체
WO2022094587A1 (en) 2020-10-29 2022-05-05 Invensas Bonding Technologies, Inc. Direct bonding methods and structures
EP4268273A1 (en) 2020-12-28 2023-11-01 Adeia Semiconductor Bonding Technologies Inc. Structures with through-substrate vias and methods for forming the same
WO2022147430A1 (en) 2020-12-28 2022-07-07 Invensas Bonding Technologies, Inc. Structures with through-substrate vias and methods for forming the same
JP2024504035A (ja) 2020-12-30 2024-01-30 アデイア セミコンダクター ボンディング テクノロジーズ インコーポレイテッド 直接接合構造体
EP4272249A1 (en) 2020-12-30 2023-11-08 Adeia Semiconductor Bonding Technologies Inc. Structure with conductive feature and method of forming same
EP4302325A1 (en) 2021-03-03 2024-01-10 Adeia Semiconductor Bonding Technologies Inc. Contact structures for direct bonding
CN117296132A (zh) 2021-03-31 2023-12-26 美商艾德亚半导体接合科技有限公司 载体的直接接合和去接合
KR20230164716A (ko) 2021-03-31 2023-12-04 아데이아 세미컨덕터 본딩 테크놀로지스 인코포레이티드 직접 결합 방법 및 구조
JP2024515033A (ja) 2021-03-31 2024-04-04 アデイア セミコンダクター ボンディング テクノロジーズ インコーポレイテッド 担体の直接ボンディング及び剥離
WO2023278605A1 (en) 2021-06-30 2023-01-05 Invensas Bonding Technologies, Inc. Element with routing structure in bonding layer
CN117859202A (zh) 2021-07-16 2024-04-09 美商艾德亚半导体接合科技有限公司 用于接合结构的光学阻塞保护元件

Also Published As

Publication number Publication date
EP4208896A1 (en) 2023-07-12
US11728273B2 (en) 2023-08-15
US20240170406A1 (en) 2024-05-23
US20220077063A1 (en) 2022-03-10
KR20230058508A (ko) 2023-05-03
WO2022051103A1 (en) 2022-03-10

Similar Documents

Publication Publication Date Title
CN116420220A (zh) 具有互连结构的键合结构
US20230069183A1 (en) Stacked structure with interposer
US11764177B2 (en) Bonded structure with interconnect structure
US10153252B2 (en) Wafer to wafer structure and method of fabricating the same
US11309304B2 (en) Stackable electronic package and method of fabricating same
US8592932B2 (en) Apparatus and methods for constructing semiconductor chip packages with silicon space transformer carriers
US6819001B2 (en) Interposer, interposer package and device assembly employing the same
US20130075928A1 (en) Integrated circuit and method of making
KR102486223B1 (ko) 광학 경로를 갖는 패키징된 디바이스
CN109075152B (zh) 组装平台
KR101341619B1 (ko) 반도체 패키지 및 그의 제조 방법
US8558353B2 (en) Integrated circuit having an uppermost layer comprising landing pads that are distributed thoughout one side of the integrated circuit
TW202213546A (zh) 微電子裝置及其製造方法
US20090273910A1 (en) Functional Unit And Method For The Production Thereof
EP4276899A1 (en) Package with ic substrate and electronic component connected with direct physical contact
EP4221474A2 (en) Component carrier with embedded ic substrate inlay, and manufacturing method
US20240063184A1 (en) Perpendicular semiconductor device assemblies and associated methods
CN118160088A (zh) 具有以直接的物理接触连接的基板和电子部件的封装件
CN113192946A (zh) 一种三维堆叠芯片封装结构及封装方法
CN116033673A (zh) 电路板级封装方法及电路板
CN115224012A (zh) 具有多个衬底和裸片堆叠的半导体装置
CN116564923A (zh) 包括基于半导体的部件的模块及其制造方法
CN117790409A (zh) 半导体封装及其制造方法
CN113471161A (zh) 用于射频传输的多层布线转接板及其制备方法
CN118039572A (zh) 电子封装件及其制法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination