CN107382376A - 陶瓷物品 - Google Patents

陶瓷物品 Download PDF

Info

Publication number
CN107382376A
CN107382376A CN201710692398.3A CN201710692398A CN107382376A CN 107382376 A CN107382376 A CN 107382376A CN 201710692398 A CN201710692398 A CN 201710692398A CN 107382376 A CN107382376 A CN 107382376A
Authority
CN
China
Prior art keywords
ceramic
articles
ceramic coating
coating
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201710692398.3A
Other languages
English (en)
Other versions
CN107382376B (zh
Inventor
J·Y·孙
R-G·段
B·R·卡农戈
D·卢博米尔斯基
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority claimed from CN201380010899.9A external-priority patent/CN105492400B/zh
Publication of CN107382376A publication Critical patent/CN107382376A/zh
Application granted granted Critical
Publication of CN107382376B publication Critical patent/CN107382376B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/45Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements
    • C04B41/50Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements with inorganic materials
    • C04B41/5025Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements with inorganic materials with ceramic materials
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/80After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone of only ceramics
    • C04B41/81Coating or impregnation
    • C04B41/85Coating or impregnation with inorganic materials
    • C04B41/87Ceramics
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/01Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on oxide ceramics
    • C04B35/10Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on oxide ceramics based on aluminium oxide
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/0072Heat treatment
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/009After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone characterised by the material treated
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/45Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements
    • C04B41/50Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements with inorganic materials
    • C04B41/5025Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements with inorganic materials with ceramic materials
    • C04B41/5031Alumina
    • C04B41/5032Aluminates
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/45Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements
    • C04B41/50Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements with inorganic materials
    • C04B41/5025Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements with inorganic materials with ceramic materials
    • C04B41/5042Zirconium oxides or zirconates; Hafnium oxides or hafnates
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/45Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements
    • C04B41/50Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements with inorganic materials
    • C04B41/5025Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements with inorganic materials with ceramic materials
    • C04B41/5045Rare-earth oxides
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/45Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements
    • C04B41/52Multiple coating or impregnating multiple coating or impregnating with the same composition or with compositions only differing in the concentration of the constituents, is classified as single coating or impregnation
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/80After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone of only ceramics
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/80After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone of only ceramics
    • C04B41/81Coating or impregnation
    • C04B41/89Coating or impregnation for obtaining at least two superposed coatings having different compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C24/00Coating starting from inorganic powder
    • C23C24/02Coating starting from inorganic powder by application of pressure only
    • C23C24/04Impact or kinetic deposition of particles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/04Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the coating material
    • C23C4/10Oxides, borides, carbides, nitrides or silicides; Mixtures thereof
    • C23C4/11Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/12Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the method of spraying
    • C23C4/134Plasma spraying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/70Aspects relating to sintered or melt-casted ceramic products
    • C04B2235/96Properties of ceramic products, e.g. mechanical properties such as strength, toughness, wear resistance
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/249921Web or sheet containing structurally defined element or component
    • Y10T428/249953Composite having voids in a component [e.g., porous, cellular, etc.]
    • Y10T428/249987With nonvoid component of specified composition
    • Y10T428/249988Of about the same composition as, and adjacent to, the void-containing component
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/26Web or sheet containing structurally defined element or component, the element or component having a specified physical dimension
    • Y10T428/263Coating layer not in excess of 5 mils thick or equivalent
    • Y10T428/264Up to 3 mils
    • Y10T428/2651 mil or less

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Ceramic Engineering (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Structural Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Thermal Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Drying Of Semiconductors (AREA)
  • Coating By Spraying Or Casting (AREA)
  • Other Surface Treatments For Metallic Materials (AREA)
  • Compositions Of Oxide Ceramics (AREA)

Abstract

本文提供了一种具有陶瓷基板与陶瓷涂层的陶瓷物品,其中该陶瓷涂层具有初始孔隙度与初始裂缝量。该陶瓷物品以约每分钟0.1℃至约每分钟20℃的升降温速率被加热至介于约1000℃与约1800℃间的温度范围。以该温度范围内的一或多个温度热处理该陶瓷物品达约24小时的历时。接着以该升降温速率来冷却该陶瓷物品,其中在热处理之后,该陶瓷涂层具有降低的孔隙度与降低的裂缝量。

Description

陶瓷物品
本发明是申请日为2013年2月20日、申请号为201380010899.9、名称为“具有陶瓷涂层的经热处理陶瓷基板及用于经涂布陶瓷的热处理方法”的中国专利申请的分案申请。
技术领域
本发明的具体实施例一般与用以热处理经涂布陶瓷物品的热处理工艺有关。
背景技术
在半导体工业中,通过可产生尺寸越发减少的结构的数种制造工艺来制造元件。某些制造工艺(例如等离子体蚀刻与等离子体清洁工艺)使基板暴露至高速等离子体流,以蚀刻或清洁基板。等离子体为高度腐蚀性,且会腐蚀暴露于等离子体的处理腔室以及其他表面。此腐蚀会产生粒子,粒子常会污染正在被处理的基板,导致元件缺陷。
随着元件几何尺寸的缩减,对缺陷的敏感度会增加,且对于粒子污染的需求变得更臻严格。因此,当元件的几何尺寸缩减时,可允许的粒子污染程度则会降低。为了使等离子体蚀刻及/或等离子体清洁工艺所产生的粒子污染达到最低,已开发出可抵抗等离子体的腔室材料。这类抗等离子体材料的实例包括含有Al2O3、AlN、SiC、Y2O3、石英与ZrO2的陶瓷。然而,对于某些应用而言,这些陶瓷材料的抗等离子体特性是不够的。举例而言,在使用于阈值尺寸为45纳米或32纳米的半导体元件的等离子体蚀刻工艺中时,利用传统陶瓷制造工艺所制造的抗等离子体陶瓷盖板及/或喷嘴会产生无法接受的粒子污染程度。此外,当这类抗等离子体陶瓷被使用作为陶瓷涂层时,这些涂层会产生较高的粒子污染程度,且会因分层剥落(delamination)而故障。
发明内容
在一具体实施例中,提供了一种具有陶瓷基板与陶瓷涂层的陶瓷物品,该陶瓷涂层具有初始孔隙度与初始裂缝量。该陶瓷物品被以约每分钟0.1℃至约每分钟20℃的升降温速率加热至介于约1000℃与约1800℃间的温度范围。以该温度范围内的一或多个温度热处理该陶瓷物品达约24小时的历时。接着以该升降温速率来冷却该陶瓷物品,其中在热处理之后,该陶瓷涂层具有降低的孔隙度与降低的裂缝量。
附图说明
在如附图中是藉例示而非限制来说明本发明,在附图中相同的元件符号是代表相似的元件。应注意在本文中当述及“一”或“一个”具体实施例时,并不一定是指相同的具体实施例,这类叙述是代表“至少一个”。
图1A说明了根据本发明的一实施例的制造系统的例示架构;
图1B说明了根据本发明的一实施例的用于热处理陶瓷物品的一种工艺;
图2A为根据本发明实施例的在利用热处理处理陶瓷涂层之前以及在利用热处理处理陶瓷涂层之后的陶瓷涂层表面的显微照片;
图2B为根据本发明实施例的在利用热处理处理陶瓷涂层之前以及在各种温度和处理历时下利用热处理处理陶瓷涂层之后的陶瓷涂层表面在4,000倍放大倍率下的其他显微照片;
图2C为根据本发明具体实施例的在利用热处理处理陶瓷涂层之前以及在各种温度和处理历时下利用热处理处理陶瓷涂层之后的陶瓷涂层表面在20,000倍放大倍率下的其他显微照片;
图2D为根据本发明实施例的在陶瓷涂层经处理之前以及在陶瓷涂层经处理之后的陶瓷涂层表面在10,000倍放大倍率下的其他显微照片;
图3A为显示根据本发明的一实施例的在热处理前后的陶瓷物品的截面侧视图的显微照片;
图3B为显示根据本发明实施例的在不同温度与不同历时下进行热处理前后的陶瓷物品在4,000倍放大倍率下的截面侧视图的显微照片;
图3C为显示根据本发明实施例的在热处理前后的陶瓷物品在20,000倍放大倍率下的截面侧视图的显微照片;
图3D说明根据本发明的一实施例的在热处理前后的HPM陶瓷复合涂层的相态组成比较。
具体实施方式
本发明的具体实施例是与一种用于热处理陶瓷物品的工艺有关,且与利用热处理所处理的陶瓷物品有关。在一实施例中,提供了一种包括陶瓷基板与陶瓷涂层的陶瓷物品,该陶瓷涂层具有初始孔隙度、对该陶瓷基板的初始键结强度以及初始裂缝量。该陶瓷基板可为烧结陶瓷,且该陶瓷涂层是等离子体喷涂陶瓷。该陶瓷物品可为,例如等离子体蚀刻器的陶瓷盖板、喷嘴或处理套件。该陶瓷物品被以约每分钟0.1℃至约每分钟20℃的升降温速率加热至介于约1000℃与约1800℃间的温度范围。该陶瓷物品在该温度范围内的一或多个温度下进行热处理达约24小时的历时。接着该陶瓷物品被以该升降温速率予以冷却。在热处理之后,该陶瓷涂层具有减少的表面缺陷、降低的涂层孔隙度以及减少的裂缝量。该陶瓷涂层也具有降低的表面粗糙度,且另外具有对等离子体的较大抵抗性。此外,在热处理之后,该陶瓷涂层对陶瓷基板具有较强的界面性,该较强的界面性提供了对陶瓷基板的较大黏结强度。较强的界面性是因在陶瓷基板与陶瓷涂层之间形成过渡层所致。
在一实施例中,加热炉对包含陶瓷基板与陶瓷涂层的陶瓷物品执行热处理工艺,其中该陶瓷涂层具有初始孔隙度与初始裂缝量。该加热炉以每分钟约0.1℃至每分钟约20℃的升降温速率来加热该陶瓷物品,直到该陶瓷物品达到指定温度或温度范围为止。该指定温度范围从约1000℃变化至约1800℃,且该指定温度是该指定温度范围内的温度。加热炉于该指定温度及/或该温度范围内的其他指定温度下对该陶瓷物品热处理达约24小时的历时。该加热炉接着以该升降温速率来冷却该陶瓷物品。在热处理之后,该陶瓷物品具有降低的表面孔隙度及减少的裂缝量。
本发明的实施例经由过渡层的形成而增加陶瓷涂层以及涂布该陶瓷涂层的陶瓷基板之间的键结强度。本发明的实施例也减少了经处理的陶瓷物品上的陶瓷涂层的表面缺陷、降低孔隙度并减少裂缝量。实施例也降低了经处理的陶瓷涂层的表面粗糙度,并且使陶瓷涂层上的表面粒子减到最少。当使用于应用等离子体的半导体工艺(例如等离子体蚀刻与等离子体清洁工艺)时,这类经热处理的陶瓷涂层具有减少的高能量键结(断键)数,并产生明显较低的粒子污染量。此外,经热处理的陶瓷涂层的降低孔隙度与减少裂缝可减少渗入陶瓷涂层而与下方基板反应的处理气体量。另外,在陶瓷涂层与陶瓷基板间的过渡层(在本文中也称为界面性过渡层)的形成可抑制渗入涂层的工艺化学物与下方基板反应。这可使分层剥落的发生降至最低。过渡层可提高陶瓷涂层的黏结强度,且可使剥离降至最低。举例而言,用于蚀刻器机器的经陶瓷涂布的盖板与喷嘴可经热处理,以使在等离子体蚀刻工艺期间所产生的粒子污染及/或剥离降至最低。因此,利用本文所述的经热处理的陶瓷物品而制造的半导体会具有较低的缺陷计数,且可导致降低的废弃率。
在本文中所使用的用语“热处理”是表示对陶瓷物品施加升高温度,例如通过加热炉。当于本文中使用用语“约”时,是用以表示所提出的标称数值在±10%内都是精确的。
在本文中,有些实施例使用加热炉来进行热处理,然而,应了解也可使用其他的热处理技术来进行所述热处理。可使用的其他热处理技术的部分实例包括激光表面处理(也称为激光热处理)、电子束(e-beam)表面处理(也称为电子束热处理)、火焰表面处理(也称为火焰热处理)以及高温等离子体处理。
同时注意本文所述的有些实施例是关于半导体制造的等离子体蚀刻器中所使用的经陶瓷涂布的盖板与经陶瓷涂布的喷嘴。然而,应理解到这类等离子体蚀刻器也可用以制造微机电系统(MEMS)的元件。此外,本文所述的经热处理的陶瓷物品可为暴露于等离子体的其他结构。举例而言,该陶瓷物品可为等离子体蚀刻器、等离子体清洁器、等离子体驱动系统等的经陶瓷涂布的环件、壁部、基部、气体分配板材、喷淋头、基板固持框架等。
此外,本文所述的实施例是关于在使用于富含等离子体工艺的处理腔室中时能够产生降低的粒子污染的陶瓷物品。然而,应理解本文所述的陶瓷物品在使用于其他工艺的处理腔室(例如非等离子体蚀刻器、非等离子体清洁器、化学气相沉积(CVD)腔室、物理气相沉积(PVD)腔室、等离子体增强的化学气相沉积(PECVD)腔室、等离子体增强的物理气相沉积(PEPVD)腔室、等离子体增强的原子层沉积(PEALD)腔室等)中时也可提供降低的粒子污染。
图1A说明了根据本发明的一具体实施例的制造系统的例示架构。该制造系统100可以是陶瓷制造系统。在一实施例中,该制造系统100包括加热炉105(例如,如旋转窑(kiln)的陶瓷加热炉)、设备自动化层115与计算装置120。在替代实施例中,该制造系统100可包括更多或更少的组件。举例而言,该制造系统100仅包含加热炉105,加热炉105是手动的离线机器。
加热炉105为一种经设计以加热例如陶瓷物品的机器。加热炉105包括热绝缘腔室或炉体,能对插置于热绝缘腔室或炉体中的物品(例如陶瓷物品)施加控制温度。在一实施例中,该腔室呈密封。加热炉105可包含泵,以将空气泵送至腔室外,并因此而于腔室内产生真空。加热炉105可另外或替代地包含气体入口,以将气体(例如,如氩气或氮气等惰性气体)泵送至腔室中。
加热炉105是具有由技术人员在陶瓷物品的处理期间手动设定的温度控制器的手动加热炉。加热炉105也可以是离线机器,离线机器可以工艺配方来予以编程。该工艺配方可以控制升温速率、降温速率、工艺时间、温度、压力、气体流量等。或者是,加热炉105可为线上自动化加热炉,线上自动化加热炉可经由设备自动化层115而自例如个人电脑、伺服器机器等的计算装置120接收工艺配方。该设备自动化层115使加热炉105与计算装置120、与其他制造机器、与量度工具及/或其他装置互相连接。
设备自动化层115可包含网络(例如局域网(LAN))、路由器、网关、服务器、数据储存器等。加热炉105可经由半导体设备通讯标准/一般设备模式(SECS/GEM)界面、经由以太网界面及/或经由其他界面而连接至设备自动化层115。在一实施例中,该设备自动化层115可使工艺数据(例如加热炉105在工艺运转期间所收集到的数据)储存在数据储存器(未示)中。在替代实施例中,该计算装置120直接连接至加热炉105。
在一实施例中,加热炉105包括可编程控制器,可编程控制器可负载、储存与执行工艺配方。该可编程控制器控制热处理工艺的温度设定、气体及/或真空设定、时间设定等。可编程控制器控制腔室加热,使温度下降以及上升,可使多步骤热处理被输入作为单一工艺等。该可编程控制器可包含主存储器(例如只读存储器(ROM)、快闪存储器、动态随机存取存储器(DRAM)、静态随机存取存储器(SRAM)等),及/或次级存储器(例如,如磁盘驱动器的数据储存装置)。主存储器及/或次级存储器可储存用于执行本文所述的热处理工艺的指令。
该可编程控制器也可包含耦接(例如经由总线)至主存储器及/或次级存储器以执行指令的处理装置。该处理装置可为通用处理装置,例如微处理器、中央处理单元等。该处理装置也可为专用处理装置,例如专用集成电路(ASIC)、场可编程门阵列(FPGA)、数字信号处理器(DSP)、网络处理器等。在一具体实施例中,该可编程处理器是可编程的逻辑控制器(PLC)。
在一实施例中,加热炉105经编程,以执行将使加热炉105可使用关于图1B所述热处理工艺来对陶瓷物品进行热处理的配方。
图1B是流程图,该流程图说明了根据本发明的一实施例的用于热处理陶瓷物品的工艺150。在工艺150的方块155中,提供陶瓷物品(例如提供至加热炉或旋转窑)。在一具体实施例中,该陶瓷物品由装载器自动地载入至加热炉中。该陶瓷物品包括陶瓷基板,该陶瓷基板在至少一表面上已涂布有陶瓷涂层。在一实施例中,该陶瓷物品是等离子体蚀刻器或等离子体清洁器的陶瓷盖板、陶瓷喷嘴或其他处理腔室元件。该陶瓷物品可具有主要为钇氧化物的陶瓷涂层。使用主要为钇氧化物的陶瓷是因为钇氧化物有较佳的抗等离子体特性之故。该陶瓷物品也可具有陶瓷基板,该陶瓷基板具有良好的机械特性,例如高挠曲强度与对于高温及/或热应力所致的破裂的抵抗性。
在被涂布陶瓷涂层之前,陶瓷基板可先经加工。此外,陶瓷涂层可在涂布该陶瓷基板之后再经加工。加工的实例包括表面研磨、抛光、钻孔、磨擦、切割、玻璃珠喷砂或是以加工工具所进行的其他处理。在一具体实施例中,在陶瓷涂层形成于陶瓷基板上方之后,该陶瓷涂层经抛光。这会产生大量的粒子,粒子会留在陶瓷涂层的裂缝、孔洞或是其他表面缺陷中。
陶瓷基板由陶瓷块材所形成,例如Y2O3、Y4Al2O9、Al2O3、Y3Al5O12(YAG)、石英、SiC、Si3N4、AlN、ZrO2等。举例而言,该陶瓷基板可为下文中关于陶瓷涂层所说明的任何一种陶瓷的烧结形式的块材。基板也可为陶瓷复合物,例如Al2O3-YAG的陶瓷复合物或SiC-Si3N4的陶瓷复合物。该陶瓷基板也可为包括含固体溶液的氧化钇(或称为钇氧化物或Y2O3)的陶瓷复合物。举例而言,陶瓷基板可为高性能材料(High Performance Material,HPM),该高性能材料由化合物Y4Al2O9(YAM)与固体溶液Y2-xZrxO3(Y2O3-ZrO2的固体溶液)所组成。注意,纯的氧化钇以及含有固体溶液的氧化钇可掺有ZrO2、Al2O3、SiO2、B2O3、Er2O3、Nd2O3、Nb2O5、CeO2、Sm2O3、Yb2O3或其他氧化物中的一或多种。
类似于陶瓷基板,陶瓷涂层由Y2O3(氧化钇)、Y4Al2O9(YAM)、Al2O3(氧化铝)、Y3Al5O12(YAG)、石英、YAlO3(YAP)、SiC(碳化硅)、Si3N4(氮化硅)、AlN(氮化铝)、ZrO2(二氧化锆)、AlON(氮氧化铝)、TiO2(二氧化钛)、TiC(碳化钛)、ZrC(碳化锆)、TiN(氮化钛)、TiCN(氮化碳钛)、以Y2O3稳定化的ZrO2(YSZ)等。同样类似于陶瓷基板,陶瓷涂层可为纯的氧化钇或是含有固体溶液的氧化钇,纯的氧化钇或是含有固体溶液的氧化钇可掺有ZrO2、Al2O3、SiO2、B2O3、Er2O3、Nd2O3、Nb2O5、CeO2、Sm2O3、Yb2O3或其他氧化物中的一或多种。在一实施例中,陶瓷涂层是HPM复合物。然而,该陶瓷涂层可通过在陶瓷基板上喷涂或成长陶瓷涂层而形成,且该陶瓷基板由烧结工艺所形成。
在一实施例中,该陶瓷涂层为含有已经利用热喷涂技术或等离子体喷涂技术而沉积在陶瓷基板上的陶瓷的氧化钇。热喷涂技术可熔化材料(例如陶瓷粉末),并将熔化的材料喷涂在陶瓷基板上。热喷涂陶瓷涂层可具有约20微米至约数毫米的厚度。
在一实施例中,该陶瓷涂层经等离子体喷涂至陶瓷基板上。或者是,也可使用其他的热喷涂技术,例如爆炸喷涂、电弧喷涂、高速氧燃料(HVOF)喷涂、火焰喷涂、暖喷涂与冷喷涂。此外,也可使用其他的涂布工艺来形成陶瓷涂层,例如气胶沉积、电镀、物理气相沈积(PVD)、离子辅助沉积(IAD)以及化学气相沉积(CVD)。注意,陶瓷涂布工艺可产生具有如孔洞、裂缝与不完全键结区域等小空洞的陶瓷涂层,该陶瓷涂层具有明显不同于块材的陶瓷材料(例如陶瓷基板)的结构特性。
在一实施例中,该陶瓷涂层由Y2O3粉末所制成。或者是,该陶瓷涂层可以是由Y2O3粉末、ZrO2粉末以及Al2O3粉末的混合物所制成的HPM陶瓷复合物。在一实施例中,该HPM陶瓷复合物含有77%的Y2O3、15%的ZrO2以及8%的Al2O3。在另一实施例中,该HPM陶瓷复合物含有63%的Y2O3、23%的ZrO2以及14%的Al2O3。在另外一个实施例中,该HPM陶瓷复合物含有55%的Y2O3、20%的ZrO2以及25%的Al2O3。相对百分率也可为摩尔比率。举例而言,该HPM陶瓷可含有77摩尔%的Y2O3、15摩尔%的ZrO2以及8摩尔%的Al2O3。这些陶瓷粉末的其他分配也可用于HPM材料。
该陶瓷涂层初始具有弱黏结强度(例如约3兆帕(MPa)),这会使陶瓷涂层随时间(例如由于将陶瓷物品使用于富含等离子体工艺的结果)而分层或自陶瓷基板剥离脱落。此外,陶瓷涂层具有初始孔隙度与初始裂缝量。这些孔洞与裂缝会使处理气体与清洁化学物质在处理期间渗入陶瓷涂层中并与下方的陶瓷基板反应。这类反应会在陶瓷涂层下方产生气体、水气或不同材料,而在陶瓷涂层下方产生气泡。这些气泡会进一步使陶瓷涂层与陶瓷基板分离。这种分离会对经处理的材料(例如经处理的晶圆)产生较大量的粒子污染。此外,即使没有剥离,气泡、裂缝与孔洞(以及其他的表面缺陷)本身也会对经处理基板产生粒子污染。
在一实例中,在陶瓷涂层中的孔洞、裂缝、空洞与其他表面缺陷可包含断裂的(或开放的)键,该等断裂的(或开放的)键为高能量位置。这些表面缺陷会捕集粒子。举例而言,粒子会在表面缺陷处与陶瓷物品形成弱的断键(broken bonds)。在等离子体处理期间,等离子体会破坏这些弱的断键,并自陶瓷涂层移除部分的粒子。陶瓷粒子接着沉积在经处理的基板上。此外,等离子体会破坏陶瓷物品在缺陷位址处、在孔洞处、在裂缝处等的键结,此举会侵蚀陶瓷涂层,并产生其他的粒子。
在方块160处,以约每分钟0.1℃至约每分钟20℃的升降温速率来加热该陶瓷物品。该陶瓷物品为脆性,且在暴露于极度温度变化下时会破裂。因此,需使用够慢而足以避免陶瓷物品破裂的升降温速率。可预期对于某些陶瓷而言,大于每分钟20℃的升降温速率也是可行的。因此,在某些具体实施例中,可以使用不会导致破裂且超过每分钟20℃的升降温速率。
会使陶瓷物品发生破裂的温度变化依陶瓷物品的组成而定。举例而言,可以每分钟10℃或更高的升降温速率来加热Al2O3而不会破裂。然而,若以比每分钟约5℃更快速的升降温速率来加热Y2O3,Y2O3则会破裂。在一实施例中,对Y2O3与HPM陶瓷复合物的陶瓷涂层使用约每分钟0.1至5℃的升降温速率。在进一步的实施例中,对于由Y2O3与HPM陶瓷复合物所制成的陶瓷涂层使用每分钟约5℃的升降温速率。一般而言,陶瓷物品是在周围温度或接近周围温度下开始,且以升降温速率缓慢加热至预定温度。
该陶瓷物品被加热至直到达到指定温度或温度范围为止。该指定温度介于约1000℃至约1800℃的范围。所使用的该指定温度依陶瓷物品的组成或过渡层的指定目标厚度而定。在一实施例中,针对具有氧化铝基板与HPM陶瓷涂层或钇氧化物(Y2O3)的陶瓷涂层的陶瓷物品使用1400℃至1500℃的温度。
在方块165,该陶瓷物品在该指定温度下或在该温度范围内的一或多个温度下被加热处理达24小时的历时。所使用的指定历时可依据陶瓷物品的组成以及陶瓷物品的需要性能特性而定。举例而言,该指定历时可依据该过渡层的目标温度而定。
如上述说明,该陶瓷涂层具有大量的表面缺陷以及留在这些表面缺陷中的粒子。热处理可减少或消除这些缺陷及/或粒子。具体而言,热处理会使粒子熔化及/或使在表面曲线区域处的一部分陶瓷涂层熔化。熔化的粒子会与在表面缺陷区域处的陶瓷涂层一起流动。熔化的粒子接着即重新沉积在陶瓷涂层上,并与在这些表面缺陷区域处的陶瓷涂层形成未断键。所产生的未断键会比先前使粒子与陶瓷涂层结合的断键更强许多,因此,在等离子体蚀刻工艺中,粒子会变得较不会自陶瓷涂层移除,且缺陷区域也变得较不会受到磨蚀。
此外,陶瓷涂层一般具有相对高的孔隙度与相对高的裂缝量。热处理会使孔洞与裂缝缩减及/或被移除。孔洞与裂缝会基于上述陶瓷涂层的相同熔化与重新沉积而缩减或消失。举例而言,在孔洞或裂缝处的陶瓷涂层会熔化、然后重新沉积,填充及/或愈合孔洞或裂缝。
在一实施例中,该陶瓷涂层与该陶瓷基板会在热处理工艺期间反应而形成过渡层。当陶瓷涂层与陶瓷基板由会在对热暴露时反应的材料所组成时,即会形成过渡层。举例而言,若陶瓷基板为Al2O3且陶瓷涂层为HPM陶瓷复合物,则该陶瓷涂层与该陶瓷基板将会在热处理期间反应而形成YAG过渡层。在另一实例中,若陶瓷基板为Al2O3且陶瓷涂层为Y2O3,则该陶瓷涂层与该陶瓷基板会在热处理期间反应而形成YAG过渡层。其他的陶瓷涂层材料与陶瓷基板材料的组合将形成其他的过渡层。
特别地,该过渡层是非反应性与非孔隙层。因此,在使用经热处理的陶瓷物品的后续处理期间,处理气体会渗入陶瓷涂层,但不会渗入过渡层。因此,该过渡层可避免处理气体与陶瓷基板反应。这可最小化或避免气泡发生,且可改善陶瓷涂层的剥离性能与黏结强度(键结强度)。
虽然过渡层具有各种有利的效应,但若过渡层变得过厚时,过渡层会变得有问题。有些过渡层将具有不同于陶瓷涂层及/或陶瓷基板的膨胀系数。因此,若过渡层比阈值厚度(例如约5微米)更厚,则该过渡层会在后续处理中于陶瓷涂层中产生裂缝。举例而言,HPM陶瓷复合物与氧化铝具有大致相等的膨胀系数,但是YAG过渡层则具有不同于HPM陶瓷复合物与氧化铝的膨胀系数。因此,当YAG过渡层厚于约5微米(μm)时,YAG过渡层的膨胀与收缩会使陶瓷涂层破裂。
过渡层以与温度和时间有关的速率成长。当温度与热处理历时增加时,过渡层的厚度也会增加。因此,用于热处理陶瓷物品的温度(或多个温度)与历时应被选以形成不厚于约5微米的过渡层。在一实施例中,温度与历时被选以可使约为0.1微米至约5微米的过渡层被形成。在一实施例中,过渡层具有足以避免气体在处理期间与陶瓷基板反应的最小厚度(例如约0.1微米)。在一实施例中,该过渡层具有1微米至2微米的目标厚度。
热处理也可使陶瓷涂层的晶粒大小增加。当温度与热处理历时增加时,陶瓷涂层的晶粒大小也会增加。晶粒大小的增加导致较少的晶界。晶界则比陶瓷的晶粒更容易受到等离子体磨蚀。因此,晶粒大小的增加可使陶瓷涂层在后续处理中较不易产生粒子污染。因此,可根据陶瓷涂层的目标晶粒大小来选择热处理温度与历时。
对于氧化铝陶瓷基板与HPM或氧化钇陶瓷涂层而言,进行1500℃、热处理历时约为3小时至6小时的热处理。在一实施例中,氧化钇或HPM陶瓷复合物的陶瓷涂层的热处理历时为约4小时。
在一实施例中,该陶瓷物品在热处理历程中维持为单一温度。或者是,在热处理期间,该陶瓷物品被加热及/或冷却至该温度范围内的多个不同温度。举例而言,该陶瓷物品于1500℃的温度下热处理4小时,然后在1700℃的温度下热处理2小时,然后在1000℃的温度下另外热处理3小时。注意当使用多个不同的热处理温度时,该陶瓷物品以该升降温速率进行加热及/或冷却,以于热处理温度之间转换。
在方块170处,陶瓷物品以升降温速率进行冷却。在一实施例中,陶瓷物品以与用以加热陶瓷物品的升降温速率相同的升降温速率来进行冷却。在另一实施例中,可使用不同的升降温速率来加热该陶瓷物品。在关于经处理基板的粒子污染、抗等离子体磨蚀性、黏结强度、孔隙度、裂缝的数量与大小以及抗剥离性上,所产生的经热处理的陶瓷物品的陶瓷涂层都具有增进的性能。此外,所产生的经热处理的陶瓷物品具有在陶瓷涂层与陶瓷基板之间的过渡层。因此,陶瓷盖板、陶瓷喷嘴、处理套件以及其他的陶瓷内部处理腔室组件利用工艺150来进行热处理,以增进制造的产品的产量。此外,工艺150所应用的陶瓷物品具有降低的替换频率,且可减少设备停工期。
注意工艺150可在已经在陶瓷基板上形成陶瓷涂层之后被执行作为陶瓷物品的制造工艺的一部分。此外,可对经使用的陶瓷物品周期性地执行工艺150,以治愈或修复这些陶瓷物品。举例而言,在使用之前利用工艺150对陶瓷物品进行热处理,然后每数月一次、一年一次、一年两次或以某些其他频率利用工艺150再进行热处理。执行工艺150的频率可依据陶瓷物品使用的等离子体蚀刻及/或等离子体清洁配方而定。举例而言,若该陶瓷物品频繁地暴露于特别严峻的等离子体环境,则以较高频率来热处理该陶瓷物品。
暴露于等离子体会使陶瓷涂层随时间而磨蚀及/或腐蚀。举例而言,等离子体会使断键发生于陶瓷涂层的表面处,会产生会污染经处理的基板的陶瓷粒子,会导致在陶瓷涂层表面处的缺陷,会使陶瓷涂层自陶瓷基板剥离等。因此,当陶瓷物品老化时,可能会产生更多的粒子污染。可对这种老化的陶瓷物品进行热处理工艺150,以回复因腐蚀性等离子体环境所产生的损害。除了新制成的陶瓷物品以外,热处理也可为已使用的陶瓷物品修复缺陷及减少粒子。因此,可对已使用的陶瓷物品执行工艺150,以延长陶瓷物品的使用寿命。
注意除了修复表面缺陷以及使粒子减至最少以外,热处理工艺150也可用以干式清洁陶瓷物品。暴露于等离子体环境会使聚合物形成于陶瓷物品的表面上。这些聚合物会在后续处理期间在基板上产生粒子污染。通常会执行周期性地湿式清洁工艺来移除陶瓷物品上的聚合物。在一实施例中,执行热处理工艺150来取代湿式清洁工艺。热处理工艺150会使涂布陶瓷物品的聚合物在高温环境中与空气或其他气体反应,此反应会使聚合物变为气态,并离开陶瓷物品的表面。因此,热处理工艺150可用以清洁陶瓷物品以及用以修复陶瓷物品的表面。注意用于后续热处理工艺的温度及/或历时可与用于初始热处理工艺的温度及/或历时不同。
图2A说明根据本发明实施例的在利用热处理来处理陶瓷涂层之前以及在利用热处理来处理陶瓷物品之后的陶瓷涂层的显微照片202-216。在显微照片202-216中所显示的陶瓷涂层是具有Y4Al2O9与Y2-xZrxO3的HPM陶瓷复合物。
显微照片202显示在热处理之前的陶瓷物品的实例。显微照片204显示在显微照片202中所示的区域208的放大视图。区域208相对较无表面缺陷。显微照片204说明陶瓷涂层的晶粒大小。显微照片206显示在显微照片202中的区域210的放大视图。区域210说明了陶瓷涂层的表面缺陷与表面粒子。
显微照片212显示在热处理之后的显微照片202所示的实例。如图所示,表面缺陷量已因热处理而减少。显微照片214显示了显微照片212中所示的区域218的放大视图。区域218是相对较无表面缺陷与表面粒子。显微照片214说明了陶瓷涂层的晶粒大小比显微照片204中所示的晶粒大小更大。显微照片216显示了显微照片212中所示区域220的放大视图。区域220说明了陶瓷涂层的表面缺陷。然而,显微照片216中所显示的表面缺陷比显微照片206中所示的表面缺陷较不严重,且表面粒子亦已实质上被移除。
图2B显示在根据本发明实施例的使用热处理来处理陶瓷涂层之前以及在已经在不同温度与处理历时下使用热处理来处理该陶瓷涂层之后的陶瓷涂层表面的其他显微照片222-234(放大倍率为4000倍)。显微照片222显示在热处理之前的陶瓷涂层的实例。显微照片224显示在1300℃的温度下进行4小时热处理之后的陶瓷涂层的实例。显微照片226显示在1400℃的温度下进行4小时热处理之后的陶瓷涂层的实例。显微照片228显示在1500℃的温度下进行4小时热处理之后的陶瓷涂层的实例。显微照片234显示在1600℃的温度下进行4小时热处理之后的陶瓷涂层的实例。如图所示,在固定的热处理时间下,温度的增加会使裂缝的大小与数量减少。此外,温度的增加会使孔洞的大小与数量减少(因而降低孔隙率)。
显微照片230说明在温度为1300℃下进行24小时热处理之后的陶瓷涂层的实例。显微照片232说明在温度为1400℃下进行24小时热处理之后的陶瓷涂层的实例。如图所示,对陶瓷涂层进行热处理达4小时以上并不会明显进一步减少孔隙度或裂缝量。因此,在一实施例中,热处理历时大致为4小时。
图2C显示在根据本发明实施例的使用热处理来处理陶瓷涂层之前以及在已经在不同温度与处理历时下使用热处理来处理该陶瓷涂层之后的陶瓷涂层表面的其他显微照片236-248(放大倍率为20000倍)。显微照片236显示在热处理之前的陶瓷涂层。显微照片238显示在温度为1300℃下进行4小时热处理之后的陶瓷涂层。显微照片240显示在温度为1400℃下进行4小时热处理之后的陶瓷涂层。显微照片242显示在温度为1500℃下进行4小时热处理之后的陶瓷涂层。显微照片248显示在温度为1600℃下进行4小时热处理之后的陶瓷涂层。显微照片248中所示的晶粒大小大于显微照片242中所示的晶粒大小,显微照片242中所示的晶粒大小大于显微照片240中所示的晶粒大小,依此类推。因此,热处理温度的增加会导致陶瓷涂层的晶粒大小的增加。
显微照片244显示在温度为1300℃下进行24小时热处理之后的陶瓷涂层。显微照片246显示在温度为1400℃下进行24小时热处理之后的陶瓷涂层。因此,热处理历时的增加也会使陶瓷涂层的晶粒大小增加。在热处理之前,陶瓷涂层的晶粒大小初始为纳米尺寸,且最后因热处理而成长为大于纳米尺寸。热处理的温度及/或历时基于目标晶粒大小而加以选择。增加处理历时会导致不均匀的晶粒大小,如显微照片244与246中所示。
图2D显示根据本发明实施例在陶瓷涂层被处理之前以及在该陶瓷涂层已被处理之后的陶瓷涂层表面的其他显微照片250-256(放大倍率为10000倍)。显微照片250与254显示在热处理之前,陶瓷涂层包含大量的陶瓷粒子。显微照片252与256显示在热处理之后,陶瓷粒子已减少或消除。在一实施例中,表面粒子计数可减少达约93%。
图3A说明显微照片302-304,显微照片302-304显示了根据本发明的一实施例的在热处理前后的陶瓷物品截面侧视图。显微照片302显示该陶瓷物品包括陶瓷基板314和在该陶瓷基板314上方的陶瓷涂层310。所述的陶瓷基板314为氧化铝,而所述的陶瓷涂层310为HPM陶瓷复合物。
显微照片304显示陶瓷基板314与陶瓷涂层310以及已经形成于陶瓷涂层310与陶瓷基板314之间的过渡层312。所述过渡层具有约1微米至2微米的厚度。
同时也显示了过渡层的元素图谱308。元素图谱308根据能量色散X射线光谱仪(EDX)而提供过渡层312的元素分析。元素图谱308显示过渡层312由碳、氧、铝和钇所组成。元素图谱308更显示了过渡层312中的元素原子浓度大致为18%的碳、46%的氧、23%的铝以及13%的钇。因此,显示过渡层312为Y3Al5O12(YAG)。过渡层会明显增进陶瓷涂层对陶瓷基板的黏结强度。
图3B说明了显示根据本发明实施例的在不同温度与不同历时下进行热处理前后的陶瓷物品的4,000倍放大倍率截面侧视图的显微照片。显微照片320显示,在热处理之前,在陶瓷涂层310与陶瓷基板314之间有界面。显微照片322显示在1300℃下进行4小时热处理之后的陶瓷涂层310与陶瓷基板314之间的界面。显微照片324显示在1400℃下进行4小时热处理之后的陶瓷涂层310与陶瓷基板314之间的界面。显微照片326显示在1500℃下进行4小时热处理之后的陶瓷涂层310与陶瓷基板314之间的界面。显微照片332显示在1600℃下进行4小时热处理之后的陶瓷涂层310与陶瓷基板314之间的界面。显微照片328显示在1300℃下进行24小时热处理之后的陶瓷涂层310与陶瓷基板314之间的界面。显微照片330显示在1300℃下进行24小时热处理之后的陶瓷涂层310与陶瓷基板314之间的界面。
如显微照片326、330与332所示,过渡层312在某些条件下的热处理期间形成于陶瓷涂层310与陶瓷基板314之间。在热处理温度为1300℃下,无论热处理历时多久,都没有过渡层形成。在热处理温度为1400℃下,在处理4小时之后并无法侦测到过渡层,但是可在处理24小时之后侦测到过渡层312。在热处理温度为1500℃与1600℃下,在处理4小时之后即可侦测到过渡层。
显示在增加的处理温度与增加的处理历时下会形成较厚的过渡层。对于过渡层厚度而言,温度比历时具有更大影响。如图所示,历时为4小时、温度1500℃的热处理所产生的过渡层312厚度比历时为24小时、温度1400℃的热处理所产生的过渡层312厚度稍微更厚些。
图3C说明了显示根据本发明具体实施例的在热处理前后的陶瓷物品的20,000倍放大倍率截面侧视图的显微照片350-356。显微照片350与354显示在热处理之前在陶瓷涂层310与陶瓷基板314之间的界面。在热处理之前,在陶瓷基板314与陶瓷涂层310之间显示有间隙370存在。这些间隙会导致陶瓷涂层310后来从陶瓷基板314分层。显微照片352与356显示过渡层312于热处理期间形成于陶瓷涂层310与陶瓷基板314之间的界面处。此外,显微照片352与356显示在热处理之前所存在的间隙370因热处理的结果而消除或减少。此可降低分层的可能性,且可提升陶瓷涂层310对陶瓷基板314的黏结或键结强度。
图3D说明根据本发明的一实施例的在热处理前后的HPM陶瓷复合涂层的相态组成比较。如图所示,热处理并不明显改变陶瓷涂层或陶瓷基板的相态组成。
陶瓷涂层的表面型态可以表面粗糙度参数及/或表面均匀度参数来表示。表面型态也可使用孔隙度、裂缝及/或空洞的参数。代表孔隙度的测量参数可包含孔隙计数及/或平均孔隙大小。同样地,代表空洞及/或裂缝的测量参数包含平均空洞/裂缝大小及/或空洞/裂缝计数。
代表粒子计数的测量参数为胶带剥离测试粒子计数与液体粒子计数(LPC)。胶带测试通过将黏性胶带贴附在陶瓷涂层上、然后撕除胶带并计算黏在胶带上的粒子数量而进行。LPC通过将陶瓷物品放置在水浴(例如去离子(DI)水浴)中并对水浴进行声波震荡、接着可使用例如激光计数器来计数脱离而进入溶液中的粒子数量而进行。
黏结强度通过对陶瓷涂层施加一力(例如以MPa来测量)、直到陶瓷涂层自陶瓷基板剥离为止而决定。在一实施例中,陶瓷涂层的黏结强度在热处理之前是4MPa的程度,而在热处理之后则为12MPa的程度。因此,在热处理之后,陶瓷涂层对陶瓷基板的黏结强度会比在热处理之前的黏结强度大致强三倍。
陶瓷涂层的黏结强度、孔隙度、裂缝与粒子计数值可因热处理而提升。此外,晶粒大小会因热处理而增加,而硬度会因热处理而降低。经验上证据也显示,经陶瓷涂布的盖板与经陶瓷涂布的喷嘴在等离子体蚀刻处理期间所产生的粒子污染因热处理而减少。经验上证据也显示,因热处理的结果,可减少陶瓷涂层自陶瓷基板的剥离。此外,陶瓷涂层的表面粗糙度也因热处理而降低。
注意对于高达约1200℃的热处理而言,粒子与陶瓷涂层表面之间的互相作用是由范德华(van der Waals)力所主导,根据下式:
其中F为力,A为面积,而H为距离。随着热处理温度从室温增加至约500℃,范德华力会减弱,而热膨胀会导致距离H的增加。当热处理温度从约500℃增加至约1200℃,范德华力会至少因距离H的减少而增强。这种距离的减少因为基板表吸收了粒子及/或变形所致。
在介于约1200℃与1800℃之间的温度下,在粒子与陶瓷涂层表面之间会形成液体膜。在约1200℃与1500℃之间,液体薄膜可以是薄液体膜,而在约1500℃与1800℃之间,该液体膜可以为厚液体膜。在高达约1800℃的温度下,粒子与陶瓷涂层表面之间的互相作用通过毛细力而由液体间互相作用所主导,根据下式:
F=4πγRcosθ (式2)
其中F为力,γ为液体-空气表面张力,R为粒子与基板表面之间的界面等效半径,而θ为接触角。在这些温度下,粒子扩散至液体中,且会在对应晶粒上重新成长。这会使粒子从基板表面移除,即使是在陶瓷物品已经冷却之后亦然。
对于HPM陶瓷复合物与钇氧化物而言,1800℃为烧结温度。因此,在大致为1800℃或高于约1800℃的温度下,在粉末之间的陶瓷涂层中形成液态相。这些粉末会熔化为液体,并且成长为尺寸更大的晶粒。原子会从高能量晶粒扩散至低能量晶粒,直到达到平衡为止。因此,在一实施例中,在低于约1800℃的温度下进行热处理。
前述说明提出各种具体细节(例如具体系统、组件、方法等的实例),以提供对本发明的数个实施例的良好理解。然而,本领域技术人员明显可知,在无这些具体细节下亦可实施本发明的至少某些实施例。在其他例子中,习知组件或方法并不详细加以说明、或是仅以简单方块图形式来呈现,以避免不需要地混淆本发明。因此,所提出的具体细节仅为例示,特定的实施方式可随这些例示细节而变化,且仍被视为落于本发明的范畴内。
在本说明书中,当述及“一个实施例”或“一实施例”时,是表示与该实施例相关说明的一特定特征、结构或特性包含于至少一个实施例中。因此,当本说明书中各个部分出现用语“在一个实施例中”或“在一具体实施例中”时,并不是必须要全部都指同一个实施例。此外,用语“或”是用以表示包含性的“或”而非排除性的“或”。
本文所述的方法的操作虽以特定顺序来说明,但每一方法的操作次序可加以调整,使得某些操作可以一反向次序而执行,或使得某些操作可至少部分与其他操作同时执行。在另一具体实施例中,不同操作的指令或次操作可为周期性及/或交替的形式。
应理解上述说明仅为说明、而非限制之用。在研读并理解上述说明之后,本领域技术人员即可明显得知多其他具体实施例。因此,本发明的范畴应参照如附权利要求及这些权利要求所记载的等效例的完整范畴而决定。

Claims (20)

1.一种陶瓷物品,所述陶瓷物品包括陶瓷基板与所述陶瓷基板上的陶瓷涂层,所述陶瓷物品已通过工艺来制备,所述工艺包括:
执行热喷涂工艺以在所述陶瓷基板上形成所述陶瓷涂层,所述陶瓷涂层具有初始孔隙度与初始裂缝量;
以约每分钟0.1℃至约每分钟20℃的升降温速率,加热所述陶瓷物品至介于约1000℃与约1800℃间的温度范围;
以所述温度范围内的一或多个温度执行对所述陶瓷物品的热处理达约24小时的历时,从而使所述陶瓷涂层的孔隙度降低到所述初始孔隙度之下并且使所述陶瓷涂层的裂缝量减少到所述初始裂缝量之下,其中在所述陶瓷涂层的烧结温度之下热处理所述陶瓷物品从而防止所述陶瓷涂层的烧结;以及
在所述热处理之后以所述升降温速率来冷却所述陶瓷物品,其中在所述热处理之后所述陶瓷涂层未被烧结、具有在所述初始裂缝量之下的减少的裂缝量并且具有在所述初始孔隙度之下的降低的孔隙度。
2.如权利要求1所述的陶瓷物品,其特征在于,所述陶瓷涂层另外具有初始粒子计数与初始黏结强度,且其中在所述热处理之后,所述陶瓷涂层具有降低的粒子计数与增加的黏结强度。
3.如权利要求1所述的陶瓷物品,其特征在于,所述陶瓷基板与所述陶瓷涂层各自实质上由Y2O3、Al2O3、Y4Al2O9、Y3Al5O12(YAG)、石英、SiC、Si3N4、AlN或SiC-Si3N4中的至少一种组成,且其中所述陶瓷基板具有与所述陶瓷涂层不同的组成。
4.如权利要求3所述的陶瓷物品,其特征在于,所述陶瓷基板与所述陶瓷涂层包括将在所述热处理期间反应从而在所述陶瓷涂层与所述陶瓷基板之间形成过渡层的陶瓷。
5.如权利要求1所述的陶瓷物品,其特征在于,所述热处理使所述陶瓷涂层与所述陶瓷基板反应从而在所述陶瓷基板与所述陶瓷涂层之间形成过渡层,且其中所述历时与所述温度范围经选择以使所述过渡层具有1微米至5微米的厚度。
6.如权利要求5所述的陶瓷物品,其特征在于:
所述陶瓷基板包括Al2O3
所述陶瓷涂层包括Y2O3-ZrO2的固体溶液和Y4Al2O9;以及
所述过渡层包括Y3Al5O12
7.如权利要求1所述的陶瓷物品,其特征在于,所述陶瓷物品是已在等离子体蚀刻工艺中使用的翻新陶瓷物品,且其中所述加热、所述热处理和所述冷却的执行已在所述等离子体蚀刻工艺之后执行来减少由所述等离子体蚀刻工艺导致的增加的表面缺陷密度。
8.如权利要求7所述的陶瓷物品,其特征在于,所述等离子体蚀刻工艺使聚合物形成在所述陶瓷物品上,其中在存在氧的情况下执行所述热处理通过使所述聚合物与所述氧反应从而变成气体来干式清洁所述陶瓷物品,且其中所述翻新陶瓷物品实质上没有所述聚合物。
9.如权利要求1所述的陶瓷物品,其特征在于,所述陶瓷物品是用于等离子体蚀刻反应器的处理腔室组件。
10.如权利要求1所述的陶瓷物品,其特征在于,所述热处理使所述陶瓷涂层的晶粒大小增加,且其中所述历时与所述温度范围经选择使得所述陶瓷涂层具有目标晶粒大小。
11.一种陶瓷物品,包括:
陶瓷基板;
在所述陶瓷基板上的陶瓷涂层,其中所述陶瓷涂层是具有与所述陶瓷基板不同的组成的非烧结陶瓷涂层;以及
在所述陶瓷基板与所述陶瓷涂层之间的过渡层,所述过渡层包括来自所述陶瓷涂层的第一元素,所述第一元素已与来自所述陶瓷基板的第二元素反应,其中所述过渡层具有约0.1微米至约5微米的厚度。
12.如权利要求11所述的陶瓷物品,其特征在于,所述陶瓷基板包括Al2O3,所述过渡层包括Y3Al5O12(YAG),以及所述陶瓷涂层包括Y4Al2O9的化合物与Y2O3-ZrO2的固体溶液。
13.如权利要求11所述的陶瓷物品,其特征在于,所述陶瓷基板包括Al2O3,所述过渡层包括Y3Al5O12(YAG),以及所述陶瓷涂层包括Y2O3
14.如权利要求11所述的陶瓷物品,其特征在于,所述陶瓷基板与所述陶瓷涂层各自实质上由Y2O3、Al2O3、Y4Al2O9、Y3Al5O12(YAG)、石英、SiC、Si3N4、AlN或SiC-Si3N4中的至少一种组成,且其中所述陶瓷基板具有与所述陶瓷涂层不同的组成。
15.如权利要求11所述的陶瓷物品,其特征在于,所述陶瓷物品是用于等离子体蚀刻器的处理腔室组件。
16.如权利要求11所述的陶瓷物品,其特征在于,以12兆帕的黏结强度将所述陶瓷涂层黏结到所述陶瓷基板。
17.如权利要求11所述的陶瓷物品,其特征在于,所述陶瓷物品是翻新陶瓷物品。
18.如权利要求11所述的陶瓷物品,其特征在于,所述陶瓷涂层包括在所述陶瓷涂层的表面处的多个熔化的粒子,所述多个熔化的粒子具有相对所述陶瓷涂层的未断键。
19.如权利要求11所述的陶瓷物品,其特征在于,所述过渡层是非孔隙的且不与工艺气体反应。
20.一种陶瓷物品,包括:
陶瓷基板,所述陶瓷基板包括Al2O3
在所述陶瓷基板上的陶瓷涂层,其中所述陶瓷涂层是包括Y4Al2O9的化合物与Y2O3-ZrO2的固体溶液的非烧结陶瓷涂层;以及
在所述陶瓷基板与所述陶瓷涂层之间的过渡层,所述过渡层包括Y3Al5O12,其中所述过渡层具有约1微米至约2微米的厚度。
CN201710692398.3A 2012-02-22 2013-02-20 陶瓷物品 Active CN107382376B (zh)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US201261602020P 2012-02-22 2012-02-22
US61/602,020 2012-02-22
US201261619854P 2012-04-03 2012-04-03
US61/619,854 2012-04-03
US13/745,589 2013-01-18
US13/745,589 US9212099B2 (en) 2012-02-22 2013-01-18 Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
CN201380010899.9A CN105492400B (zh) 2012-02-22 2013-02-20 具有陶瓷涂层的经热处理陶瓷基板及用于经涂布陶瓷的热处理方法

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201380010899.9A Division CN105492400B (zh) 2012-02-22 2013-02-20 具有陶瓷涂层的经热处理陶瓷基板及用于经涂布陶瓷的热处理方法

Publications (2)

Publication Number Publication Date
CN107382376A true CN107382376A (zh) 2017-11-24
CN107382376B CN107382376B (zh) 2021-08-17

Family

ID=48982492

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201810096333.7A Expired - Fee Related CN108249957B (zh) 2012-02-22 2013-02-20 干式清洁陶瓷物品的方法
CN201710692398.3A Active CN107382376B (zh) 2012-02-22 2013-02-20 陶瓷物品

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201810096333.7A Expired - Fee Related CN108249957B (zh) 2012-02-22 2013-02-20 干式清洁陶瓷物品的方法

Country Status (6)

Country Link
US (4) US9212099B2 (zh)
JP (4) JP6293064B2 (zh)
KR (2) KR102067107B1 (zh)
CN (2) CN108249957B (zh)
TW (1) TWI573778B (zh)
WO (1) WO2013126466A1 (zh)

Families Citing this family (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en) * 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US9090046B2 (en) 2012-04-16 2015-07-28 Applied Materials, Inc. Ceramic coated article and process for applying ceramic coating
US9604249B2 (en) 2012-07-26 2017-03-28 Applied Materials, Inc. Innovative top-coat approach for advanced device on-wafer particle performance
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
US9708713B2 (en) 2013-05-24 2017-07-18 Applied Materials, Inc. Aerosol deposition coating for semiconductor chamber components
US9865434B2 (en) 2013-06-05 2018-01-09 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9711334B2 (en) 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US10468235B2 (en) 2013-09-18 2019-11-05 Applied Materials, Inc. Plasma spray coating enhancement using plasma flame heat treatment
US9440886B2 (en) 2013-11-12 2016-09-13 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
KR102370665B1 (ko) 2014-03-05 2022-03-03 어플라이드 머티어리얼스, 인코포레이티드 챔버 입자들을 감소시키기 위한 중요 챔버 구성요소 표면 개선
US9869013B2 (en) 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US10730798B2 (en) 2014-05-07 2020-08-04 Applied Materials, Inc. Slurry plasma spray of plasma resistant ceramic coating
US10196728B2 (en) 2014-05-16 2019-02-05 Applied Materials, Inc. Plasma spray coating design using phase and stress control
US9460898B2 (en) 2014-08-08 2016-10-04 Applied Materials, Inc. Plasma generation chamber with smooth plasma resistant coating
CN105428195B (zh) * 2014-09-17 2018-07-17 东京毅力科创株式会社 等离子体处理装置用的部件和部件的制造方法
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US20160254125A1 (en) * 2015-02-27 2016-09-01 Lam Research Corporation Method for coating surfaces
CN104761151B (zh) * 2015-03-19 2017-03-15 胡宇杰 3d玻璃板材的制作方法
KR102447682B1 (ko) * 2015-05-29 2022-09-27 삼성전자주식회사 코팅층 형성 방법, 플라즈마 처리 장치 및 패턴 형성 방법
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
CN105603352B (zh) * 2016-01-15 2018-07-24 中国科学院上海硅酸盐研究所 Al2O3/YAG非晶/共晶复合陶瓷涂层及其制备方法
TWI632059B (zh) 2016-02-19 2018-08-11 新日鐵住金股份有限公司 陶瓷積層體、陶瓷絕緣基板、以及陶瓷積層體的製造方法
US20170291856A1 (en) * 2016-04-06 2017-10-12 Applied Materials, Inc. Solution precursor plasma spray of ceramic coating for semiconductor chamber applications
US11326253B2 (en) 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
JP6067166B1 (ja) * 2016-05-13 2017-01-25 三菱日立パワーシステムズ株式会社 コーティング構造、これを有するタービン部品及びコーティング構造の製造方法
US9850573B1 (en) 2016-06-23 2017-12-26 Applied Materials, Inc. Non-line of sight deposition of erbium based plasma resistant ceramic coating
US10975469B2 (en) 2017-03-17 2021-04-13 Applied Materials, Inc. Plasma resistant coating of porous body by atomic layer deposition
US10774006B2 (en) 2017-05-10 2020-09-15 Applied Materials, Inc. Microwave and induction heat treatment of ceramic coatings
US10766824B2 (en) * 2017-11-08 2020-09-08 Applied Materials, Inc. Methods of minimizing particles on wafer from plasma spray coatings
US11047035B2 (en) 2018-02-23 2021-06-29 Applied Materials, Inc. Protective yttria coating for semiconductor equipment parts
US11401599B2 (en) 2018-06-18 2022-08-02 Applied Materials, Inc. Erosion resistant metal silicate coatings
US11667575B2 (en) 2018-07-18 2023-06-06 Applied Materials, Inc. Erosion resistant metal oxide coatings
JP7331762B2 (ja) * 2019-04-12 2023-08-23 信越化学工業株式会社 溶射材料、その製造方法、及び溶射皮膜の形成方法
JP7312064B2 (ja) * 2019-09-10 2023-07-20 日本特殊陶業株式会社 溶射膜被覆部材の製造方法
JP2023502137A (ja) * 2019-11-22 2023-01-20 ラム リサーチ コーポレーション プラズマチャンバの低温焼結コーティング
WO2021150757A1 (en) * 2020-01-23 2021-07-29 Lam Research Corporation Yttrium aluminum coating for plasma processing chamber components
KR102439674B1 (ko) * 2022-07-25 2022-09-02 주식회사 코닉스 내플라즈마성이 향상된 알루미나 세라믹 소재 및 내플라즈마성이 향상된 알루미나 세라믹 소재의 제조 장치
CN115677352B (zh) * 2022-11-23 2023-09-26 中国科学院上海硅酸盐研究所 一种高强度AlON透明陶瓷材料及其制备方法

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020018921A1 (en) * 2000-04-18 2002-02-14 Ngk Insulators, Ltd. Halogen gas plasma-resistive members and method for producing the same, laminates, and corrosion-resistant members
CN1443147A (zh) * 2000-05-19 2003-09-17 英属哥伦比亚大学 化学制备粘接复合氢氧化物陶瓷的方法
CN101293771A (zh) * 2007-04-27 2008-10-29 应用材料股份有限公司 降低暴露于含卤素等离子体表面的腐蚀速率的装置和方法
US20090297718A1 (en) * 2008-05-29 2009-12-03 General Electric Company Methods of fabricating environmental barrier coatings for silicon based substrates
CN1598061B (zh) * 2003-08-19 2011-05-18 应用材料公司 从陶瓷基片上去除含有钽沉积层和铝电弧喷涂层的复合涂层的方法
CN102209691A (zh) * 2008-11-19 2011-10-05 阿雷瓦核废料回收公司 一种用玻璃和陶瓷的混合物涂覆金属坩埚部件的方法
CN102391015A (zh) * 2011-07-27 2012-03-28 西安交通大学 SiC陶瓷表面处理方法及其用途

Family Cites Families (245)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3796182A (en) 1971-12-16 1974-03-12 Applied Materials Tech Susceptor structure for chemical vapor deposition reactor
SE8000480L (sv) 1979-02-01 1980-08-02 Johnson Matthey Co Ltd Artikel lemplig for anvendning vid hoga temperaturer
US4439248A (en) 1982-02-02 1984-03-27 Cabot Corporation Method of heat treating NICRALY alloys for use as ceramic kiln and furnace hardware
US4676994A (en) * 1983-06-15 1987-06-30 The Boc Group, Inc. Adherent ceramic coatings
US4642440A (en) 1984-11-13 1987-02-10 Schnackel Jay F Semi-transferred arc in a liquid stabilized plasma generator and method for utilizing the same
US4704299A (en) 1985-11-06 1987-11-03 Battelle Memorial Institute Process for low temperature curing of sol-gel thin films
US4695439A (en) 1986-09-25 1987-09-22 Gte Products Corporation Yttrium oxide stabilized zirconium oxide
US4773928A (en) 1987-08-03 1988-09-27 Gte Products Corporation Plasma spray powders and process for producing same
CN1036286A (zh) 1988-02-24 1989-10-11 珀金·埃莱姆公司 超导陶瓷的次大气压等离子体喷涂
US4880614A (en) 1988-11-03 1989-11-14 Allied-Signal Inc. Ceramic thermal barrier coating with alumina interlayer
JPH0775893A (ja) * 1993-09-03 1995-03-20 Hitachi Ltd 構造物の補修方法および予防保全方法
US5381944A (en) 1993-11-04 1995-01-17 The Regents Of The University Of California Low temperature reactive bonding
US5631803A (en) 1995-01-06 1997-05-20 Applied Materials, Inc. Erosion resistant electrostatic chuck with improved cooling system
US5415756A (en) 1994-03-28 1995-05-16 University Of Houston Ion assisted deposition process including reactive source gassification
US5679167A (en) 1994-08-18 1997-10-21 Sulzer Metco Ag Plasma gun apparatus for forming dense, uniform coatings on large substrates
WO1996011288A1 (en) 1994-10-05 1996-04-18 United Technologies Corporation Multiple nanolayer coating system
US5792562A (en) 1995-01-12 1998-08-11 Applied Materials, Inc. Electrostatic chuck with polymeric impregnation and method of making
US5626923A (en) 1995-09-19 1997-05-06 Mcdonnell Douglas Corporation Method of applying ceramic coating compositions to ceramic or metallic substrate
US5766693A (en) 1995-10-06 1998-06-16 Ford Global Technologies, Inc. Method of depositing composite metal coatings containing low friction oxides
KR100471728B1 (ko) 1996-04-12 2005-03-14 가부시끼가이샤 히다치 세이사꾸쇼 플라즈마 처리장치
US6500314B1 (en) 1996-07-03 2002-12-31 Tegal Corporation Plasma etch reactor and method
US5837058A (en) 1996-07-12 1998-11-17 Applied Materials, Inc. High temperature susceptor
JP3619330B2 (ja) 1996-07-31 2005-02-09 京セラ株式会社 プラズマプロセス装置用部材
US6217662B1 (en) 1997-03-24 2001-04-17 Cree, Inc. Susceptor designs for silicon carbide thin films
US6194083B1 (en) 1997-07-28 2001-02-27 Kabushiki Kaisha Toshiba Ceramic composite material and its manufacturing method, and heat resistant member using thereof
US6106959A (en) 1998-08-11 2000-08-22 Siemens Westinghouse Power Corporation Multilayer thermal barrier coating systems
US6361645B1 (en) 1998-10-08 2002-03-26 Lam Research Corporation Method and device for compensating wafer bias in a plasma processing chamber
EP1013623B1 (en) 1998-12-21 2004-09-15 Shin-Etsu Chemical Co., Ltd. Corrosion-resistant composite oxide material
ATE491825T1 (de) 1999-09-29 2011-01-15 Tokyo Electron Ltd Mehrzonenwiderstandsheizung
KR20010062209A (ko) * 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
US6949203B2 (en) 1999-12-28 2005-09-27 Applied Materials, Inc. System level in-situ integrated dielectric etch process particularly useful for copper dual damascene
JP4272786B2 (ja) 2000-01-21 2009-06-03 トーカロ株式会社 静電チャック部材およびその製造方法
WO2001058828A1 (fr) 2000-02-07 2001-08-16 Ibiden Co., Ltd. Substrat ceramique pour dispositif de production ou d'examen de semi-conducteurs
US6962524B2 (en) 2000-02-17 2005-11-08 Applied Materials, Inc. Conductive polishing article for electrochemical mechanical polishing
FI117979B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
JP4540221B2 (ja) * 2000-04-21 2010-09-08 日本碍子株式会社 積層体、耐蝕性部材および耐ハロゲンガスプラズマ用部材
JP2002249864A (ja) * 2000-04-18 2002-09-06 Ngk Insulators Ltd 耐ハロゲンガスプラズマ用部材およびその製造方法
CA2306941A1 (en) 2000-04-27 2001-10-27 Standard Aero Ltd. Multilayer thermal barrier coatings
US7441688B2 (en) 2003-11-04 2008-10-28 Reactive Nanotechnologies Methods and device for controlling pressure in reactive multilayer joining and resulting product
IL152516A0 (en) 2000-05-02 2003-05-29 Univ Johns Hopkins Freestanding reactive multilayer foils
NL1015550C2 (nl) 2000-06-28 2002-01-02 Xycarb Ceramics B V Werkwijze voor het vervaardigen van een uit een kern opgebouwde susceptor, aldus verkregen susceptor en een werkwijze voor het aanbrengen van actieve lagen op een halfgeleidersubstraat onder toepassing van een dergelijke susceptor.
EP1167565B1 (en) 2000-06-29 2007-03-07 Shin-Etsu Chemical Co., Ltd. Method for thermal spray coating and rare earth oxide powder used therefor
US6506254B1 (en) 2000-06-30 2003-01-14 Lam Research Corporation Semiconductor processing equipment having improved particle performance
JP4688307B2 (ja) 2000-07-11 2011-05-25 コバレントマテリアル株式会社 半導体製造装置用耐プラズマ性部材
AU2001280609A1 (en) 2000-07-20 2002-02-05 North Carolina State University High dielectric constant metal silicates formed by controlled metal-surface reactions
AU2001288566A1 (en) 2000-11-15 2002-05-27 Gt Equipment Technologies Inc. A protective layer for quartz crucibles used for silicon crystallization
US6805952B2 (en) 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
US6620520B2 (en) 2000-12-29 2003-09-16 Lam Research Corporation Zirconia toughened ceramic components and coatings in semiconductor processing equipment and method of manufacture thereof
US6581275B2 (en) 2001-01-22 2003-06-24 Applied Materials Inc. Fabricating an electrostatic chuck having plasma resistant gas conduits
US6746539B2 (en) 2001-01-30 2004-06-08 Msp Corporation Scanning deposition head for depositing particles on a wafer
EP1239055B1 (en) 2001-03-08 2017-03-01 Shin-Etsu Chemical Co., Ltd. Thermal spray spherical particles, and sprayed components
US6723209B2 (en) 2001-03-16 2004-04-20 4-Wave, Inc. System and method for performing thin film deposition or chemical treatment using an energetic flux of neutral reactive molecular fragments, atoms or radicals
JP2002356387A (ja) 2001-03-30 2002-12-13 Toshiba Ceramics Co Ltd 耐プラズマ性部材
US6915964B2 (en) 2001-04-24 2005-07-12 Innovative Technology, Inc. System and process for solid-state deposition and consolidation of high velocity powder particles using thermal plastic deformation
US7670688B2 (en) 2001-06-25 2010-03-02 Applied Materials, Inc. Erosion-resistant components for plasma process chambers
TWI234417B (en) 2001-07-10 2005-06-11 Tokyo Electron Ltd Plasma procesor and plasma processing method
US6616031B2 (en) 2001-07-17 2003-09-09 Asm Assembly Automation Limited Apparatus and method for bond force control
US20030047464A1 (en) 2001-07-27 2003-03-13 Applied Materials, Inc. Electrochemically roughened aluminum semiconductor processing apparatus surfaces
CN1608036B (zh) 2001-08-02 2010-09-22 3M创新有限公司 Al2O3-Y2O3-ZrO2/HfO2材料及其制备和使用方法
JP4921652B2 (ja) 2001-08-03 2012-04-25 エイエスエム インターナショナル エヌ.ヴェー. イットリウム酸化物およびランタン酸化物薄膜を堆積する方法
US20030029563A1 (en) * 2001-08-10 2003-02-13 Applied Materials, Inc. Corrosion resistant coating for semiconductor processing chamber
JP5132859B2 (ja) 2001-08-24 2013-01-30 ステラケミファ株式会社 多成分を有するガラス基板用の微細加工表面処理液
JP4663927B2 (ja) 2001-08-29 2011-04-06 信越化学工業株式会社 希土類含有酸化物部材
KR20030025007A (ko) 2001-09-19 2003-03-28 삼성전자주식회사 쉴드링을 가지는 식각장비
JP2003146751A (ja) 2001-11-20 2003-05-21 Toshiba Ceramics Co Ltd 耐プラズマ性部材及びその製造方法
JP4493251B2 (ja) 2001-12-04 2010-06-30 Toto株式会社 静電チャックモジュールおよび基板処理装置
KR100440500B1 (ko) 2001-12-07 2004-07-15 주식회사 코미코 플라즈마 스프레이 방식을 이용한 세라믹 반도체 부품의제조 및 재생 방법
US6942929B2 (en) 2002-01-08 2005-09-13 Nianci Han Process chamber having component with yttrium-aluminum coating
US7371467B2 (en) 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US6592948B1 (en) 2002-01-11 2003-07-15 General Electric Company Method for masking selected regions of a substrate
US6884514B2 (en) 2002-01-11 2005-04-26 Saint-Gobain Ceramics & Plastics, Inc. Method for forming ceramic layer having garnet crystal structure phase and article made thereby
US20080213496A1 (en) 2002-02-14 2008-09-04 Applied Materials, Inc. Method of coating semiconductor processing apparatus with protective yttrium-containing coatings
US8067067B2 (en) 2002-02-14 2011-11-29 Applied Materials, Inc. Clean, dense yttrium oxide coating protecting semiconductor processing apparatus
US6789498B2 (en) 2002-02-27 2004-09-14 Applied Materials, Inc. Elements having erosion resistance
JP4153708B2 (ja) 2002-03-12 2008-09-24 東京エレクトロン株式会社 エッチング方法
US20030175142A1 (en) 2002-03-16 2003-09-18 Vassiliki Milonopoulou Rare-earth pre-alloyed PVD targets for dielectric planar applications
JP2003277051A (ja) * 2002-03-22 2003-10-02 Ngk Insulators Ltd イットリア−アルミナ複合酸化物膜を有する積層体、イットリア−アルミナ複合酸化物膜、耐蝕性部材、耐蝕性膜およびイットリア−アルミナ複合酸化物膜の製造方法
US7026009B2 (en) 2002-03-27 2006-04-11 Applied Materials, Inc. Evaluation of chamber components having textured coatings
JP3643872B2 (ja) * 2002-05-02 2005-04-27 独立行政法人産業技術総合研究所 酸化物セラミックス複合材料の形成方法
DE10224137A1 (de) 2002-05-24 2003-12-04 Infineon Technologies Ag Ätzgas und Verfahren zum Trockenätzen
TWI241284B (en) 2002-06-06 2005-10-11 Ngk Insulators Ltd A method of producing sintered bodies, a method of producing shaped bodies, shaped bodies, corrosion resistant members and a method of producing ceramic member
US20030232139A1 (en) 2002-06-13 2003-12-18 Detura Frank Anthony Shield and method for spraying coating on a surface
US7311797B2 (en) 2002-06-27 2007-12-25 Lam Research Corporation Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
US6784096B2 (en) 2002-09-11 2004-08-31 Applied Materials, Inc. Methods and apparatus for forming barrier layers in high aspect ratio vias
JP2004107718A (ja) 2002-09-18 2004-04-08 Ngk Insulators Ltd 積層体、溶射膜および積層体の製造方法
US6798519B2 (en) 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US7507481B2 (en) 2002-11-20 2009-03-24 Shin-Etsu Chemical Co., Ltd. Heat resistant coated member, making method, and treatment using the same
FR2850790B1 (fr) 2003-02-05 2005-04-08 Semco Engineering Sa Semelle de collage electrostatique avec electrode radiofrequence et moyens thermostatiques integres
CN100401478C (zh) 2003-02-12 2008-07-09 松下电器产业株式会社 半导体器件的制造方法
US6753269B1 (en) 2003-05-08 2004-06-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method for low k dielectric deposition
JP2004332081A (ja) 2003-05-12 2004-11-25 Shin Etsu Chem Co Ltd 耐プラズマ部材及びその製造方法
US7510641B2 (en) 2003-07-21 2009-03-31 Los Alamos National Security, Llc High current density electropolishing in the preparation of highly smooth substrate tapes for coated conductors
US7658816B2 (en) 2003-09-05 2010-02-09 Tokyo Electron Limited Focus ring and plasma processing apparatus
US20050056056A1 (en) 2003-09-16 2005-03-17 Wong Marvin Glenn Healing micro cracks in a substrate
DE60313042T2 (de) 2003-09-16 2008-01-03 Shin-Etsu Quartz Products Co., Ltd. Element für eine plasmaätzeinrichtung und verfahren zu dessen herstellung
KR101084553B1 (ko) 2003-10-17 2011-11-17 토소가부시키가이샤 진공장치용 부품과 그 제조방법 및 그것을 이용한 장치
EP1690845A4 (en) 2003-10-31 2009-04-01 Tokuyama Corp ASSEMBLED ARTICLE BASED ON ALUMINUM NITRIDE AND PROCESS FOR PRODUCING THE SAME
US7220497B2 (en) * 2003-12-18 2007-05-22 Lam Research Corporation Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components
US20050142393A1 (en) 2003-12-30 2005-06-30 Boutwell Brett A. Ceramic compositions for thermal barrier coatings stabilized in the cubic crystalline phase
JP4606121B2 (ja) * 2004-01-29 2011-01-05 京セラ株式会社 耐食膜積層耐食性部材およびその製造方法
JP2005260040A (ja) 2004-02-12 2005-09-22 Sony Corp ドーピング方法、半導体装置の製造方法および電子応用装置の製造方法
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
JP2007530792A (ja) * 2004-03-24 2007-11-01 マサチューセッツ インスティテュート オブ テクノロジー 表面堆積物を除去するための遠隔チャンバ方法
JP4443976B2 (ja) 2004-03-30 2010-03-31 忠弘 大見 セラミックスの洗浄方法および高清浄性セラミックス
US20060165994A1 (en) 2004-07-07 2006-07-27 General Electric Company Protective coating on a substrate and method of making thereof
JP2006027012A (ja) 2004-07-14 2006-02-02 Pioneer Electronic Corp 脱泡方法及びこれを用いた脱泡装置
JP2006108602A (ja) 2004-09-10 2006-04-20 Toshiba Corp 半導体装置及びその製造方法
US20060068189A1 (en) 2004-09-27 2006-03-30 Derek Raybould Method of forming stabilized plasma-sprayed thermal barrier coatings
EP1805817B1 (en) 2004-10-01 2016-11-16 American Superconductor Corporation Thick superconductor films with improved performance
JP2006128000A (ja) 2004-10-29 2006-05-18 Advanced Lcd Technologies Development Center Co Ltd プラズマ処理装置
KR20060041497A (ko) 2004-11-09 2006-05-12 동부일렉트로닉스 주식회사 건식 식각장치
US8058186B2 (en) 2004-11-10 2011-11-15 Tokyo Electron Limited Components for substrate processing apparatus and manufacturing method thereof
JP2006207012A (ja) 2004-12-28 2006-08-10 Toshiba Ceramics Co Ltd イットリウム系セラミックス被覆材およびその製造方法
US7838083B1 (en) 2005-01-28 2010-11-23 Sandia Corporation Ion beam assisted deposition of thermal barrier coatings
US7354659B2 (en) 2005-03-30 2008-04-08 Reactive Nanotechnologies, Inc. Method for fabricating large dimension bonds using reactive multilayer joining
US20060222777A1 (en) 2005-04-05 2006-10-05 General Electric Company Method for applying a plasma sprayed coating using liquid injection
WO2006130759A2 (en) * 2005-05-31 2006-12-07 Corning Incorporated Aluminum titanate ceramic forming batch mixtures and green bodies including pore former combinations and methods of manufacturing and firing same
EP1914330A4 (en) 2005-06-17 2010-03-03 Univ Tohoku PROTECTION FILM STRUCTURE OF METAL ELEMENT, METAL COMPONENT WITH PROTECTIVE FILM STRUCTURE AND DEVICE FOR PRODUCING A SEMICONDUCTOR OR A FLAT DISPLAY WITH PROTECTIVE FILM STRUCTURE
JP4586984B2 (ja) 2005-06-30 2010-11-24 株式会社ボークス 人形の関節部材、該関節部材を備えた人形
JP4813115B2 (ja) 2005-07-14 2011-11-09 国立大学法人東北大学 半導体製造装置用部材及びその洗浄方法
KR20070013118A (ko) 2005-07-25 2007-01-30 삼성전자주식회사 플라즈마 식각 장치
WO2007013184A1 (ja) * 2005-07-29 2007-02-01 Tocalo Co., Ltd. Y2o3溶射皮膜被覆部材およびその製造方法
US7672110B2 (en) 2005-08-29 2010-03-02 Applied Materials, Inc. Electrostatic chuck having textured contact surface
JP4571561B2 (ja) * 2005-09-08 2010-10-27 トーカロ株式会社 耐プラズマエロージョン性に優れる溶射皮膜被覆部材およびその製造方法
JP4985928B2 (ja) 2005-10-21 2012-07-25 信越化学工業株式会社 多層コート耐食性部材
US7968205B2 (en) 2005-10-21 2011-06-28 Shin-Etsu Chemical Co., Ltd. Corrosion resistant multilayer member
JP2007126712A (ja) 2005-11-02 2007-05-24 Fujimi Inc 溶射用粉末及び溶射皮膜の形成方法
TWI331770B (en) 2005-11-04 2010-10-11 Applied Materials Inc Apparatus for plasma-enhanced atomic layer deposition
US20070113783A1 (en) 2005-11-19 2007-05-24 Applied Materials, Inc. Band shield for substrate processing chamber
US7622195B2 (en) 2006-01-10 2009-11-24 United Technologies Corporation Thermal barrier coating compositions, processes for applying same and articles coated with same
US7736759B2 (en) 2006-01-20 2010-06-15 United Technologies Corporation Yttria-stabilized zirconia coating with a molten silicate resistant outer layer
US7648782B2 (en) 2006-03-20 2010-01-19 Tokyo Electron Limited Ceramic coating member for semiconductor processing apparatus
JP4996868B2 (ja) * 2006-03-20 2012-08-08 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US7655328B2 (en) 2006-04-20 2010-02-02 Shin-Etsu Chemical Co., Ltd. Conductive, plasma-resistant member
US20070264155A1 (en) 2006-05-09 2007-11-15 Brady Michael D Aerosol jet deposition method and system for creating a reference region/sample region on a biosensor
WO2007132028A1 (es) 2006-05-12 2007-11-22 Fundacion Inasmet Procedimiento de obtención de recubrimientos cerámicos y recubrimientos cerámicos obtenidos
US20070274837A1 (en) 2006-05-26 2007-11-29 Thomas Alan Taylor Blade tip coatings
US20080009417A1 (en) 2006-07-05 2008-01-10 General Electric Company Coating composition, article, and associated method
US20080016684A1 (en) 2006-07-06 2008-01-24 General Electric Company Corrosion resistant wafer processing apparatus and method for making thereof
US20080029032A1 (en) 2006-08-01 2008-02-07 Sun Jennifer Y Substrate support with protective layer for plasma resistance
US7722959B2 (en) 2006-09-06 2010-05-25 United Technologies Corporation Silicate resistant thermal barrier coating with alternating layers
US7701693B2 (en) 2006-09-13 2010-04-20 Ngk Insulators, Ltd. Electrostatic chuck with heater and manufacturing method thereof
US20080090034A1 (en) 2006-09-18 2008-04-17 Harrison Daniel J Colored glass frit
US7469640B2 (en) 2006-09-28 2008-12-30 Alliant Techsystems Inc. Flares including reactive foil for igniting a combustible grain thereof and methods of fabricating and igniting such flares
KR20090085049A (ko) 2006-10-06 2009-08-06 아사히 테크 가부시끼가이샤 내식성 부재 및 그의 제조 방법
US7479464B2 (en) 2006-10-23 2009-01-20 Applied Materials, Inc. Low temperature aerosol deposition of a plasma resistive layer
US7919722B2 (en) 2006-10-30 2011-04-05 Applied Materials, Inc. Method for fabricating plasma reactor parts
US8092695B2 (en) 2006-10-30 2012-01-10 Applied Materials, Inc. Endpoint detection for photomask etching
US20080142755A1 (en) 2006-12-13 2008-06-19 General Electric Company Heater apparatus and associated method
US8097105B2 (en) * 2007-01-11 2012-01-17 Lam Research Corporation Extending lifetime of yttrium oxide as a plasma chamber material
CN101657516B (zh) * 2007-03-12 2015-07-22 圣戈本陶瓷及塑料股份有限公司 高强度陶瓷元件及其制造方法和使用方法
US7659204B2 (en) 2007-03-26 2010-02-09 Applied Materials, Inc. Oxidized barrier layer
US7718559B2 (en) 2007-04-20 2010-05-18 Applied Materials, Inc. Erosion resistance enhanced quartz used in plasma etch chamber
TWI654160B (zh) * 2007-04-27 2019-03-21 美商應用材料股份有限公司 減小曝露於含鹵素電漿下之表面腐蝕速率的方法與設備
US7696117B2 (en) 2007-04-27 2010-04-13 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
US7848076B2 (en) 2007-07-31 2010-12-07 Applied Materials, Inc. Method and apparatus for providing an electrostatic chuck with reduced plasma penetration and arcing
US9202736B2 (en) 2007-07-31 2015-12-01 Applied Materials, Inc. Method for refurbishing an electrostatic chuck with reduced plasma penetration and arcing
US8108981B2 (en) 2007-07-31 2012-02-07 Applied Materials, Inc. Method of making an electrostatic chuck with reduced plasma penetration and arcing
US8367227B2 (en) 2007-08-02 2013-02-05 Applied Materials, Inc. Plasma-resistant ceramics with controlled electrical resistivity
US7649729B2 (en) 2007-10-12 2010-01-19 Applied Materials, Inc. Electrostatic chuck assembly
US8129029B2 (en) 2007-12-21 2012-03-06 Applied Materials, Inc. Erosion-resistant plasma chamber components comprising a metal base structure with an overlying thermal oxidation coating
JP2009176787A (ja) * 2008-01-22 2009-08-06 Hitachi High-Technologies Corp エッチング処理装置及びエッチング処理室用部材
US20090214825A1 (en) 2008-02-26 2009-08-27 Applied Materials, Inc. Ceramic coating comprising yttrium which is resistant to a reducing plasma
CA2658210A1 (en) 2008-04-04 2009-10-04 Sulzer Metco Ag Method and apparatus for the coating and for the surface treatment of substrates by means of a plasma beam
DE102008021167B3 (de) 2008-04-28 2010-01-21 Siemens Aktiengesellschaft Verfahren zur Erzeugung einer hermetisch dichten, elektrischen Durchführung mittels exothermer Nanofolie und damit hergestellte Vorrichtung
US8546284B2 (en) 2008-05-07 2013-10-01 Council Of Scientific & Industrial Research Process for the production of plasma sprayable yttria stabilized zirconia (YSZ) and plasma sprayable YSZ powder produced thereby
TWI475594B (zh) 2008-05-19 2015-03-01 Entegris Inc 靜電夾頭
JP2010006641A (ja) * 2008-06-27 2010-01-14 Kyocera Corp 耐食性部材およびこれを用いた処理装置
WO2010019430A2 (en) 2008-08-12 2010-02-18 Applied Materials, Inc. Electrostatic chuck assembly
JP5537001B2 (ja) 2008-08-20 2014-07-02 株式会社アルバック 表面処理セラミックス部材、その製造方法および真空処理装置
US7929269B2 (en) 2008-09-04 2011-04-19 Momentive Performance Materials Inc. Wafer processing apparatus having a tunable electrical resistivity
JP5357486B2 (ja) 2008-09-30 2013-12-04 東京エレクトロン株式会社 プラズマ処理装置
JP5551353B2 (ja) 2008-10-30 2014-07-16 株式会社日本セラテック 耐食性部材
JP5545792B2 (ja) 2008-10-31 2014-07-09 株式会社日本セラテック 耐食性部材
EP2350334A2 (en) 2008-11-04 2011-08-03 Praxair Technology, Inc. Thermal spray coatings for semiconductor applications
US8206829B2 (en) 2008-11-10 2012-06-26 Applied Materials, Inc. Plasma resistant coatings for plasma chamber components
US8858745B2 (en) 2008-11-12 2014-10-14 Applied Materials, Inc. Corrosion-resistant bonding agents for bonding ceramic components which are exposed to plasmas
US9017765B2 (en) * 2008-11-12 2015-04-28 Applied Materials, Inc. Protective coatings resistant to reactive plasma processing
JP5407324B2 (ja) 2008-12-24 2014-02-05 堺化学工業株式会社 酸化ジルコニウム分散液の製造方法
FR2940278B1 (fr) * 2008-12-24 2011-05-06 Snecma Propulsion Solide Barriere environnementale pour substrat refractaire contenant du silicium
US20100177454A1 (en) 2009-01-09 2010-07-15 Component Re-Engineering Company, Inc. Electrostatic chuck with dielectric inserts
US7964517B2 (en) 2009-01-29 2011-06-21 Texas Instruments Incorporated Use of a biased precoat for reduced first wafer defects in high-density plasma process
CA2750789C (en) 2009-02-05 2018-12-04 Sulzer Metco Ag Plasma coating system and method for coating or treating the surface of a substrate
US8404572B2 (en) 2009-02-13 2013-03-26 Taiwan Semiconductor Manufacturing Co., Ltd Multi-zone temperature control for semiconductor wafer
US8444737B2 (en) 2009-02-27 2013-05-21 Corning Incorporated Ceramic structures and methods of making ceramic structures
JP5001323B2 (ja) 2009-03-27 2012-08-15 トーカロ株式会社 白色酸化イットリウム溶射皮膜表面の改質方法および酸化イットリウム溶射皮膜被覆部材
US8137743B2 (en) 2009-05-08 2012-03-20 Fuchita Nanotechnology Ltd. Method for forming zirconia film
JP5595795B2 (ja) 2009-06-12 2014-09-24 東京エレクトロン株式会社 プラズマ処理装置用の消耗部品の再利用方法
US20110086178A1 (en) 2009-10-14 2011-04-14 General Electric Company Ceramic coatings and methods of making the same
US20110135915A1 (en) 2009-11-25 2011-06-09 Greene, Tweed Of Delaware, Inc. Methods of Coating Substrate With Plasma Resistant Coatings and Related Coated Substrates
JP5604888B2 (ja) 2009-12-21 2014-10-15 住友大阪セメント株式会社 静電チャックの製造方法
JP5423632B2 (ja) 2010-01-29 2014-02-19 住友大阪セメント株式会社 静電チャック装置
US20110198034A1 (en) 2010-02-11 2011-08-18 Jennifer Sun Gas distribution showerhead with coating material for semiconductor processing
FR2957358B1 (fr) 2010-03-12 2012-04-13 Snecma Methode de fabrication d'une protection de barriere thermique et revetement multicouche apte a former une barriere thermique
JP5267603B2 (ja) 2010-03-24 2013-08-21 Toto株式会社 静電チャック
CN107098686B (zh) 2010-03-30 2020-08-11 日本碍子株式会社 半导体制造装置用耐腐蚀性构件及其制法
KR101221925B1 (ko) 2010-04-22 2013-01-14 한국세라믹기술원 플라즈마 저항성 세라믹 피막 및 그 제조 방법
US8619406B2 (en) 2010-05-28 2013-12-31 Fm Industries, Inc. Substrate supports for semiconductor applications
US20110315081A1 (en) 2010-06-25 2011-12-29 Law Kam S Susceptor for plasma processing chamber
US20120040100A1 (en) 2010-06-29 2012-02-16 Los Alamos National Security, Llc Solution deposition planarization method
US20120196139A1 (en) 2010-07-14 2012-08-02 Christopher Petorak Thermal spray composite coatings for semiconductor applications
KR101108692B1 (ko) 2010-09-06 2012-01-25 한국기계연구원 다공성 세라믹 표면을 밀봉하는 치밀한 희토류 금속 산화물 코팅막 및 이의 제조방법
US10720350B2 (en) 2010-09-28 2020-07-21 Kla-Tencore Corporation Etch-resistant coating on sensor wafers for in-situ measurement
US9969022B2 (en) 2010-09-28 2018-05-15 Applied Materials, Inc. Vacuum process chamber component and methods of making
US20120100299A1 (en) 2010-10-25 2012-04-26 United Technologies Corporation Thermal spray coating process for compressor shafts
US8916021B2 (en) 2010-10-27 2014-12-23 Applied Materials, Inc. Electrostatic chuck and showerhead with enhanced thermal properties and methods of making thereof
CN103493194B (zh) 2011-06-02 2016-05-18 应用材料公司 静电夹盘的氮化铝电介质修复
JP5665679B2 (ja) 2011-07-14 2015-02-04 住友重機械工業株式会社 不純物導入層形成装置及び静電チャック保護方法
US20130048606A1 (en) 2011-08-31 2013-02-28 Zhigang Mao Methods for in-situ chamber dry clean in photomask plasma etching processing chamber
US20130115418A1 (en) 2011-11-03 2013-05-09 Coorstek, Inc. Multilayer rare-earth oxide coatings and methods of making
JP5496992B2 (ja) 2011-12-13 2014-05-21 中国電力株式会社 プラズマ溶射装置及びその制御方法
AU2012358959B2 (en) 2011-12-19 2018-02-08 Praxair S.T. Technology, Inc. Aqueous slurry for the production of thermal and environmental barrier coatings and processes for making and applying the same
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en) * 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
KR20130098707A (ko) 2012-02-28 2013-09-05 삼성전자주식회사 정전 척 장치 및 그 제어방법
KR20140112085A (ko) 2012-03-22 2014-09-22 도카로 가부시키가이샤 불화물 용사 피막의 형성 방법 및 불화물 용사 피막 피복 부재
US20130273313A1 (en) 2012-04-13 2013-10-17 Applied Materials, Inc. Ceramic coated ring and process for applying ceramic coating
US9090046B2 (en) 2012-04-16 2015-07-28 Applied Materials, Inc. Ceramic coated article and process for applying ceramic coating
US9394615B2 (en) 2012-04-27 2016-07-19 Applied Materials, Inc. Plasma resistant ceramic coated conductive article
US20130288037A1 (en) 2012-04-27 2013-10-31 Applied Materials, Inc. Plasma spray coating process enhancement for critical chamber components
US9150602B2 (en) 2012-07-24 2015-10-06 Atomic Energy Council, Institute Of Nuclear Energy Research Precursor used for labeling hepatorcyte receptor and containing trisaccharide and diamide demercaptide ligand, method for preparing the same, radiotracer and pharmaceutical composition of the same
US9604249B2 (en) 2012-07-26 2017-03-28 Applied Materials, Inc. Innovative top-coat approach for advanced device on-wafer particle performance
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
US20140037969A1 (en) 2012-08-03 2014-02-06 General Electric Company Hybrid Air Plasma Spray and Slurry Method of Environmental Barrier Deposition
JP5934069B2 (ja) 2012-09-14 2016-06-15 日本碍子株式会社 積層構造体、半導体製造装置用部材及び積層構造体の製造方法
US9916998B2 (en) * 2012-12-04 2018-03-13 Applied Materials, Inc. Substrate support assembly having a plasma resistant protective layer
US9685356B2 (en) 2012-12-11 2017-06-20 Applied Materials, Inc. Substrate support assembly having metal bonded protective layer
US8941969B2 (en) 2012-12-21 2015-01-27 Applied Materials, Inc. Single-body electrostatic chuck
US9708713B2 (en) 2013-05-24 2017-07-18 Applied Materials, Inc. Aerosol deposition coating for semiconductor chamber components
US9865434B2 (en) 2013-06-05 2018-01-09 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application
US9850568B2 (en) * 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9711334B2 (en) 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US10468235B2 (en) 2013-09-18 2019-11-05 Applied Materials, Inc. Plasma spray coating enhancement using plasma flame heat treatment
US9440886B2 (en) 2013-11-12 2016-09-13 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9869013B2 (en) 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US20150311043A1 (en) 2014-04-25 2015-10-29 Applied Materials, Inc. Chamber component with fluorinated thin film coating
US10730798B2 (en) 2014-05-07 2020-08-04 Applied Materials, Inc. Slurry plasma spray of plasma resistant ceramic coating
US10196728B2 (en) 2014-05-16 2019-02-05 Applied Materials, Inc. Plasma spray coating design using phase and stress control
US10385459B2 (en) 2014-05-16 2019-08-20 Applied Materials, Inc. Advanced layered bulk ceramics via field assisted sintering technology
US9460898B2 (en) 2014-08-08 2016-10-04 Applied Materials, Inc. Plasma generation chamber with smooth plasma resistant coating
KR20160030812A (ko) 2014-09-11 2016-03-21 삼성전자주식회사 플라즈마 처리 장치

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020018921A1 (en) * 2000-04-18 2002-02-14 Ngk Insulators, Ltd. Halogen gas plasma-resistive members and method for producing the same, laminates, and corrosion-resistant members
CN1443147A (zh) * 2000-05-19 2003-09-17 英属哥伦比亚大学 化学制备粘接复合氢氧化物陶瓷的方法
CN1598061B (zh) * 2003-08-19 2011-05-18 应用材料公司 从陶瓷基片上去除含有钽沉积层和铝电弧喷涂层的复合涂层的方法
CN101293771A (zh) * 2007-04-27 2008-10-29 应用材料股份有限公司 降低暴露于含卤素等离子体表面的腐蚀速率的装置和方法
US20090297718A1 (en) * 2008-05-29 2009-12-03 General Electric Company Methods of fabricating environmental barrier coatings for silicon based substrates
CN102209691A (zh) * 2008-11-19 2011-10-05 阿雷瓦核废料回收公司 一种用玻璃和陶瓷的混合物涂覆金属坩埚部件的方法
CN102391015A (zh) * 2011-07-27 2012-03-28 西安交通大学 SiC陶瓷表面处理方法及其用途

Also Published As

Publication number Publication date
TW201343603A (zh) 2013-11-01
US20190233343A1 (en) 2019-08-01
US10364197B2 (en) 2019-07-30
JP2019206470A (ja) 2019-12-05
CN108249957A (zh) 2018-07-06
JP2021120346A (ja) 2021-08-19
US9212099B2 (en) 2015-12-15
KR102067108B1 (ko) 2020-01-16
TWI573778B (zh) 2017-03-11
JP2018048072A (ja) 2018-03-29
US11279661B2 (en) 2022-03-22
JP6293064B2 (ja) 2018-03-14
JP2015512848A (ja) 2015-04-30
WO2013126466A1 (en) 2013-08-29
US20130216821A1 (en) 2013-08-22
CN105492400A (zh) 2016-04-13
KR20140138190A (ko) 2014-12-03
US20160060181A1 (en) 2016-03-03
US20210317049A1 (en) 2021-10-14
KR20170102370A (ko) 2017-09-08
CN107382376B (zh) 2021-08-17
KR102067107B1 (ko) 2020-01-16
JP6878504B2 (ja) 2021-05-26
CN108249957B (zh) 2021-07-16
JP6542854B2 (ja) 2019-07-10

Similar Documents

Publication Publication Date Title
CN107382376A (zh) 陶瓷物品
JP6820359B2 (ja) プラズマ耐食性希土類酸化物系薄膜コーティング
CN105392913B (zh) 用于盖与喷嘴上的稀土氧化物基涂层的离子辅助沉积
US10336656B2 (en) Ceramic article with reduced surface defect density
US9090046B2 (en) Ceramic coated article and process for applying ceramic coating
US20180102237A1 (en) Rare-earth oxide based erosion resistant coatings for semiconductor application
CN105408987A (zh) 稀土氧化物的顶部涂层的离子辅助沉积
TW200416294A (en) Corrosion-resistant member and method for producing same
CN105492400B (zh) 具有陶瓷涂层的经热处理陶瓷基板及用于经涂布陶瓷的热处理方法
JP5849083B2 (ja) 多孔質構造体の製造方法及び多孔質自立膜

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant