TW201343603A - 具有陶瓷塗層之經熱處理陶瓷基板及用於經塗佈陶瓷之熱處理方法 - Google Patents

具有陶瓷塗層之經熱處理陶瓷基板及用於經塗佈陶瓷之熱處理方法 Download PDF

Info

Publication number
TW201343603A
TW201343603A TW102106241A TW102106241A TW201343603A TW 201343603 A TW201343603 A TW 201343603A TW 102106241 A TW102106241 A TW 102106241A TW 102106241 A TW102106241 A TW 102106241A TW 201343603 A TW201343603 A TW 201343603A
Authority
TW
Taiwan
Prior art keywords
ceramic
ceramic coating
heat treatment
coating
article
Prior art date
Application number
TW102106241A
Other languages
English (en)
Other versions
TWI573778B (zh
Inventor
Jennifer Y Sun
Ren-Guan Duan
Biraja P Kanungo
Dmitry Lubomirsky
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW201343603A publication Critical patent/TW201343603A/zh
Application granted granted Critical
Publication of TWI573778B publication Critical patent/TWI573778B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/01Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on oxide ceramics
    • C04B35/10Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on oxide ceramics based on aluminium oxide
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/0072Heat treatment
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/009After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone characterised by the material treated
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/45Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements
    • C04B41/50Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements with inorganic materials
    • C04B41/5025Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements with inorganic materials with ceramic materials
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/45Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements
    • C04B41/50Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements with inorganic materials
    • C04B41/5025Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements with inorganic materials with ceramic materials
    • C04B41/5031Alumina
    • C04B41/5032Aluminates
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/45Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements
    • C04B41/50Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements with inorganic materials
    • C04B41/5025Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements with inorganic materials with ceramic materials
    • C04B41/5042Zirconium oxides or zirconates; Hafnium oxides or hafnates
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/45Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements
    • C04B41/50Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements with inorganic materials
    • C04B41/5025Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements with inorganic materials with ceramic materials
    • C04B41/5045Rare-earth oxides
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/45Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements
    • C04B41/52Multiple coating or impregnating multiple coating or impregnating with the same composition or with compositions only differing in the concentration of the constituents, is classified as single coating or impregnation
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/80After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone of only ceramics
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/80After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone of only ceramics
    • C04B41/81Coating or impregnation
    • C04B41/85Coating or impregnation with inorganic materials
    • C04B41/87Ceramics
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/80After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone of only ceramics
    • C04B41/81Coating or impregnation
    • C04B41/89Coating or impregnation for obtaining at least two superposed coatings having different compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C24/00Coating starting from inorganic powder
    • C23C24/02Coating starting from inorganic powder by application of pressure only
    • C23C24/04Impact or kinetic deposition of particles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/04Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the coating material
    • C23C4/10Oxides, borides, carbides, nitrides or silicides; Mixtures thereof
    • C23C4/11Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/12Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the method of spraying
    • C23C4/134Plasma spraying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/70Aspects relating to sintered or melt-casted ceramic products
    • C04B2235/96Properties of ceramic products, e.g. mechanical properties such as strength, toughness, wear resistance
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/249921Web or sheet containing structurally defined element or component
    • Y10T428/249953Composite having voids in a component [e.g., porous, cellular, etc.]
    • Y10T428/249987With nonvoid component of specified composition
    • Y10T428/249988Of about the same composition as, and adjacent to, the void-containing component
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/26Web or sheet containing structurally defined element or component, the element or component having a specified physical dimension
    • Y10T428/263Coating layer not in excess of 5 mils thick or equivalent
    • Y10T428/264Up to 3 mils
    • Y10T428/2651 mil or less

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Ceramic Engineering (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Structural Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Thermal Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Coating By Spraying Or Casting (AREA)
  • Other Surface Treatments For Metallic Materials (AREA)
  • Compositions Of Oxide Ceramics (AREA)

Abstract

本文提供了一種具有陶瓷基板與陶瓷塗層之陶瓷物品,其中該陶瓷塗層具有初始孔隙度與初始裂縫量。該陶瓷物品被以約每分鐘0.1℃至約每分鐘20℃之升降溫速率加熱至介於約1000℃與約1800℃間之溫度範圍。以該溫度範圍內的一或多個溫度熱處理該陶瓷物品達約24小時之歷時。接著以該升降溫速率來冷卻該陶瓷物品,其中在熱處理之後,該陶瓷塗層具有降低之孔隙度與降低之裂縫量。

Description

具有陶瓷塗層之經熱處理陶瓷基板及用於經塗佈陶瓷之熱處理方法 【相關申請案】
本專利申請案主張在2012年2月22日所申請之美國臨時申請案第61/602,020號以及在2012年4月3日所申請之美國臨時申請案第61/619,854號的優先權。
本發明之具體實施例係概與用以熱處理經塗佈陶瓷物品之熱處理製程有關。
在半導體工業中,是藉由可產生尺寸越發減少之結構的數種製造製程來製造元件。某些製造製程(例如電漿蝕刻與電漿清潔製程)係使基板暴露至高速電漿流,以蝕刻或清潔基板。電漿為高度腐蝕性,且會腐蝕暴露於電漿的處理 腔室以及其他表面。此腐蝕會產生粒子,粒子常會污染正在被處理的基板,導致元件缺陷。
隨著元件幾何尺寸的縮減,對缺陷的敏感度會增加,且對於粒子污染之需求變得更臻嚴格。因此,當元件的幾何尺寸縮減時,可允許的粒子污染程度則會降低。為了使電漿蝕刻及/或電漿清潔製程所產生的粒子污染達到最低,係已開發出可抵抗電漿的腔室材料。這類抗電漿材料的實例包括含有Al2O3、AlN、SiC、Y2O3、石英與ZrO2之陶瓷。然而,對於某些應用而言,這些陶瓷材料的抗電漿特性是不夠的。舉例而言,在使用於臨界尺寸為45奈米或32奈米之半導體元件的電漿蝕刻製程中時,利用傳統陶瓷製造製程所製造的抗電漿陶瓷蓋板及/或噴嘴會產生無法接受的粒子污染程度。此外,當這類抗電漿陶瓷被使用作為陶瓷塗層時,這些塗層會產生較高的粒子污染程度,且會因分層剝落(delamination)而故障。
在一具體實施例中,提供了一種具有陶瓷基板與陶瓷塗層之陶瓷物品,該陶瓷塗層具有初始孔隙度與初始裂縫量。該陶瓷物品被以約每分鐘0.1℃至約每分鐘20℃之升降溫速率加熱至介於約1000℃與約1800℃間之溫度範圍。以該溫度範圍內的一或多個溫度熱處理該陶瓷物品達約24小時之歷時。接著以該升降溫速率來冷卻該陶瓷物品,其中在熱處理之後,該陶瓷塗層具有降低之孔隙度與降低之裂縫量。
100‧‧‧製造系統
105‧‧‧加熱爐
115‧‧‧設備自動化層
120‧‧‧計算裝置
150‧‧‧製程
155、160、165、170‧‧‧方塊
202、204、206、212、214、216‧‧‧顯微照片
208、210、218、220‧‧‧區域
222、224、226、228、230、232、234、236、238‧‧‧顯微照片
240、242、244、246、248、250、252、254、256‧‧‧顯微照片
302、304‧‧‧顯微照片
308‧‧‧元素圖譜
310‧‧‧陶瓷塗層
312‧‧‧過渡層
314‧‧‧陶瓷基板
320、322、324、326、328、330、332‧‧‧顯微照片
350、352、354、356‧‧‧顯微照片
在如附圖式中係藉例示而非限制來說明本發明,在圖式中相同的元件符號是代表相似的元件。應注意在本文中當述及「一」或「一個」具體實施例時,並不一定是指相同的具體實施例,這類敘述是代表「至少一個」。
第1A圖說明了根據本發明的一具體實施例之製造系統的例示架構;第1B圖說明了根據本發明的一具體實施例之用於熱處理陶瓷物品的一種製程;第2A圖為根據本發明具體實施例之在利用熱處理處理陶瓷塗層之前以及在利用熱處理處理陶瓷塗層之後的陶瓷塗層表面的顯微照片;第2B圖為根據本發明具體實施例之在利用熱處理處理陶瓷塗層之前以及在利用熱處理處理陶瓷塗層之後的陶瓷塗層表面在4,000倍放大倍率下的其他顯微照片;第2C圖為根據本發明具體實施例之在利用熱處理處理陶瓷塗層之前以及在利用熱處理處理陶瓷塗層之後的陶瓷塗層表面在20,000倍放大倍率下的其他顯微照片;第2D圖為根據本發明具體實施例之在利用熱處理處理陶瓷塗層之前以及在利用熱處理處理陶瓷塗層之後的陶瓷塗層表面在10,000倍放大倍率下的其他顯微照片;第3A圖為顯示根據本發明的一具體實施例之在熱處理前後的陶瓷物品的截面側視圖之顯微照片;第3B圖為顯示根據本發明具體實施例之在不同溫 度與不同歷時下進行熱處理前後的陶瓷物品之4,000倍放大倍率截面側視圖的顯微照片;第3C圖為顯示根據本發明具體實施例之在熱處理前後的陶瓷物品之20,000倍放大倍率截面側視圖的顯微照片;第3D圖說明根據本發明的一具體實施例之在熱處理前後的HPM陶瓷複合塗層之相態組成比較。
本發明之具體實施例是與一種用於熱處理陶瓷物品的製程有關,且與利用熱處理所處理之陶瓷物品有關。在一具體實施例中,提供了一種包括陶瓷基板與陶瓷塗層之陶瓷物品,該陶瓷塗層具有初始孔隙度、對該陶瓷基板之初始鍵結強度以及初始裂縫量。該陶瓷基板可為燒結陶瓷,且該陶瓷塗層係電漿噴塗陶瓷。該陶瓷物品可為,例如電漿蝕刻器之陶瓷蓋板、噴嘴或處理套件。該陶瓷物品被以約每分鐘0.1℃至約每分鐘20℃之升降溫速率加熱至介於約1000℃與約1800℃間之溫度範圍。該陶瓷物品係在該溫度範圍內的一或多個溫度下進行熱處理達約24小時之歷時。接著該陶瓷物品被以該升降溫速率予以冷卻。在熱處理之後,該陶瓷塗層具有減少之表面缺陷、降低之塗層孔隙度以及減少之裂縫量。該陶瓷塗層也具有降低之表面粗糙度,且另外具有對電漿之較大抵抗性。此外,在熱處理之後,該陶瓷塗層對陶瓷基板具有較強的界面性,該較強的界面性提供了對陶瓷基板 之較大黏結強度。較強的界面性係因在陶瓷基板與陶瓷塗層之間形成過渡層所致。
在一具體實施例中,加熱爐對包含陶瓷基板與陶瓷塗層之陶瓷物品執行熱處理製程,其中該陶瓷塗層具有初始孔隙度與初始裂縫量。該加熱爐係以每分鐘約0.1℃至每分鐘約20℃的升降溫速率來加熱該陶瓷物品,直到該陶瓷物品達到指定溫度或溫度範圍為止。該指定溫度範圍係從約1000℃變化至約1800℃,且該指定溫度係該指定溫度範圍內之溫度。加熱爐係於該指定溫度及/或該溫度範圍內的其他指定溫度下對該陶瓷物品熱處理達約24小時之歷時。該加熱爐接著以該升降溫速率來冷卻該陶瓷物品。在熱處理之後,該陶瓷物品具有降低之表面孔隙度及減少之裂縫量。
本發明之具體實施例係經由過渡層的形成而增加陶瓷塗層以及塗佈該陶瓷塗層之陶瓷基板之間的鍵結強度。本發明之具體實施例也減少了經處理之陶瓷物品上之陶瓷塗層的表面缺陷、降低孔隙度並減少裂縫量。具體實施例也降低了經處理之陶瓷塗層的表面粗糙度,並且使陶瓷塗層上的表面粒子減到最少。當使用於應用電漿之半導體製程(例如電漿蝕刻與電漿清潔製程)時,這類經熱處理之陶瓷塗層具有減少之高能量鍵結(斷鍵)數,並產生明顯較低的粒子污染量。此外,經熱處理之陶瓷塗層的降低孔隙度與減少裂縫係可減少滲入陶瓷塗層而與下方基板反應之製程氣體量。另外,在陶瓷塗層與陶瓷基板間之過渡層(在本文中也稱為界面性過渡層)的形成可抑制滲入塗層之製程化學物與下方基 板反應。這可使分層剝落的發生降至最低。過渡層提高了陶瓷塗層的黏結強度,且可使剝離降至最低。舉例而言,用於蝕刻器機器之經陶瓷塗佈之蓋板與噴嘴係可經熱處理,以使在電漿蝕刻製程期間所產生的粒子污染及/或剝離降至最低。因此,利用本文所述之經熱處理之陶瓷物品而製造的半導體會具有較低的缺陷計數量,且可導致降低之廢棄率。
在本文中所使用之用語「熱處理」係表示對陶瓷物品施加升高溫度,例如藉由加熱爐。當於本文中使用用語「約」時,是用以表示所提出的標稱數值在±10%內都是精確的。
在本文中,有些具體實施例是使用加熱爐來進行熱處理,然而,應瞭解也可使用其他的熱處理技術來進行所述熱處理。可使用的其他熱處理技術之部分實例包括雷射表面處理(也稱為雷射熱處理)、電子束(e-beam)表面處理(也稱為電子束熱處理)、火焰表面處理(也稱為火焰熱處理)以及高溫電漿處理。
同時注意本文所述的有些具體實施例是關於半導體製造之電漿蝕刻器中所使用的經陶瓷塗佈之蓋板與經陶瓷塗佈之噴嘴。然而,應理解到這類電漿蝕刻器也可用以製造微機電系統(MEMS)之元件。此外,本文所述之經熱處理之陶瓷物品可為暴露於電漿的其他結構。舉例而言,該陶瓷物品可為電漿蝕刻器、電漿清潔器、電漿驅動系統等的經陶瓷塗佈之環件、壁部、基部、氣體分配板材、噴淋頭、基板固持框件等。
此外,本文所述之具體實施例是關於在使用於富含 電漿製程之製程腔室中時能夠產生降低之粒子污染的陶瓷物品。然而,應理解本文所述之陶瓷物品在使用於其他製程之製程腔室(例如非電漿蝕刻器、非電漿清潔器、化學氣相沉積(CVD)腔室、物理氣相沉積(PVD)腔室、電漿增強之化學氣相沉積(PECVD)腔室、電漿增強之物理氣相沉積(PEPVD)腔室、電漿增強之原子層沉積(PEALD)腔室等)中時也可提供降低之粒子污染。
第1A圖說明了根據本發明的一具體實施例之製造系統的例示架構。該製造系統100係陶瓷製造系統。在一具體實施例中,該製造系統100包括加熱爐105(例如,如旋轉窯(kiln)之陶瓷加熱爐)、設備自動化層115與計算裝置120。在替代具體實施例中,該製造系統100可包括更多或更少之構件。舉例而言,該製造系統100係僅包含加熱爐105,加熱爐105係手動的離線機器。
加熱爐105為一種經設計以加熱例如陶瓷物品之機器。加熱爐105包括熱絕緣腔室或爐體,可對插置於熱絕緣腔室或爐體中的物品(例如陶瓷物品)施加控制溫度。在一具體實施例中,該腔室係呈密封。加熱爐105可包含泵,以將空氣泵送至腔室外,並因此而於腔室內產生真空。加熱爐105可另外或替代地包含氣體入口,以將氣體(例如,如氬氣或氮氣等惰性氣體)泵送至腔室中。
加熱爐105係具有由技術人員在陶瓷物品的處理期間手動設定之溫度控制器之手動加熱爐。加熱爐105也可以是離線機器,離線機器可以製程配方來予以程式化。該製程 配方係控制升溫速率、降溫速率、製程時間、溫度、壓力、氣體流量等。或者是,加熱爐105可為線上自動化加熱爐,線上自動化加熱爐可經由設備自動化層115而自例如個人電腦、伺服器機器等之計算裝置120接收製程配方。該設備自動化層115係使加熱爐105與計算裝置120、與其他製造機器、與量度工具及/或其他裝置互相連接。
設備自動化層115可包含網路(例如區域網路(LAN))、路由器、閘接器、伺服器、資料儲存器等。加熱爐105可經由半導體設備通訊標準/一般設備模式(SECS/GEM)介面、經由乙太網路介面及/或經由其他介面而連接至設備自動化層115。在一具體實施例中,該設備自動化層115可使製程資料(例如加熱爐105在製程運轉期間所收集到的資料)儲存在資料儲存器(未示)中。在替代具體實施例中,該計算裝置120係直接連接至加熱爐105。
在一具體實施例中,加熱爐105包括可程式化控制器,可程式化控制器可負載、儲存與執行製程配方。該可程式化控制器係控制熱處理製程的溫度設定、氣體及/或真空設定、時間設定等。可程式化控制器係控制腔室加熱,使溫度下降以及上升,可使多步驟熱處理被輸入作為單一製程等。該可程式化控制器可包含主記憶體(例如唯讀記憶體(ROM)、快閃記憶體、動態隨機存取記憶體(DRAM)、靜態隨機存取記憶體(SRAM)等),及/或次要記憶體(例如,如硬碟機之資料儲存裝置)。主記憶體及/或次要記憶體可儲存用於執行本文所述之熱處理製程的指令。
該可程式化控制器也可包含耦接(例如經由匯流排) 至主記憶體及/或次要記憶體以執行指令之處理裝置。該處理裝置可為通用處理裝置,例如微處理器、中央處理單元等。 該處理裝置也可為專用處理裝置,例如特殊應用積體電路(ASIC)、場可程式化閘極陣列(FPGA)、數位訊號處理器(DSP)、網路處理器等。在一具體實施例中,該可程式化處理器係可程式化之邏輯控制器(PLC)。
在一具體實施例中,加熱爐105係經程式化,以執行將使加熱爐105可使用關於第1B圖所述熱處理製程來對陶瓷物品進行熱處理的配方。
第1B圖是流程圖,該流程圖說明了根據本發明之一具體實施例之用於熱處理陶瓷物品的製程150。在製程150的方塊155中,提供陶瓷物品(例如提供至加熱爐或旋轉窯)。在一具體實施例中,該陶瓷物品係由裝載器自動地載入至加熱爐中。該陶瓷物品包括陶瓷基板,該陶瓷基板在至少一表面上係已塗佈有陶瓷塗層。在一具體實施例中,該陶瓷物品係電漿蝕刻器或電漿清潔器之陶瓷蓋板、陶瓷噴嘴或其他製程腔室元件。該陶瓷物品可具有主要為釔系氧化物之陶瓷塗層。使用主要為釔系氧化物之陶瓷是因為釔系氧化物有較佳的抗電漿特性之故。該陶瓷物品也可具有陶瓷基板,該陶瓷基板具有良好的機械特性,例如高撓曲強度與對於高溫及/或熱應力所致之破裂的抵抗性。
在被塗佈陶瓷塗層之前,陶瓷基板係可先經加工。此外,陶瓷塗層可在塗佈該陶瓷基板之後再經加工。加工的 實例包括表面研磨、拋光、鑽孔、磨擦、切割、玻璃珠噴砂或是以加工工具所進行的其他處理。在一具體實施例中,在陶瓷塗層形成於陶瓷基板上方之後,該陶瓷塗層係經拋光。這會產生大量的粒子,粒子會留在陶瓷塗層的裂縫、孔洞或是其他表面缺陷中。
陶瓷基板係由陶瓷塊材所形成,例如Y2O3、 Y4Al2O9、Al2O3、Y3Al5O12(YAG)、石英、SiC、Si3N4、AlN、ZrO2等。舉例而言,該陶瓷基板可為下文中關於陶瓷塗層所說明之任何一種陶瓷的燒結形式之塊材。基板也可為陶瓷複合物,例如Al2O3-YAG之陶瓷複合物或SiC-Si3N4之陶瓷複合物。該陶瓷基板也可為包括含固體溶液之氧化釔(或稱為釔系氧化物或Y2O3)之陶瓷複合物。舉例而言,陶瓷基板可為高性能材料(High Performance Material,HPM),該高性能材料由化合物Y4Al2O9(YAM)與固體溶液Y2-xZrxO3(Y2O3-ZrO2之固體溶液)所組成。注意,純的氧化釔以及含有固體溶液之氧化釔係可摻有ZrO2、Al2O3、SiO2、B2O3、Er2O3、Nd2O3、Nb2O5、CeO2、Sm2O3、Yb2O3或其他氧化物中的一或多種。
類似於陶瓷基板,陶瓷塗層係由Y2O3(氧化釔)、Y4Al2O9(YAM)、Al2O3(氧化鋁)、Y3Al5O12(YAG)、石英、YAlO3(YAP)、SiC(碳化矽)、Si3N4(氮化矽)、AlN(氮化鋁)、ZrO2(二氧化鋯)、AlON(氮氧化鋁)、TiO2(二氧化鈦)、TiC(碳化鈦)、ZrC(碳化鋯)、TiN(氮化鈦)、TiCN(氮化碳鈦)、以Y2O3穩定化之ZrO2(YSZ)等。同樣類似於陶瓷基板,陶瓷塗層可為純的氧化釔或是含有固體溶液之氧化 釔,純的氧化釔或是含有固體溶液之氧化釔可摻有ZrO2、Al2O3、SiO2、B2O3、Er2O3、Nd2O3、Nb2O5、CeO2、Sm2O3、Yb2O3或其他氧化物中的一或多種。在一具體實施例中,陶瓷塗層係HPM複合物。然而,該陶瓷塗層可藉由在陶瓷基板上噴塗或成長陶瓷塗層而形成,且該陶瓷基板是由燒結製程所形成。
在一具體實施例中,該陶瓷塗層為含有已經利用熱噴塗技術或電漿噴塗技術而沉積在陶瓷基板上之陶瓷的氧化釔。熱噴塗技術可熔化材料(例如陶瓷粉末),並將熔化之材料噴塗在陶瓷基板上。熱噴塗陶瓷塗層可具有約20微米至約數毫米之厚度。
在一具體實施例中,該陶瓷塗層係經電漿噴塗至陶瓷基板上。或者是,也可使用其他的熱噴塗技術,例如爆炸噴塗、電弧噴塗、高速氧燃料(HVOF)噴塗、火焰噴塗、暖噴塗與冷噴塗。此外,也可使用其他的塗佈製程來形成陶瓷塗層,例如氣膠沉積、電鍍、物理氣相沈積(PVD)、離子輔助沉積(IAD)以及化學氣相沉積(CVD)。注意,陶瓷塗佈製程係產生具有如孔洞、裂縫與不完全鍵結區域等小空洞的陶瓷塗層,該陶瓷塗層係具有明顯不同於塊材之陶瓷材料(例如陶瓷基板)的結構特性。
在一具體實施例中,該陶瓷塗層是由Y2O3粉末所製成。或者是,該陶瓷塗層係由Y2O3粉末、ZrO2粉末以及Al2O3粉末之混合物所製成之HPM陶瓷複合物。在一具體實施例中,該HPM陶瓷複合物含有77%的Y2O3、15%的ZrO2以及 8%的Al2O3。在另一具體實施例中,該HPM陶瓷複合物含有63%的Y2O3、23%的ZrO2以及14%的Al2O3。在另外一個具體實施例中,該HPM陶瓷複合物含有55%的Y2O3、20%的ZrO2以及25%的Al2O3。相對百分率也可為莫耳比例。舉例而言,該HPM陶瓷可含有77莫耳%的Y2O3、15莫耳%的ZrO2以及8莫耳%的Al2O3。這些陶瓷粉末的其他分配也可用於HPM材料。
該陶瓷塗層初始係具有弱黏結強度(例如約3 MPa),這會使陶瓷塗層隨時間(例如由於將陶瓷物品使用於富含電漿製程之結果)而分層或自陶瓷基板剝離脫落。此外,陶瓷塗層係具有初始孔隙度與初始裂縫量。這些孔洞與裂縫會使製程氣體與清潔化學物質在處理期間滲入陶瓷塗層中並與下方的陶瓷基板反應。這類反應會在陶瓷塗層下方產生氣體、水氣或不同材料,而在陶瓷塗層下方產生氣泡。這些氣泡會進一步使陶瓷塗層與陶瓷基板分離。這種分離會對經處理之材料(例如經處理之晶圓)產生較大量的粒子污染。此外,即使沒有剝離,氣泡、裂縫與孔洞(以及其他的表面缺陷)本身也會對經處理基板產生粒子污染。
在一實例中,在陶瓷塗層中的孔洞、裂縫、空洞與其他表面缺陷可包含斷裂的(或開放的)鍵,該等斷裂的(或開放的)鍵為高能量位置。這些表面缺陷會捕集粒子。舉例而言,粒子會在表面缺陷處與陶瓷物品形成弱的斷鍵(broken bonds)。在電漿處理期間,電漿會破壞這些弱的斷鍵,並自陶瓷塗層移除部分的粒子。陶瓷粒子係接著沉積在經處理之 基板上。此外,電漿會破壞陶瓷物品在缺陷位址處、在孔洞處、在裂縫處等之鍵結,此舉會侵蝕陶瓷塗層,並產生其他的粒子。
在方塊160處,以約每分鐘0.1℃至約每分鐘20℃之升降溫速率來加熱該陶瓷物品。該陶瓷物品為脆性,且在暴露於極度溫度變化下時會破裂。因此,需使用夠慢而足以避免陶瓷物品破裂的升降溫速率。可預期對於某些陶瓷而言,大於每分鐘20℃的升降溫速率也是可行的。因此,在某些具體實施例中,可以使用不會導致破裂且超過每分鐘20℃的升降溫速率。
會使陶瓷物品發生破裂的溫度變化係依陶瓷物品的組成而定。舉例而言,可以每分鐘10℃或更高的升降溫速率來加熱Al2O3而不會破裂。然而,若以比每分鐘約5℃更快速的升降溫速率來加熱Y2O3,Y2O3則會破裂。在一具體實施例中,係對Y2O3與HPM陶瓷複合物之陶瓷塗層使用約每分鐘0.1至5℃的升降溫速率。在進一步之具體實施例中,係對於由Y2O3與HPM陶瓷複合物所製成之陶瓷塗層使用每分鐘約5℃的升降溫速率。一般而言,陶瓷物品是在周圍溫度或接近周圍溫度下開始,且以升降溫速率緩慢加熱之預定溫度。
該陶瓷物品係被加熱至直到達到指定溫度或溫度範圍為止。該指定溫度係介於約1000℃至約1800℃之範圍。所使用之該指定溫度係依陶瓷物品的組成或過渡層之指定目標厚度而定。在一具體實施例中,係針對具有氧化鋁基板與HPM陶瓷塗層或釔系氧化物(Y2O3)之陶瓷塗層的陶瓷物品 使用1400℃至1500℃之溫度。
在方塊165,該陶瓷物品是在該指定溫度下或在該溫度範圍內的一或多個溫度下被加熱處理達24小時之歷時。所使用之指定歷時係依據陶瓷物品的組成以及陶瓷物品的需要性能特性而定。舉例而言,該指定歷時係依據該過渡層之目標溫度而定。
如上述說明,該陶瓷塗層係具有大量的表面缺陷以及留在這些表面缺陷中的粒子。熱處理可減少或消除這些缺陷及/或粒子。具體而言,熱處理會使粒子熔化及/或使在表面曲線區域處的一部分陶瓷塗層熔化。熔化之粒子會與在表面缺陷區域處的陶瓷塗層一起流動。熔化之粒子接著即重新沉積在陶瓷塗層上,並與在這些表面缺陷區域處的陶瓷塗層形成未斷鍵。所產生的未斷鍵會比先前使粒子與陶瓷塗層結合之斷鍵更強許多,因此,在電漿蝕刻製程中,粒子會變得較不會自陶瓷塗層移除,且缺陷區域也變得較不會受到磨蝕。
此外,陶瓷塗層一般具有相對高的孔隙度與相對高的裂縫量。熱處理會使孔洞與裂縫縮減及/或被移除。孔洞與裂縫會基於上述陶瓷塗層的相同熔化與重新沉積而縮減或消失。舉例而言,在孔洞或裂縫處的陶瓷塗層會熔化、然後重新沉積,填充及/或癒合孔洞或裂縫。
在一具體實施例中,該陶瓷塗層與該陶瓷基板會在熱處理製程期間反應而形成過渡層。當陶瓷塗層與陶瓷基板是由會在對熱暴露時反應的材料所組成時,即會形成過渡層。舉例而言,若陶瓷基板為Al2O3且陶瓷塗層為HPM陶瓷 複合物,則該陶瓷塗層與該陶瓷基板將會在熱處理期間反應而形成YAG過渡層。在另一實例中,若陶瓷基板為Al2O3且陶瓷塗層為Y2O3,則該陶瓷塗層與該陶瓷基板會在熱處理期間反應而形成YAG過渡層。其他的陶瓷塗層材料與陶瓷基板材料之組合將形成其他的過渡層。
特別地,該過渡層係非反應性與非孔隙層。因此,在使用經熱處理之陶瓷物品的後續處理期間,製程氣體會滲入陶瓷塗層,但不會滲入過渡層。因此,該過渡層可避免製程氣體與陶瓷基板反應。這可最小化或避免氣泡發生,且可改善陶瓷塗層之剝離性能與黏結強度(鍵結強度)。
雖然過渡層具有各種有利的效應,但若過渡層變得過厚時,過渡層會變得有問題。有些過渡層將具有不同於陶瓷塗層及/或陶瓷基板之膨脹係數。因此,若過渡層比臨界厚度(例如約5微米)更厚,則該過渡層會在後續處理中於陶瓷塗層中產生裂縫。舉例而言,HPM陶瓷複合物與氧化鋁具有大致相等的膨脹係數,但是YAG過渡層則具有不同於HPM陶瓷複合物與氧化鋁之膨脹係數。因此,當YAG過渡層厚於約5微米(μm)時,YAG過渡層的膨脹與收縮會使陶瓷塗層破裂。
過渡層係以與溫度和時間有關的速率成長。當溫度與熱處理歷時增加時,過渡層的厚度也會增加。因此,用於熱處理陶瓷物品的溫度(或多個溫度)與歷時應被選以形成不厚於約5微米之過渡層。在一具體實施例中,溫度與歷時係被選以可使約為0.1微米至約5微米之過渡層被形成。在一 具體實施例中,過渡層具有足以避免氣體在處理期間與陶瓷基板反應之最小厚度(例如約0.1微米)。在一具體實施例中,該過渡層具有1微米至2微米之目標厚度。
熱處理也可使陶瓷塗層的晶粒大小增加。當溫度與熱處理歷時增加時,陶瓷塗層的晶粒大小也會增加。晶粒大小的增加導致較少的晶界,晶界則比陶瓷的晶粒更容易受到電漿磨蝕。因此,晶粒大小的增加可使陶瓷塗層在後續處理中較不易產生粒子污染。因此,可根據陶瓷塗層之目標晶粒大小來選擇熱處理溫度與歷時。
對於氧化鋁陶瓷基板與HPM或氧化釔陶瓷塗層而言,係進行1500℃、熱處理歷時約為3小時至6小時之熱處理。在一具體實施例中,氧化釔或HPM陶瓷複合物之陶瓷塗層的熱處理歷時為約4小時。
在一具體實施例中,該陶瓷物品是在熱處理歷程中維持為單一溫度。或者是,在熱處理期間,該陶瓷物品是被加熱及/或冷卻至該溫度範圍內的多個不同溫度。舉例而言,該陶瓷物品係於1500℃的溫度下熱處理4小時,然後在1700℃的溫度下熱處理2小時,然後在1000℃的溫度下另外熱處理3小時。注意當使用多個不同的熱處理溫度時,該陶瓷物品係以該升降溫速率進行加熱及/或冷卻,以於熱處理溫度之間轉換。
在方塊170處,陶瓷物品係以升降溫速率進行冷卻。在一具體實施例中,陶瓷物品係以與用以加熱陶瓷物品的升降溫速率相同之升降溫速率來進行冷卻。在另一具體實 施例中,可使用不同的升降溫速率來加熱該陶瓷物品。在關於經處理基板之粒子污染、抗電漿磨蝕性、黏結強度、孔隙度、裂縫的數量與大小以及抗剝離性上,所產生的經熱處理之陶瓷物品的陶瓷塗層都具有增進之性能。此外,所產生的經熱處理之陶瓷物品係具有在陶瓷塗層與陶瓷基板之間的過渡層。因此,陶瓷蓋板、陶瓷噴嘴、處理套件以及其他的陶瓷內部處理腔室構件係利用製程150來進行熱處理,以增進製造之產品的產量。此外,製程150所應用之陶瓷物品係具有降低之替換頻率,且可減少設備停工期。
注意製程150可在已經在陶瓷基板上形成陶瓷塗層之後被執行作為陶瓷物品之製造製程的一部分。此外,可對經使用之陶瓷物品週期性地執行製程150,以治癒或修復這些陶瓷物品。舉例而言,在使用之前利用製程150對陶瓷物品進行熱處理,然後每數月、一年一次、一年兩次或以某些其他頻率利用製程150再進行熱處理。執行製程150的頻率是依據陶瓷物品使用之電漿蝕刻及/或電漿清潔配方而定。舉例而言,若該陶瓷物品是頻繁地暴露於特別嚴峻的電漿環境,則以較高頻率來熱處理該陶瓷物品。
暴露於電漿會使陶瓷塗層隨時間而磨蝕及/或腐蝕。舉例而言,電漿會使斷鍵發生於陶瓷塗層的表面處,會產生會污染經處理之基板的陶瓷粒子,會導致在陶瓷塗層表面處之缺陷,會使陶瓷塗層自陶瓷基板剝離等。因此,當陶瓷物品老化時,可能會產生更多的粒子污染。可對這種老化的陶瓷物品進行熱處理製程150,以回復因腐蝕性電漿環境所 產生的損害。除了新製成的陶瓷物品以外,熱處理也可為已使用之陶瓷物品修復缺陷及減少粒子。因此,可對已使用之陶瓷物品執行製程150,以延長陶瓷物品的使用壽命。
注意除了修復表面缺陷以及使粒子減至最少以外,熱處理製程150也可用以乾式清潔陶瓷物品。暴露於電漿環境會使聚合物形成於陶瓷物品的表面上。這些聚合物會在後續處理期間對表面產生粒子污染。通常會執行週期性地濕式清潔製程來移除陶瓷物品上的聚合物。在一具體實施例中,係執行熱處理製程150來取代濕式清潔製程。熱處理製程150會使塗佈陶瓷物品之聚合物在高溫環境中與空氣或其他氣體反應,此反應會使聚合物變為氣態,並離開陶瓷物品的表面。因此,熱處理製程150可用以清潔陶瓷物品以及用以修復陶瓷物品的表面。注意用於後續熱處理製程的溫度及/或歷時可與用於初始熱處理製程的溫度及/或歷時不同。
第2A圖說明根據本發明具體實施例之在利用熱處理來處理陶瓷塗層之前以及在利用熱處理來處理陶瓷物品之後的陶瓷塗層的顯微照片202-216。在顯微照片202-216中所顯示的陶瓷塗層是具有Y4Al2O9與Y2-xZrxO3之HPM陶瓷複合物。
顯微照片202顯示在熱處理之前的陶瓷物品之實例。顯微照片204顯示在顯微照片202中所示之區域208的放大視圖。區域208係相對較無表面缺陷。顯微照片204說明陶瓷塗層的晶粒大小。顯微照片206顯示在顯微照片202中之區域210的放大視圖。區域210說明了陶瓷塗層的表面 缺陷與表面粒子。
顯微照片212顯示在熱處理之後的顯微照片202所示之實例。如圖所示,表面缺陷量係已因熱處理而減少。顯微照片214顯示了顯微照片212中所示之區域218的放大視圖。區域218係相對較無表面缺陷與表面粒子。顯微照片214說明了陶瓷塗層的晶粒大小比顯微照片204中所示之晶粒大小更大。顯微照片216顯示了顯微照片212中所示區域220的放大視圖。區域220說明了陶瓷塗層的表面缺陷。然而,顯微照片216中所顯示的表面缺陷比顯微照片206中所示之表面缺陷較不嚴重,且表面粒子亦已實質上被移除。
第2B圖顯示在根據本發明具體實施例之使用熱處理來處理陶瓷塗層之前以及在已經在不同溫度與處理歷時下使用熱處理來處理該陶瓷塗層之後的陶瓷塗層表面之其他顯微照片222-234(放大倍率為4000倍)。顯微照片222顯示在熱處理之前的陶瓷塗層之實例。顯微照片224顯示在1300℃的溫度下進行4小時熱處理之後的陶瓷塗層之實例。顯微照片226顯示在1400℃的溫度下進行4小時熱處理之後的陶瓷塗層之實例。顯微照片228顯示在1500℃的溫度下進行4小時熱處理之後的陶瓷塗層之實例。顯微照片234顯示在1600℃的溫度下進行4小時熱處理之後的陶瓷塗層之實例。如圖所示,在固定的熱處理時間下,溫度的增加會使裂縫的大小與數量減少。此外,溫度的增加會使孔洞的大小與數量減少(因而降低孔隙率)。
顯微照片230說明在溫度為1300℃下進行24小時 熱處理之後之陶瓷塗層的實例。顯微照片232說明在溫度為1400℃下進行24小時熱處理之後之陶瓷塗層的實例。如圖所示,對陶瓷塗層進行熱處理達4小時以上並不會明顯進一步減少孔隙度或裂縫量。因此,在一具體實施例中,熱處理歷時大致為4小時。
第2C圖顯示在根據本發明具體實施例之使用熱處理來處理陶瓷塗層之前以及在已經在不同溫度與處理歷時下使用熱處理來處理該陶瓷塗層之後的陶瓷塗層表面之其他顯微照片236-248(放大倍率為20000倍)。顯微照片236顯示在熱處理之前的陶瓷塗層。顯微照片238顯示在溫度為1300℃下進行4小時熱處理之後的陶瓷塗層。顯微照片240顯示在溫度為1400℃下進行4小時熱處理之後的陶瓷塗層。顯微照片242顯示在溫度為1500℃下進行4小時熱處理之後的陶瓷塗層。顯微照片248顯示在溫度為1600℃下進行4小時熱處理之後的陶瓷塗層。顯微照片248中所示之晶粒大小係大於顯微照片242中所示之晶粒大小,顯微照片242中所示之晶粒大小係大於顯微照片240中所示之晶粒大小,依此類推。因此,熱處理溫度的增加會導致陶瓷塗層之晶粒大小的增加。
顯微照片244顯示在溫度為1300℃下進行24小時熱處理之後的陶瓷塗層。顯微照片246顯示在溫度為1400℃下進行24小時熱處理之後的陶瓷塗層。因此,熱處理歷時的增加也會使陶瓷塗層之晶粒大小增加。在熱處理之前,陶瓷塗層的晶粒大小係初始為奈米尺寸,且最後因熱處理而成長 為大於奈米尺寸。熱處理之溫度及/或歷時係基於目標晶粒大小而加以選擇。增加處理歷時會導致不均勻的晶粒大小,如顯微照片244與246中所示。
第2D圖顯示在根據本發明具體實施例之使用熱處理來處理陶瓷塗層之前以及在已經在不同溫度與處理歷時下使用熱處理來處理該陶瓷塗層之後的陶瓷塗層表面之其他顯微照片250-256(放大倍率為10000倍)。顯微照片250與254顯示在熱處理之前,陶瓷塗層係包含大量的陶瓷粒子。顯微照片252與256顯示在熱處理之後,陶瓷粒子係已減少或消除。在一具體實施例中,表面粒子計數量可減少達約93%。
第3A圖說明顯微照片302-304,顯微照片302-304顯示了根據本發明之一具體實施例之在熱處理前後的陶瓷物品截面側視圖。顯微照片302顯示該陶瓷物品包括陶瓷基板314和在該陶瓷基板314上方的陶瓷塗層310。所述之陶瓷基板314為氧化鋁,而所述之陶瓷塗層310為HPM陶瓷複合物。
顯微照片304顯示陶瓷基板314與陶瓷塗層310以及已經形成於陶瓷塗層310與陶瓷基板314之間的過渡層312。所述過渡層具有約1微米至2微米之厚度。
同時也顯示了過渡層的元素圖譜308。元素圖譜308係根據能量色散X射線光譜儀(EDX)而提供過渡層312之元素分析。元素圖譜308顯示過渡層312是由碳、氧、鋁和釔所組成。元素圖譜308更顯示了過渡層312中的元素原子濃度大致為18%的碳、46%的氧、23%的鋁以及13%的釔。因此,顯示過渡層312為Y3Al5O12(YAG)。過渡層會明顯增 進陶瓷塗層對陶瓷基板的黏結強度。
第3B圖說明了顯示根據本發明具體實施例之在不同溫度與不同歷時下進行熱處理前後的陶瓷物品之4,000倍放大倍率截面側視圖的顯微照片。顯微照片320顯示,在熱處理之前,在陶瓷塗層310與陶瓷基板314之間有介面。顯微照片322顯示在1300℃下進行4小時熱處理之後的陶瓷塗層310與陶瓷基板314之間的介面。顯微照片324顯示在1400℃下進行4小時熱處理之後的陶瓷塗層310與陶瓷基板314之間的介面。顯微照片326顯示在1500℃下進行4小時熱處理之後的陶瓷塗層310與陶瓷基板314之間的介面。顯微照片332顯示在1600℃下進行4小時熱處理之後的陶瓷塗層310與陶瓷基板314之間的介面。顯微照片328顯示在1300℃下進行24小時熱處理之後的陶瓷塗層310與陶瓷基板314之間的介面。顯微照片330顯示在1400℃下進行24小時熱處理之後的陶瓷塗層310與陶瓷基板314之間的介面。
如顯微照片326、330與332所示,過渡層312是在某些條件下的熱處理期間形成於陶瓷塗層310與陶瓷基板314之間。在熱處理溫度為1300℃下,無論熱處理歷時多久,都沒有過渡層形成。在熱處理溫度為1400℃下,在處理4小時之後並無法偵測到過渡層,但是可在處理24小時之後偵測到過渡層312。在熱處理溫度為1500℃與1600℃下,在處理4小時之後即可偵測到過渡層。
顯示在增加之處理溫度與增加之處理歷時下會形成較厚的過渡層。對於過渡層厚度而言,溫度比歷時具有更大 影響。如圖所示,歷時為4小時、溫度1500℃之熱處理所產生的過渡層312厚度比歷時為24小時、溫度1400℃之熱處理所產生的過渡層312厚度稍微更厚些。
第3C圖說明了顯示根據本發明具體實施例之在熱處理前後的陶瓷物品之20,000倍放大倍率截面側視圖的顯微照片350-356。顯微照片350與354顯示在熱處理之前在陶瓷塗層310與陶瓷基板314之間的介面。在熱處理之前,在陶瓷基板314與陶瓷塗層310之間顯示有間隙370存在。這些間隙會導致陶瓷塗層310後來從陶瓷基板314分層。顯微照片352與356顯示過渡層312係於熱處理期間形成於陶瓷塗層310與陶瓷基板314之間的介面處。此外,顯微照片352與356顯示在熱處理之前所存在的間隙370係因熱處理之結果而消除或減少。此可降低分層的可能性,且可提升陶瓷塗層310對陶瓷基板314的黏結或鍵結強度。
第3D圖說明根據本發明的一具體實施例之在熱處理前後的HPM陶瓷複合塗層之相態組成比較。如圖所示,熱處理並不明顯改變陶瓷塗層或陶瓷基板的相態組成。
陶瓷塗層的表面型態是以表面粗糙度參數及/或表面均勻度參數來表示。表面型態也可使用孔隙度、裂縫及/或空洞之參數。代表孔隙度之測量參數係包含孔隙計數量及/或平均孔隙大小。同樣地,代表空洞及/或裂縫之測量參數係包含平均空洞/裂縫大小及/或空洞/裂縫計數量。
代表粒子計數量的測量參數為膠帶剝離測試粒子計數量與液體粒子計數量(LPC)。膠帶測試是藉由將黏性膠帶 貼附在陶瓷塗層上、然後撕除膠帶並計算黏在膠帶上的粒子數量而進行。LPC是藉由將陶瓷物品放置在水浴(例如去離子(DI)水浴)中並對水浴進行聲波震盪、接著可使用例如雷射計數器來計數脫離而進入溶液中的粒子數量而進行。
黏結強度是藉由對陶瓷塗層施加一力(例如以MPa來測量)、直到陶瓷塗層自陶瓷基板剝離為止而決定。在一具體實施例中,陶瓷塗層之黏結強度在熱處理之前是4MPa的程度,而在熱處理之後則為12 MPa的程度。因此,在熱處理之後,陶瓷塗層對陶瓷基板的黏結強度會比在熱處理之前的黏結強度大致強三倍。
陶瓷塗層之黏結強度、孔隙度、裂縫與粒子計數值係可因熱處理而提升。此外,晶粒大小會因熱處理而增加,而硬度係因熱處理而降低。經驗上證據也顯示,經陶瓷塗佈之蓋板與經陶瓷塗佈之噴嘴在電漿蝕刻處理期間所產生的粒子污染係因熱處理而減少。經驗上證據也顯示,因熱處理的結果,可減少陶瓷塗層自陶瓷基板之剝離。此外,陶瓷塗層的表面粗糙度也因熱處理而降低。
注意對於高達約1200℃的熱處理而言,粒子與陶瓷塗層表面之間的互相作用是由凡得瓦力所主導,根據下式: 其中F為力,A為面積,而H為距離。隨著熱處理溫度從室溫增加至約500℃,凡得瓦力會減弱,而熱膨脹會導致距離H的增加。當熱處理溫度從約500℃增加至約1200℃,凡得瓦力會至少因距離H的減少而增強。這種距離之減少係因為基 板表吸收了粒子及/或變形所致。
在介於約1200℃與1800℃之間的溫度下,在粒子與陶瓷塗層表面之間會形成液體膜。在約1200℃與1500℃之間,液體薄膜係薄液體膜,而在約1500℃與1800℃之間,該液體膜為厚液體膜。在高達約1800℃的溫度下,粒子與陶瓷塗層表面之間的互相作用係藉由毛細力而由液體間互相作用所主導,根據下式:F=4πγR cos θ (式2)其中F為力,γ為液體-空氣表面張力,R為粒子與基板表面之間的界面等效半徑,而θ為接觸角。在這些溫度下,粒子係擴散至液體中,且會在對應晶粒上重新成長。這會使粒子從基板表面移除,即時是在陶瓷物品已經冷卻之後亦然。
對於HPM陶瓷複合物與釔系氧化物而言,1800℃為燒結溫度。因此,在大致為1800℃或高於1800℃的溫度下,在粉末之間的陶瓷塗層中係形成液態相。這些粉末會熔化為液體,並且成長為尺寸更大的晶粒。原子會從高能量晶粒擴散至低能量晶粒,直到達到平衡為止。因此,在一具體實施例中,係在低於約1800℃的溫度下進行熱處理。
前述說明係提出各種具體細節(例如具體系統、構件、方法等之實例),以提供對本發明的數個具體實施例之良好理解。然而,熟習該項技藝者明顯可知,在無這些具體細節下亦可實施本發明之至少某些具體實施例。在其他例子中,習知構件或方法並不詳細加以說明、或是僅以簡單方塊圖形式來呈現,以避免不需要地混淆本發明。因此,所提出 的具體細節僅為例示,特定的實施方式可隨這些例示細節而變化,且仍被視為落於本發明之範疇內。
在本說明書中,當述及「一個具體實施例」或「一具體實施例」時,是表示與該具體實施例相關說明之一特定特徵、結構或特性係包含於至少一個具體實施例中。因此,當本說明書中各個部分出現用語「在一個具體實施例中」或「在一具體實施例中」時,並不是必須要全部都指同一個具體實施例。此外,用語「或」是用以表示包含性的「或」而非排除性的「或」。
本文所述之方法的操作雖以特定順序來說明,但每一方法的操作次序係可加以調整,使得某些操作可以一反向次序而執行,或使得某些操作可至少部分與其他操作同時執行。在另一具體實施例中,不同操作的指令或次操作可為週期性及/或交替的形式。
應理解上述說明僅為說明、而非限制之用。在研讀並理解上述說明之後,熟習該項技藝者即可明顯得知多其他具體實施例。因此,本發明之範疇應參照如附申請專利範圍及這些申請專利範圍所記載之等效例的完整範疇而決定。
150‧‧‧製程
155、160、165、170‧‧‧方塊

Claims (20)

  1. 一種方法,包括以下步驟:提供一陶瓷物品,包括一陶瓷基板與一陶瓷塗層,其中該陶瓷塗層具有一初始孔隙度與一初始裂縫量;以約每分鐘0.1℃至約每分鐘20℃之一升降溫速率,加熱該陶瓷物品至介於約1000℃與約1800℃間之一溫度範圍;以該溫度範圍內的一或多個溫度熱處理該陶瓷物品達約24小時之歷時,以減少該陶瓷塗層的一孔隙度與一裂縫量;及在熱處理之後以該升降溫速率來冷卻該陶瓷物品。
  2. 如請求項1所述之方法,其中該陶瓷塗層另外具有一初始粒子計數量與一初始黏結強度,且其中在熱處理之後,該陶瓷塗層具有一降低之粒子計數量與一增加之黏結強度。
  3. 如請求項1所述之方法,其中該陶瓷基板與該陶瓷塗層實質上係各含有Y2O3、Al2O3、Y4Al2O9、Y3Al5O12(YAG)、石英、SiC、Si3N4、AlN或SiC-Si3N4中至少其一,且其中該陶瓷基板具有與該陶瓷塗層不同之組成。
  4. 如請求項3所述之方法,其中該陶瓷基板與該陶瓷塗層包括在熱處理期間將反應而於該陶瓷塗層與該陶瓷基板之間形成一過渡層之陶瓷。
  5. 如請求項1所述之方法,其中該陶瓷塗層是由包括Y2O3以及ZrO2、Al2O3、SiO2、B2O3,Er2O3、Nd2O3、Nb2O5、CeO2、Sm2O3或Yb2O3中至少其一之一固體溶液。
  6. 如請求項1所述之方法,其中該熱處理係使該陶瓷塗層與該陶瓷基板反應而於該陶瓷基板與該陶瓷塗層之間形成一過渡層,且其中該歷時與該溫度範圍係經選擇以使該過渡層具有約0.1微米至約5微米之一厚度。
  7. 如請求項1所述之方法,更包括以下步驟:在該加工陶瓷物品已經用於一電漿蝕刻製程之後,重複加熱、熱處理與冷卻,以減少該電漿蝕刻製程所產生之一增加表面缺陷密度。
  8. 如請求項7所述之方法,其中該電漿蝕刻製程係使聚合物形成於該陶瓷物品上,且其中在氧的存在下重複所述熱處理係藉由使該等聚合物與氧反應以變成氣體而乾式清潔該陶瓷物品。
  9. 如請求項1所述之方法,其中該方法係在真空、空氣的存在、氬氣的存在、或氮氣的存在中至少其一之下進行。
  10. 如請求項1所述之方法,其中該加工陶瓷物品是一電漿蝕刻器之一處理腔室構件。
  11. 如請求項1所述之方法,其中該熱處理係使該陶瓷塗層的一晶粒大小增加,且其中該歷時與該溫度範圍係經過選擇以達到一目標晶粒大小。
  12. 一種由一製程所製備之經熱處理陶瓷物品,該製程包括:提供一陶瓷物品,該陶瓷物品包括一陶瓷基板與一陶瓷塗層,其中該陶瓷塗層具有一初始孔隙度與一初始黏結強度;以約每分鐘0.1℃至約每分鐘20℃之一升降溫速率,加熱該陶瓷物品至介於約1000℃與約1800℃間之一溫度範圍;以該溫度範圍內的一或多個溫度熱處理該陶瓷物品達約24小時之歷時;及以該升降溫速率來冷卻該陶瓷物品,其中在該熱處理之後該陶瓷塗層具有一增加之黏結強度與一降低之孔隙度。
  13. 如請求項12所述之經熱處理陶瓷物品,其中該陶瓷塗層另外具有一初始粒子計數量與一初始黏結強度,且其中在該熱處理之後,該陶瓷塗層具有一降低之粒子計數量與一增加之黏結強度。
  14. 如請求項12所述之經熱處理陶瓷物品,其中該陶瓷基板與該陶瓷塗層實質上係各含有Y2O3、Al2O3、Y4Al2O9、Y3Al5O12(YAG)、石英、SiC、Si3N4、AlN或SiC-Si3N4中至少其一,且其中該陶瓷基板具有與該陶瓷塗層不同之組成。
  15. 如請求項14所述之經熱處理陶瓷物品,其中該陶瓷基板與該陶瓷塗層包括在熱處理期間將反應而於該陶瓷塗層與該陶瓷基板之間形成一過渡層之陶瓷。
  16. 如請求項12所述之經熱處理陶瓷物品,其中該熱處理係使該陶瓷塗層與該陶瓷基板反應而於該陶瓷基板與該陶瓷塗層之間形成一過渡層,且其中該歷時與該溫度範圍係經選擇以使該過渡層具有約0.1微米至約5微米之一厚度。
  17. 一種陶瓷物品,包括:一陶瓷基板;在該陶瓷基板上之一陶瓷塗層,其中該陶瓷塗層具有與該陶瓷基板不同之組成;及一過渡層,位於該陶瓷基板與該陶瓷塗層之間,該過渡層包括來自該陶瓷塗層之第一元素,該等第一元素已與來自該陶瓷基板之第二元素反應,其中該過渡層具有介於約0.1微米至約5微米之一厚度。
  18. 如請求項17所述之陶瓷物品,其中該陶瓷基板包括Al2O3,該過渡層包括Y3Al5O12(YAG),且該陶瓷塗層包括Y2O3或一Y4Al2O9之化合物與一Y2O3-ZrO2之固體溶液中至少其一。
  19. 如請求項17所述之陶瓷物品,其中該陶瓷基板與該陶瓷塗層實質上係各含有Y2O3、Al2O3、Y4Al2O9、Y3Al5O12(YAG)、石英、SiC、Si3N4、AlN或SiC-Si3N4中至少其一,且其中該陶瓷基板具有與該陶瓷塗層不同之組成。
  20. 如請求項17所述之陶瓷物品,其中該陶瓷物品是一電漿蝕刻器之一製程腔室構件。
TW102106241A 2012-02-22 2013-02-22 具有陶瓷塗層之經熱處理陶瓷基板及用於經塗佈陶瓷之熱處理方法 TWI573778B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201261602020P 2012-02-22 2012-02-22
US201261619854P 2012-04-03 2012-04-03
US13/745,589 US9212099B2 (en) 2012-02-22 2013-01-18 Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics

Publications (2)

Publication Number Publication Date
TW201343603A true TW201343603A (zh) 2013-11-01
TWI573778B TWI573778B (zh) 2017-03-11

Family

ID=48982492

Family Applications (1)

Application Number Title Priority Date Filing Date
TW102106241A TWI573778B (zh) 2012-02-22 2013-02-22 具有陶瓷塗層之經熱處理陶瓷基板及用於經塗佈陶瓷之熱處理方法

Country Status (6)

Country Link
US (4) US9212099B2 (zh)
JP (4) JP6293064B2 (zh)
KR (2) KR102067107B1 (zh)
CN (2) CN107382376B (zh)
TW (1) TWI573778B (zh)
WO (1) WO2013126466A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105603352A (zh) * 2016-01-15 2016-05-25 中国科学院上海硅酸盐研究所 Al2O3/YAG非晶/共晶复合陶瓷涂层及其制备方法

Families Citing this family (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US9090046B2 (en) 2012-04-16 2015-07-28 Applied Materials, Inc. Ceramic coated article and process for applying ceramic coating
US9604249B2 (en) 2012-07-26 2017-03-28 Applied Materials, Inc. Innovative top-coat approach for advanced device on-wafer particle performance
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
US9708713B2 (en) 2013-05-24 2017-07-18 Applied Materials, Inc. Aerosol deposition coating for semiconductor chamber components
US9865434B2 (en) 2013-06-05 2018-01-09 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9711334B2 (en) 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US20150079370A1 (en) 2013-09-18 2015-03-19 Applied Materials, Inc. Coating architecture for plasma sprayed chamber components
US9440886B2 (en) 2013-11-12 2016-09-13 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
WO2015134135A1 (en) 2014-03-05 2015-09-11 Applied Materials, Inc. Critical chamber component surface improvement to reduce chamber particles
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US9869013B2 (en) 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US10730798B2 (en) 2014-05-07 2020-08-04 Applied Materials, Inc. Slurry plasma spray of plasma resistant ceramic coating
US10196728B2 (en) 2014-05-16 2019-02-05 Applied Materials, Inc. Plasma spray coating design using phase and stress control
US9460898B2 (en) 2014-08-08 2016-10-04 Applied Materials, Inc. Plasma generation chamber with smooth plasma resistant coating
CN105428195B (zh) * 2014-09-17 2018-07-17 东京毅力科创株式会社 等离子体处理装置用的部件和部件的制造方法
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US20160254125A1 (en) * 2015-02-27 2016-09-01 Lam Research Corporation Method for coating surfaces
CN104761151B (zh) * 2015-03-19 2017-03-15 胡宇杰 3d玻璃板材的制作方法
KR102447682B1 (ko) * 2015-05-29 2022-09-27 삼성전자주식회사 코팅층 형성 방법, 플라즈마 처리 장치 및 패턴 형성 방법
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
WO2017142090A1 (ja) 2016-02-19 2017-08-24 新日鐵住金株式会社 セラミックス積層体、セラミックス絶縁基板、及びセラミックス積層体の製造方法
US20170291856A1 (en) * 2016-04-06 2017-10-12 Applied Materials, Inc. Solution precursor plasma spray of ceramic coating for semiconductor chamber applications
US11326253B2 (en) 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
JP6067166B1 (ja) 2016-05-13 2017-01-25 三菱日立パワーシステムズ株式会社 コーティング構造、これを有するタービン部品及びコーティング構造の製造方法
US9850573B1 (en) 2016-06-23 2017-12-26 Applied Materials, Inc. Non-line of sight deposition of erbium based plasma resistant ceramic coating
US10975469B2 (en) 2017-03-17 2021-04-13 Applied Materials, Inc. Plasma resistant coating of porous body by atomic layer deposition
US10774006B2 (en) 2017-05-10 2020-09-15 Applied Materials, Inc. Microwave and induction heat treatment of ceramic coatings
US10766824B2 (en) * 2017-11-08 2020-09-08 Applied Materials, Inc. Methods of minimizing particles on wafer from plasma spray coatings
US11047035B2 (en) 2018-02-23 2021-06-29 Applied Materials, Inc. Protective yttria coating for semiconductor equipment parts
US11401599B2 (en) 2018-06-18 2022-08-02 Applied Materials, Inc. Erosion resistant metal silicate coatings
US11667575B2 (en) 2018-07-18 2023-06-06 Applied Materials, Inc. Erosion resistant metal oxide coatings
JP7331762B2 (ja) * 2019-04-12 2023-08-23 信越化学工業株式会社 溶射材料、その製造方法、及び溶射皮膜の形成方法
JP7312064B2 (ja) * 2019-09-10 2023-07-20 日本特殊陶業株式会社 溶射膜被覆部材の製造方法
KR20220104779A (ko) * 2019-11-22 2022-07-26 램 리써치 코포레이션 플라즈마 챔버들을 위한 저온 소결된 (sintered) 코팅들
US20230088848A1 (en) * 2020-01-23 2023-03-23 Lam Research Corporation Yttrium aluminum coating for plasma processing chamber components
KR102439674B1 (ko) * 2022-07-25 2022-09-02 주식회사 코닉스 내플라즈마성이 향상된 알루미나 세라믹 소재 및 내플라즈마성이 향상된 알루미나 세라믹 소재의 제조 장치
CN115677352B (zh) * 2022-11-23 2023-09-26 中国科学院上海硅酸盐研究所 一种高强度AlON透明陶瓷材料及其制备方法

Family Cites Families (252)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3796182A (en) 1971-12-16 1974-03-12 Applied Materials Tech Susceptor structure for chemical vapor deposition reactor
SE8000480L (sv) 1979-02-01 1980-08-02 Johnson Matthey Co Ltd Artikel lemplig for anvendning vid hoga temperaturer
US4439248A (en) 1982-02-02 1984-03-27 Cabot Corporation Method of heat treating NICRALY alloys for use as ceramic kiln and furnace hardware
US4676994A (en) * 1983-06-15 1987-06-30 The Boc Group, Inc. Adherent ceramic coatings
US4642440A (en) 1984-11-13 1987-02-10 Schnackel Jay F Semi-transferred arc in a liquid stabilized plasma generator and method for utilizing the same
US4704299A (en) 1985-11-06 1987-11-03 Battelle Memorial Institute Process for low temperature curing of sol-gel thin films
US4695439A (en) 1986-09-25 1987-09-22 Gte Products Corporation Yttrium oxide stabilized zirconium oxide
US4773928A (en) 1987-08-03 1988-09-27 Gte Products Corporation Plasma spray powders and process for producing same
CN1036286A (zh) 1988-02-24 1989-10-11 珀金·埃莱姆公司 超导陶瓷的次大气压等离子体喷涂
US4880614A (en) 1988-11-03 1989-11-14 Allied-Signal Inc. Ceramic thermal barrier coating with alumina interlayer
JPH0775893A (ja) * 1993-09-03 1995-03-20 Hitachi Ltd 構造物の補修方法および予防保全方法
US5381944A (en) 1993-11-04 1995-01-17 The Regents Of The University Of California Low temperature reactive bonding
US5631803A (en) 1995-01-06 1997-05-20 Applied Materials, Inc. Erosion resistant electrostatic chuck with improved cooling system
US5415756A (en) 1994-03-28 1995-05-16 University Of Houston Ion assisted deposition process including reactive source gassification
US5679167A (en) 1994-08-18 1997-10-21 Sulzer Metco Ag Plasma gun apparatus for forming dense, uniform coatings on large substrates
WO1996011288A1 (en) 1994-10-05 1996-04-18 United Technologies Corporation Multiple nanolayer coating system
US5792562A (en) 1995-01-12 1998-08-11 Applied Materials, Inc. Electrostatic chuck with polymeric impregnation and method of making
US5626923A (en) 1995-09-19 1997-05-06 Mcdonnell Douglas Corporation Method of applying ceramic coating compositions to ceramic or metallic substrate
US5766693A (en) 1995-10-06 1998-06-16 Ford Global Technologies, Inc. Method of depositing composite metal coatings containing low friction oxides
KR100428428B1 (ko) 1996-04-12 2004-04-28 가부시끼가이샤 히다치 세이사꾸쇼 플라즈마 처리장치
US6500314B1 (en) 1996-07-03 2002-12-31 Tegal Corporation Plasma etch reactor and method
US5837058A (en) 1996-07-12 1998-11-17 Applied Materials, Inc. High temperature susceptor
JP3619330B2 (ja) 1996-07-31 2005-02-09 京セラ株式会社 プラズマプロセス装置用部材
US6217662B1 (en) 1997-03-24 2001-04-17 Cree, Inc. Susceptor designs for silicon carbide thin films
US6194083B1 (en) 1997-07-28 2001-02-27 Kabushiki Kaisha Toshiba Ceramic composite material and its manufacturing method, and heat resistant member using thereof
US6106959A (en) 1998-08-11 2000-08-22 Siemens Westinghouse Power Corporation Multilayer thermal barrier coating systems
US6361645B1 (en) 1998-10-08 2002-03-26 Lam Research Corporation Method and device for compensating wafer bias in a plasma processing chamber
EP1013623B1 (en) 1998-12-21 2004-09-15 Shin-Etsu Chemical Co., Ltd. Corrosion-resistant composite oxide material
WO2001024581A1 (en) 1999-09-29 2001-04-05 Tokyo Electron Limited Multi-zone resistance heater
KR20010062209A (ko) * 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
US6949203B2 (en) 1999-12-28 2005-09-27 Applied Materials, Inc. System level in-situ integrated dielectric etch process particularly useful for copper dual damascene
JP4272786B2 (ja) 2000-01-21 2009-06-03 トーカロ株式会社 静電チャック部材およびその製造方法
US6891263B2 (en) 2000-02-07 2005-05-10 Ibiden Co., Ltd. Ceramic substrate for a semiconductor production/inspection device
US6962524B2 (en) 2000-02-17 2005-11-08 Applied Materials, Inc. Conductive polishing article for electrochemical mechanical polishing
FI117979B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
JP2002249864A (ja) * 2000-04-18 2002-09-06 Ngk Insulators Ltd 耐ハロゲンガスプラズマ用部材およびその製造方法
JP4540221B2 (ja) * 2000-04-21 2010-09-08 日本碍子株式会社 積層体、耐蝕性部材および耐ハロゲンガスプラズマ用部材
TW503449B (en) * 2000-04-18 2002-09-21 Ngk Insulators Ltd Halogen gas plasma-resistive members and method for producing the same, laminates, and corrosion-resistant members
CA2306941A1 (en) 2000-04-27 2001-10-27 Standard Aero Ltd. Multilayer thermal barrier coatings
US7441688B2 (en) 2003-11-04 2008-10-28 Reactive Nanotechnologies Methods and device for controlling pressure in reactive multilayer joining and resulting product
JP3798319B2 (ja) 2000-05-02 2006-07-19 ジョンズ ホプキンス ユニバーシティ 自立形反応性多層フォイル
WO2001087798A2 (en) * 2000-05-19 2001-11-22 The University Of British Columbia Process for making chemically bonded composite hydroxide ceramics
NL1015550C2 (nl) 2000-06-28 2002-01-02 Xycarb Ceramics B V Werkwijze voor het vervaardigen van een uit een kern opgebouwde susceptor, aldus verkregen susceptor en een werkwijze voor het aanbrengen van actieve lagen op een halfgeleidersubstraat onder toepassing van een dergelijke susceptor.
DE60127035T2 (de) 2000-06-29 2007-11-08 Shin-Etsu Chemical Co., Ltd. Thermisches Sprühbeschichtungsverfahren und Pulver aus Oxyden der seltenen Erden dafür
US6506254B1 (en) 2000-06-30 2003-01-14 Lam Research Corporation Semiconductor processing equipment having improved particle performance
JP4688307B2 (ja) 2000-07-11 2011-05-25 コバレントマテリアル株式会社 半導体製造装置用耐プラズマ性部材
WO2002009167A2 (en) 2000-07-20 2002-01-31 North Carolina State University High dielectric constant metal silicates formed by controlled metal-surface reactions
US6479108B2 (en) 2000-11-15 2002-11-12 G.T. Equipment Technologies, Inc. Protective layer for quartz crucibles used for silicon crystallization
US6620520B2 (en) 2000-12-29 2003-09-16 Lam Research Corporation Zirconia toughened ceramic components and coatings in semiconductor processing equipment and method of manufacture thereof
US6805952B2 (en) 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
US6581275B2 (en) 2001-01-22 2003-06-24 Applied Materials Inc. Fabricating an electrostatic chuck having plasma resistant gas conduits
US6746539B2 (en) 2001-01-30 2004-06-08 Msp Corporation Scanning deposition head for depositing particles on a wafer
EP1239055B1 (en) 2001-03-08 2017-03-01 Shin-Etsu Chemical Co., Ltd. Thermal spray spherical particles, and sprayed components
US6723209B2 (en) 2001-03-16 2004-04-20 4-Wave, Inc. System and method for performing thin film deposition or chemical treatment using an energetic flux of neutral reactive molecular fragments, atoms or radicals
JP2002356387A (ja) 2001-03-30 2002-12-13 Toshiba Ceramics Co Ltd 耐プラズマ性部材
US6915964B2 (en) 2001-04-24 2005-07-12 Innovative Technology, Inc. System and process for solid-state deposition and consolidation of high velocity powder particles using thermal plastic deformation
US7670688B2 (en) 2001-06-25 2010-03-02 Applied Materials, Inc. Erosion-resistant components for plasma process chambers
TWI234417B (en) 2001-07-10 2005-06-11 Tokyo Electron Ltd Plasma procesor and plasma processing method
US6616031B2 (en) 2001-07-17 2003-09-09 Asm Assembly Automation Limited Apparatus and method for bond force control
US20030047464A1 (en) 2001-07-27 2003-03-13 Applied Materials, Inc. Electrochemically roughened aluminum semiconductor processing apparatus surfaces
JP4515255B2 (ja) 2001-08-02 2010-07-28 スリーエム イノベイティブ プロパティズ カンパニー Al2O3−Y2O3−ZrO2材料
JP4921652B2 (ja) 2001-08-03 2012-04-25 エイエスエム インターナショナル エヌ.ヴェー. イットリウム酸化物およびランタン酸化物薄膜を堆積する方法
US20030029563A1 (en) * 2001-08-10 2003-02-13 Applied Materials, Inc. Corrosion resistant coating for semiconductor processing chamber
JP5132859B2 (ja) 2001-08-24 2013-01-30 ステラケミファ株式会社 多成分を有するガラス基板用の微細加工表面処理液
JP4663927B2 (ja) 2001-08-29 2011-04-06 信越化学工業株式会社 希土類含有酸化物部材
KR20030025007A (ko) 2001-09-19 2003-03-28 삼성전자주식회사 쉴드링을 가지는 식각장비
JP2003146751A (ja) 2001-11-20 2003-05-21 Toshiba Ceramics Co Ltd 耐プラズマ性部材及びその製造方法
JP4493251B2 (ja) 2001-12-04 2010-06-30 Toto株式会社 静電チャックモジュールおよび基板処理装置
KR100440500B1 (ko) 2001-12-07 2004-07-15 주식회사 코미코 플라즈마 스프레이 방식을 이용한 세라믹 반도체 부품의제조 및 재생 방법
US6942929B2 (en) 2002-01-08 2005-09-13 Nianci Han Process chamber having component with yttrium-aluminum coating
US7371467B2 (en) 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US6592948B1 (en) 2002-01-11 2003-07-15 General Electric Company Method for masking selected regions of a substrate
US6884514B2 (en) 2002-01-11 2005-04-26 Saint-Gobain Ceramics & Plastics, Inc. Method for forming ceramic layer having garnet crystal structure phase and article made thereby
US20080213496A1 (en) 2002-02-14 2008-09-04 Applied Materials, Inc. Method of coating semiconductor processing apparatus with protective yttrium-containing coatings
US20080264564A1 (en) 2007-04-27 2008-10-30 Applied Materials, Inc. Method of reducing the erosion rate of semiconductor processing apparatus exposed to halogen-containing plasmas
US8067067B2 (en) 2002-02-14 2011-11-29 Applied Materials, Inc. Clean, dense yttrium oxide coating protecting semiconductor processing apparatus
US6789498B2 (en) 2002-02-27 2004-09-14 Applied Materials, Inc. Elements having erosion resistance
JP4153708B2 (ja) 2002-03-12 2008-09-24 東京エレクトロン株式会社 エッチング方法
US20030175142A1 (en) 2002-03-16 2003-09-18 Vassiliki Milonopoulou Rare-earth pre-alloyed PVD targets for dielectric planar applications
JP2003277051A (ja) * 2002-03-22 2003-10-02 Ngk Insulators Ltd イットリア−アルミナ複合酸化物膜を有する積層体、イットリア−アルミナ複合酸化物膜、耐蝕性部材、耐蝕性膜およびイットリア−アルミナ複合酸化物膜の製造方法
US7026009B2 (en) 2002-03-27 2006-04-11 Applied Materials, Inc. Evaluation of chamber components having textured coatings
JP3643872B2 (ja) * 2002-05-02 2005-04-27 独立行政法人産業技術総合研究所 酸化物セラミックス複合材料の形成方法
DE10224137A1 (de) 2002-05-24 2003-12-04 Infineon Technologies Ag Ätzgas und Verfahren zum Trockenätzen
TWI241284B (en) 2002-06-06 2005-10-11 Ngk Insulators Ltd A method of producing sintered bodies, a method of producing shaped bodies, shaped bodies, corrosion resistant members and a method of producing ceramic member
US20030232139A1 (en) 2002-06-13 2003-12-18 Detura Frank Anthony Shield and method for spraying coating on a surface
US7311797B2 (en) 2002-06-27 2007-12-25 Lam Research Corporation Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
US6784096B2 (en) 2002-09-11 2004-08-31 Applied Materials, Inc. Methods and apparatus for forming barrier layers in high aspect ratio vias
JP2004107718A (ja) 2002-09-18 2004-04-08 Ngk Insulators Ltd 積層体、溶射膜および積層体の製造方法
US6798519B2 (en) 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US7507481B2 (en) 2002-11-20 2009-03-24 Shin-Etsu Chemical Co., Ltd. Heat resistant coated member, making method, and treatment using the same
FR2850790B1 (fr) 2003-02-05 2005-04-08 Semco Engineering Sa Semelle de collage electrostatique avec electrode radiofrequence et moyens thermostatiques integres
CN100401478C (zh) 2003-02-12 2008-07-09 松下电器产业株式会社 半导体器件的制造方法
US6753269B1 (en) 2003-05-08 2004-06-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method for low k dielectric deposition
JP2004332081A (ja) 2003-05-12 2004-11-25 Shin Etsu Chem Co Ltd 耐プラズマ部材及びその製造方法
US7510641B2 (en) 2003-07-21 2009-03-31 Los Alamos National Security, Llc High current density electropolishing in the preparation of highly smooth substrate tapes for coated conductors
US7097713B2 (en) * 2003-08-19 2006-08-29 The Boc Group, Inc. Method for removing a composite coating containing tantalum deposition and arc sprayed aluminum from ceramic substrates
US7658816B2 (en) 2003-09-05 2010-02-09 Tokyo Electron Limited Focus ring and plasma processing apparatus
US20050056056A1 (en) 2003-09-16 2005-03-17 Wong Marvin Glenn Healing micro cracks in a substrate
US7645526B2 (en) 2003-09-16 2010-01-12 Shin-Etsu Quartz Products, Ltd. Member for plasma etching device and method for manufacture thereof
EP1524682B1 (en) 2003-10-17 2011-10-05 Tosoh Corporation Component for vacuum apparatus, production method thereof and apparatus using the same
CN100432024C (zh) 2003-10-31 2008-11-12 株式会社德山 氮化铝接合体及其制造方法
US7220497B2 (en) * 2003-12-18 2007-05-22 Lam Research Corporation Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components
US20050142393A1 (en) 2003-12-30 2005-06-30 Boutwell Brett A. Ceramic compositions for thermal barrier coatings stabilized in the cubic crystalline phase
JP4606121B2 (ja) 2004-01-29 2011-01-05 京セラ株式会社 耐食膜積層耐食性部材およびその製造方法
JP2005260040A (ja) 2004-02-12 2005-09-22 Sony Corp ドーピング方法、半導体装置の製造方法および電子応用装置の製造方法
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
WO2005098086A2 (en) * 2004-03-24 2005-10-20 Massachusetts Institute Of Technology Remote chamber methods for removing surface deposits
JP4443976B2 (ja) 2004-03-30 2010-03-31 忠弘 大見 セラミックスの洗浄方法および高清浄性セラミックス
US20060165994A1 (en) 2004-07-07 2006-07-27 General Electric Company Protective coating on a substrate and method of making thereof
JP2006027012A (ja) 2004-07-14 2006-02-02 Pioneer Electronic Corp 脱泡方法及びこれを用いた脱泡装置
JP2006108602A (ja) 2004-09-10 2006-04-20 Toshiba Corp 半導体装置及びその製造方法
US20060068189A1 (en) 2004-09-27 2006-03-30 Derek Raybould Method of forming stabilized plasma-sprayed thermal barrier coatings
US7622424B2 (en) 2004-10-01 2009-11-24 American Superconductor Corporation Thick superconductor films with improved performance
JP2006128000A (ja) 2004-10-29 2006-05-18 Advanced Lcd Technologies Development Center Co Ltd プラズマ処理装置
KR20060041497A (ko) 2004-11-09 2006-05-12 동부일렉트로닉스 주식회사 건식 식각장치
US8058186B2 (en) 2004-11-10 2011-11-15 Tokyo Electron Limited Components for substrate processing apparatus and manufacturing method thereof
JP2006207012A (ja) 2004-12-28 2006-08-10 Toshiba Ceramics Co Ltd イットリウム系セラミックス被覆材およびその製造方法
US7838083B1 (en) 2005-01-28 2010-11-23 Sandia Corporation Ion beam assisted deposition of thermal barrier coatings
US7354659B2 (en) 2005-03-30 2008-04-08 Reactive Nanotechnologies, Inc. Method for fabricating large dimension bonds using reactive multilayer joining
US20060222777A1 (en) 2005-04-05 2006-10-05 General Electric Company Method for applying a plasma sprayed coating using liquid injection
JP2008545612A (ja) * 2005-05-31 2008-12-18 コーニング インコーポレイテッド 細孔形成剤の組合せを含有するチタン酸アルミニウムセラミック形成バッチ混合物および未焼成体、および同混合物および未焼成体の製造および焼成方法
KR101322549B1 (ko) 2005-06-17 2013-10-25 고쿠리츠다이가쿠호진 도호쿠다이가쿠 금속 부재의 보호막 구조 및 보호막 구조를 이용한 금속부품 그리고 보호막 구조를 이용한 반도체 또는 평판디스플레이 제조 장치
JP4586984B2 (ja) 2005-06-30 2010-11-24 株式会社ボークス 人形の関節部材、該関節部材を備えた人形
JP4813115B2 (ja) 2005-07-14 2011-11-09 国立大学法人東北大学 半導体製造装置用部材及びその洗浄方法
KR20070013118A (ko) 2005-07-25 2007-01-30 삼성전자주식회사 플라즈마 식각 장치
EP1780298A4 (en) * 2005-07-29 2009-01-07 Tocalo Co Ltd Part Coated with Y203 Thermally Sprayed Film and Method of Making the Same
US7672110B2 (en) 2005-08-29 2010-03-02 Applied Materials, Inc. Electrostatic chuck having textured contact surface
JP4571561B2 (ja) * 2005-09-08 2010-10-27 トーカロ株式会社 耐プラズマエロージョン性に優れる溶射皮膜被覆部材およびその製造方法
JP4985928B2 (ja) 2005-10-21 2012-07-25 信越化学工業株式会社 多層コート耐食性部材
US7968205B2 (en) 2005-10-21 2011-06-28 Shin-Etsu Chemical Co., Ltd. Corrosion resistant multilayer member
JP2007126712A (ja) 2005-11-02 2007-05-24 Fujimi Inc 溶射用粉末及び溶射皮膜の形成方法
TWI332532B (en) 2005-11-04 2010-11-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US20070113783A1 (en) 2005-11-19 2007-05-24 Applied Materials, Inc. Band shield for substrate processing chamber
US7622195B2 (en) 2006-01-10 2009-11-24 United Technologies Corporation Thermal barrier coating compositions, processes for applying same and articles coated with same
US7736759B2 (en) 2006-01-20 2010-06-15 United Technologies Corporation Yttria-stabilized zirconia coating with a molten silicate resistant outer layer
JP4996868B2 (ja) * 2006-03-20 2012-08-08 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US7648782B2 (en) 2006-03-20 2010-01-19 Tokyo Electron Limited Ceramic coating member for semiconductor processing apparatus
KR101344990B1 (ko) 2006-04-20 2013-12-24 신에쓰 가가꾸 고교 가부시끼가이샤 도전성 내플라즈마 부재
US20070264155A1 (en) 2006-05-09 2007-11-15 Brady Michael D Aerosol jet deposition method and system for creating a reference region/sample region on a biosensor
US20110268956A1 (en) 2006-05-12 2011-11-03 Fundacion Inasmet Method for obtaining ceramic coatings and ceramic coatings obtained
US20070274837A1 (en) 2006-05-26 2007-11-29 Thomas Alan Taylor Blade tip coatings
US20080009417A1 (en) 2006-07-05 2008-01-10 General Electric Company Coating composition, article, and associated method
US20080016684A1 (en) 2006-07-06 2008-01-24 General Electric Company Corrosion resistant wafer processing apparatus and method for making thereof
US20080029032A1 (en) 2006-08-01 2008-02-07 Sun Jennifer Y Substrate support with protective layer for plasma resistance
US7722959B2 (en) 2006-09-06 2010-05-25 United Technologies Corporation Silicate resistant thermal barrier coating with alternating layers
US7701693B2 (en) 2006-09-13 2010-04-20 Ngk Insulators, Ltd. Electrostatic chuck with heater and manufacturing method thereof
US20080090034A1 (en) 2006-09-18 2008-04-17 Harrison Daniel J Colored glass frit
US7469640B2 (en) 2006-09-28 2008-12-30 Alliant Techsystems Inc. Flares including reactive foil for igniting a combustible grain thereof and methods of fabricating and igniting such flares
CN101522946B (zh) 2006-10-06 2012-06-13 迦南精机株式会社 耐腐蚀性构件及其制备方法
US7479464B2 (en) 2006-10-23 2009-01-20 Applied Materials, Inc. Low temperature aerosol deposition of a plasma resistive layer
US20080176149A1 (en) 2006-10-30 2008-07-24 Applied Materials, Inc. Endpoint detection for photomask etching
US7919722B2 (en) 2006-10-30 2011-04-05 Applied Materials, Inc. Method for fabricating plasma reactor parts
US20080142755A1 (en) 2006-12-13 2008-06-19 General Electric Company Heater apparatus and associated method
US8097105B2 (en) 2007-01-11 2012-01-17 Lam Research Corporation Extending lifetime of yttrium oxide as a plasma chamber material
CN101657516B (zh) * 2007-03-12 2015-07-22 圣戈本陶瓷及塑料股份有限公司 高强度陶瓷元件及其制造方法和使用方法
US7659204B2 (en) 2007-03-26 2010-02-09 Applied Materials, Inc. Oxidized barrier layer
US7718559B2 (en) 2007-04-20 2010-05-18 Applied Materials, Inc. Erosion resistance enhanced quartz used in plasma etch chamber
TWI351057B (en) * 2007-04-27 2011-10-21 Applied Materials Inc Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
US7696117B2 (en) 2007-04-27 2010-04-13 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
US9202736B2 (en) 2007-07-31 2015-12-01 Applied Materials, Inc. Method for refurbishing an electrostatic chuck with reduced plasma penetration and arcing
US7848076B2 (en) 2007-07-31 2010-12-07 Applied Materials, Inc. Method and apparatus for providing an electrostatic chuck with reduced plasma penetration and arcing
US8108981B2 (en) 2007-07-31 2012-02-07 Applied Materials, Inc. Method of making an electrostatic chuck with reduced plasma penetration and arcing
US8367227B2 (en) 2007-08-02 2013-02-05 Applied Materials, Inc. Plasma-resistant ceramics with controlled electrical resistivity
US7649729B2 (en) 2007-10-12 2010-01-19 Applied Materials, Inc. Electrostatic chuck assembly
US8129029B2 (en) 2007-12-21 2012-03-06 Applied Materials, Inc. Erosion-resistant plasma chamber components comprising a metal base structure with an overlying thermal oxidation coating
JP2009176787A (ja) * 2008-01-22 2009-08-06 Hitachi High-Technologies Corp エッチング処理装置及びエッチング処理室用部材
US20090214825A1 (en) 2008-02-26 2009-08-27 Applied Materials, Inc. Ceramic coating comprising yttrium which is resistant to a reducing plasma
CA2658210A1 (en) 2008-04-04 2009-10-04 Sulzer Metco Ag Method and apparatus for the coating and for the surface treatment of substrates by means of a plasma beam
DE102008021167B3 (de) 2008-04-28 2010-01-21 Siemens Aktiengesellschaft Verfahren zur Erzeugung einer hermetisch dichten, elektrischen Durchführung mittels exothermer Nanofolie und damit hergestellte Vorrichtung
US8546284B2 (en) 2008-05-07 2013-10-01 Council Of Scientific & Industrial Research Process for the production of plasma sprayable yttria stabilized zirconia (YSZ) and plasma sprayable YSZ powder produced thereby
TWI475594B (zh) 2008-05-19 2015-03-01 Entegris Inc 靜電夾頭
US20090297718A1 (en) * 2008-05-29 2009-12-03 General Electric Company Methods of fabricating environmental barrier coatings for silicon based substrates
JP2010006641A (ja) * 2008-06-27 2010-01-14 Kyocera Corp 耐食性部材およびこれを用いた処理装置
WO2010019430A2 (en) 2008-08-12 2010-02-18 Applied Materials, Inc. Electrostatic chuck assembly
JP5537001B2 (ja) 2008-08-20 2014-07-02 株式会社アルバック 表面処理セラミックス部材、その製造方法および真空処理装置
US7929269B2 (en) 2008-09-04 2011-04-19 Momentive Performance Materials Inc. Wafer processing apparatus having a tunable electrical resistivity
JP5357486B2 (ja) 2008-09-30 2013-12-04 東京エレクトロン株式会社 プラズマ処理装置
JP5551353B2 (ja) 2008-10-30 2014-07-16 株式会社日本セラテック 耐食性部材
JP5545792B2 (ja) 2008-10-31 2014-07-09 株式会社日本セラテック 耐食性部材
EP2350334A2 (en) 2008-11-04 2011-08-03 Praxair Technology, Inc. Thermal spray coatings for semiconductor applications
US8206829B2 (en) * 2008-11-10 2012-06-26 Applied Materials, Inc. Plasma resistant coatings for plasma chamber components
US9017765B2 (en) 2008-11-12 2015-04-28 Applied Materials, Inc. Protective coatings resistant to reactive plasma processing
US8858745B2 (en) 2008-11-12 2014-10-14 Applied Materials, Inc. Corrosion-resistant bonding agents for bonding ceramic components which are exposed to plasmas
FR2938554B1 (fr) * 2008-11-19 2011-05-06 Areva Nc Procede de revetement d'un element de creuset metallique par un melange de verre et de ceramique
JP5407324B2 (ja) 2008-12-24 2014-02-05 堺化学工業株式会社 酸化ジルコニウム分散液の製造方法
FR2940278B1 (fr) * 2008-12-24 2011-05-06 Snecma Propulsion Solide Barriere environnementale pour substrat refractaire contenant du silicium
US20100177454A1 (en) 2009-01-09 2010-07-15 Component Re-Engineering Company, Inc. Electrostatic chuck with dielectric inserts
US7964517B2 (en) 2009-01-29 2011-06-21 Texas Instruments Incorporated Use of a biased precoat for reduced first wafer defects in high-density plasma process
CA2750789C (en) 2009-02-05 2018-12-04 Sulzer Metco Ag Plasma coating system and method for coating or treating the surface of a substrate
US8404572B2 (en) 2009-02-13 2013-03-26 Taiwan Semiconductor Manufacturing Co., Ltd Multi-zone temperature control for semiconductor wafer
US8444737B2 (en) 2009-02-27 2013-05-21 Corning Incorporated Ceramic structures and methods of making ceramic structures
JP5001323B2 (ja) 2009-03-27 2012-08-15 トーカロ株式会社 白色酸化イットリウム溶射皮膜表面の改質方法および酸化イットリウム溶射皮膜被覆部材
CN102428212B (zh) 2009-05-08 2014-04-02 有限会社渊田纳米技研 氧化锆膜的成膜方法
JP5595795B2 (ja) 2009-06-12 2014-09-24 東京エレクトロン株式会社 プラズマ処理装置用の消耗部品の再利用方法
US20110086178A1 (en) 2009-10-14 2011-04-14 General Electric Company Ceramic coatings and methods of making the same
WO2011066314A1 (en) 2009-11-25 2011-06-03 Green, Tweed Of Delaware, Inc. Methods of coating substrate with plasma resistant coatings and related coated substrates
JP5604888B2 (ja) 2009-12-21 2014-10-15 住友大阪セメント株式会社 静電チャックの製造方法
JP5423632B2 (ja) 2010-01-29 2014-02-19 住友大阪セメント株式会社 静電チャック装置
US20110198034A1 (en) 2010-02-11 2011-08-18 Jennifer Sun Gas distribution showerhead with coating material for semiconductor processing
FR2957358B1 (fr) 2010-03-12 2012-04-13 Snecma Methode de fabrication d'une protection de barriere thermique et revetement multicouche apte a former une barriere thermique
JP5267603B2 (ja) 2010-03-24 2013-08-21 Toto株式会社 静電チャック
WO2011122377A1 (ja) 2010-03-30 2011-10-06 日本碍子株式会社 半導体製造装置用耐食性部材及びその製法
KR101221925B1 (ko) 2010-04-22 2013-01-14 한국세라믹기술원 플라즈마 저항성 세라믹 피막 및 그 제조 방법
WO2011150311A1 (en) 2010-05-28 2011-12-01 Praxair Technology, Inc. Substrate supports for semiconductor applications
US20110315081A1 (en) 2010-06-25 2011-12-29 Law Kam S Susceptor for plasma processing chamber
WO2012005977A1 (en) 2010-06-29 2012-01-12 Los Alamos National Security, Llc Solution deposition planarization method
US20120183790A1 (en) 2010-07-14 2012-07-19 Christopher Petorak Thermal spray composite coatings for semiconductor applications
KR101108692B1 (ko) 2010-09-06 2012-01-25 한국기계연구원 다공성 세라믹 표면을 밀봉하는 치밀한 희토류 금속 산화물 코팅막 및 이의 제조방법
US9969022B2 (en) 2010-09-28 2018-05-15 Applied Materials, Inc. Vacuum process chamber component and methods of making
US10720350B2 (en) 2010-09-28 2020-07-21 Kla-Tencore Corporation Etch-resistant coating on sensor wafers for in-situ measurement
US20120100299A1 (en) 2010-10-25 2012-04-26 United Technologies Corporation Thermal spray coating process for compressor shafts
US8916021B2 (en) 2010-10-27 2014-12-23 Applied Materials, Inc. Electrostatic chuck and showerhead with enhanced thermal properties and methods of making thereof
CN103493194B (zh) 2011-06-02 2016-05-18 应用材料公司 静电夹盘的氮化铝电介质修复
JP5665679B2 (ja) 2011-07-14 2015-02-04 住友重機械工業株式会社 不純物導入層形成装置及び静電チャック保護方法
CN102391015B (zh) * 2011-07-27 2013-01-02 西安交通大学 SiC陶瓷表面处理方法及其用途
US20130048606A1 (en) 2011-08-31 2013-02-28 Zhigang Mao Methods for in-situ chamber dry clean in photomask plasma etching processing chamber
US20130115418A1 (en) 2011-11-03 2013-05-09 Coorstek, Inc. Multilayer rare-earth oxide coatings and methods of making
JP5496992B2 (ja) 2011-12-13 2014-05-21 中国電力株式会社 プラズマ溶射装置及びその制御方法
CN104126028B (zh) 2011-12-19 2017-02-22 普莱克斯 S.T.技术有限公司 生产热障和环境障涂层的含水浆料及制备和施用其的方法
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
KR20130098707A (ko) 2012-02-28 2013-09-05 삼성전자주식회사 정전 척 장치 및 그 제어방법
US20150064406A1 (en) 2012-03-22 2015-03-05 Tocalo Co., Ltd. Method for forming fluoride spray coating, and fluoride spray coating covered member
US20130273313A1 (en) 2012-04-13 2013-10-17 Applied Materials, Inc. Ceramic coated ring and process for applying ceramic coating
US9090046B2 (en) 2012-04-16 2015-07-28 Applied Materials, Inc. Ceramic coated article and process for applying ceramic coating
US9394615B2 (en) 2012-04-27 2016-07-19 Applied Materials, Inc. Plasma resistant ceramic coated conductive article
US20130288037A1 (en) 2012-04-27 2013-10-31 Applied Materials, Inc. Plasma spray coating process enhancement for critical chamber components
US9150602B2 (en) 2012-07-24 2015-10-06 Atomic Energy Council, Institute Of Nuclear Energy Research Precursor used for labeling hepatorcyte receptor and containing trisaccharide and diamide demercaptide ligand, method for preparing the same, radiotracer and pharmaceutical composition of the same
US9604249B2 (en) 2012-07-26 2017-03-28 Applied Materials, Inc. Innovative top-coat approach for advanced device on-wafer particle performance
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
US20140037969A1 (en) 2012-08-03 2014-02-06 General Electric Company Hybrid Air Plasma Spray and Slurry Method of Environmental Barrier Deposition
JP5934069B2 (ja) 2012-09-14 2016-06-15 日本碍子株式会社 積層構造体、半導体製造装置用部材及び積層構造体の製造方法
US9916998B2 (en) * 2012-12-04 2018-03-13 Applied Materials, Inc. Substrate support assembly having a plasma resistant protective layer
US9685356B2 (en) 2012-12-11 2017-06-20 Applied Materials, Inc. Substrate support assembly having metal bonded protective layer
US8941969B2 (en) 2012-12-21 2015-01-27 Applied Materials, Inc. Single-body electrostatic chuck
US9708713B2 (en) * 2013-05-24 2017-07-18 Applied Materials, Inc. Aerosol deposition coating for semiconductor chamber components
US9865434B2 (en) 2013-06-05 2018-01-09 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application
US9850568B2 (en) * 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9711334B2 (en) 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US20150079370A1 (en) 2013-09-18 2015-03-19 Applied Materials, Inc. Coating architecture for plasma sprayed chamber components
US9440886B2 (en) 2013-11-12 2016-09-13 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US20150311043A1 (en) 2014-04-25 2015-10-29 Applied Materials, Inc. Chamber component with fluorinated thin film coating
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US9869013B2 (en) 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US10730798B2 (en) 2014-05-07 2020-08-04 Applied Materials, Inc. Slurry plasma spray of plasma resistant ceramic coating
US10385459B2 (en) 2014-05-16 2019-08-20 Applied Materials, Inc. Advanced layered bulk ceramics via field assisted sintering technology
US10196728B2 (en) 2014-05-16 2019-02-05 Applied Materials, Inc. Plasma spray coating design using phase and stress control
US9460898B2 (en) 2014-08-08 2016-10-04 Applied Materials, Inc. Plasma generation chamber with smooth plasma resistant coating
KR20160030812A (ko) 2014-09-11 2016-03-21 삼성전자주식회사 플라즈마 처리 장치

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105603352A (zh) * 2016-01-15 2016-05-25 中国科学院上海硅酸盐研究所 Al2O3/YAG非晶/共晶复合陶瓷涂层及其制备方法
CN105603352B (zh) * 2016-01-15 2018-07-24 中国科学院上海硅酸盐研究所 Al2O3/YAG非晶/共晶复合陶瓷涂层及其制备方法

Also Published As

Publication number Publication date
KR20140138190A (ko) 2014-12-03
KR20170102370A (ko) 2017-09-08
JP2015512848A (ja) 2015-04-30
CN107382376A (zh) 2017-11-24
CN108249957B (zh) 2021-07-16
JP2018048072A (ja) 2018-03-29
KR102067107B1 (ko) 2020-01-16
JP2019206470A (ja) 2019-12-05
WO2013126466A1 (en) 2013-08-29
US10364197B2 (en) 2019-07-30
JP6878504B2 (ja) 2021-05-26
JP6542854B2 (ja) 2019-07-10
JP6293064B2 (ja) 2018-03-14
US20130216821A1 (en) 2013-08-22
US20210317049A1 (en) 2021-10-14
US20160060181A1 (en) 2016-03-03
CN107382376B (zh) 2021-08-17
KR102067108B1 (ko) 2020-01-16
TWI573778B (zh) 2017-03-11
JP2021120346A (ja) 2021-08-19
US11279661B2 (en) 2022-03-22
US9212099B2 (en) 2015-12-15
CN108249957A (zh) 2018-07-06
CN105492400A (zh) 2016-04-13
US20190233343A1 (en) 2019-08-01

Similar Documents

Publication Publication Date Title
US11279661B2 (en) Heat treated ceramic substrate having ceramic coating
US10336656B2 (en) Ceramic article with reduced surface defect density
US9090046B2 (en) Ceramic coated article and process for applying ceramic coating
US20180102237A1 (en) Rare-earth oxide based erosion resistant coatings for semiconductor application
JP6526729B2 (ja) 希土類酸化物系モノリシックチャンバ材料
KR101110371B1 (ko) 내플라즈마 결정질 세라믹 코팅막 및 그 제조방법
US20130273313A1 (en) Ceramic coated ring and process for applying ceramic coating
KR101559112B1 (ko) 공정부품 표면의 세라믹 코팅막 및 이의 형성방법
CN105492400B (zh) 具有陶瓷涂层的经热处理陶瓷基板及用于经涂布陶瓷的热处理方法