KR20140138190A - 세라믹 코팅을 갖는 열처리된 세라믹 기판 및 코팅된 세라믹들을 위한 열처리 - Google Patents

세라믹 코팅을 갖는 열처리된 세라믹 기판 및 코팅된 세라믹들을 위한 열처리 Download PDF

Info

Publication number
KR20140138190A
KR20140138190A KR1020147026307A KR20147026307A KR20140138190A KR 20140138190 A KR20140138190 A KR 20140138190A KR 1020147026307 A KR1020147026307 A KR 1020147026307A KR 20147026307 A KR20147026307 A KR 20147026307A KR 20140138190 A KR20140138190 A KR 20140138190A
Authority
KR
South Korea
Prior art keywords
ceramic
ceramic coating
coating
heat treatment
article
Prior art date
Application number
KR1020147026307A
Other languages
English (en)
Other versions
KR102067108B1 (ko
Inventor
제니퍼 와이. 선
렌-구안 두안
비라자 알. 카눈고
드미트리 루보미르스키
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20140138190A publication Critical patent/KR20140138190A/ko
Application granted granted Critical
Publication of KR102067108B1 publication Critical patent/KR102067108B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/01Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on oxide ceramics
    • C04B35/10Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on oxide ceramics based on aluminium oxide
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/0072Heat treatment
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/009After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone characterised by the material treated
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/45Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements
    • C04B41/50Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements with inorganic materials
    • C04B41/5025Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements with inorganic materials with ceramic materials
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/45Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements
    • C04B41/50Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements with inorganic materials
    • C04B41/5025Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements with inorganic materials with ceramic materials
    • C04B41/5031Alumina
    • C04B41/5032Aluminates
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/45Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements
    • C04B41/50Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements with inorganic materials
    • C04B41/5025Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements with inorganic materials with ceramic materials
    • C04B41/5042Zirconium oxides or zirconates; Hafnium oxides or hafnates
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/45Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements
    • C04B41/50Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements with inorganic materials
    • C04B41/5025Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements with inorganic materials with ceramic materials
    • C04B41/5045Rare-earth oxides
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/45Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements
    • C04B41/52Multiple coating or impregnating multiple coating or impregnating with the same composition or with compositions only differing in the concentration of the constituents, is classified as single coating or impregnation
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/80After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone of only ceramics
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/80After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone of only ceramics
    • C04B41/81Coating or impregnation
    • C04B41/85Coating or impregnation with inorganic materials
    • C04B41/87Ceramics
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/80After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone of only ceramics
    • C04B41/81Coating or impregnation
    • C04B41/89Coating or impregnation for obtaining at least two superposed coatings having different compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C24/00Coating starting from inorganic powder
    • C23C24/02Coating starting from inorganic powder by application of pressure only
    • C23C24/04Impact or kinetic deposition of particles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/04Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the coating material
    • C23C4/10Oxides, borides, carbides, nitrides or silicides; Mixtures thereof
    • C23C4/11Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/12Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the method of spraying
    • C23C4/134Plasma spraying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/70Aspects relating to sintered or melt-casted ceramic products
    • C04B2235/96Properties of ceramic products, e.g. mechanical properties such as strength, toughness, wear resistance
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/249921Web or sheet containing structurally defined element or component
    • Y10T428/249953Composite having voids in a component [e.g., porous, cellular, etc.]
    • Y10T428/249987With nonvoid component of specified composition
    • Y10T428/249988Of about the same composition as, and adjacent to, the void-containing component
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/26Web or sheet containing structurally defined element or component, the element or component having a specified physical dimension
    • Y10T428/263Coating layer not in excess of 5 mils thick or equivalent
    • Y10T428/264Up to 3 mils
    • Y10T428/2651 mil or less

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Ceramic Engineering (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Structural Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Thermal Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Coating By Spraying Or Casting (AREA)
  • Other Surface Treatments For Metallic Materials (AREA)
  • Compositions Of Oxide Ceramics (AREA)

Abstract

초기 다공도 및 초기 균열량을 갖는 세라믹 코팅 및 세라믹 기판을 구비하는 세라믹 물품이 제공된다. 세라믹 물품이 약 1000 ℃ 내지 약 1800 ℃ 범위의 온도까지 분당 약 0.1 ℃ 내지 분당 약 20 ℃의 램핑 레이트(ramping rate)로 가열된다. 세라믹 물품이 약 24 시간까지의 지속시간 동안 상기 온도 범위 내의 하나 또는 그 초과의 온도들에서 열처리된다. 이어서 세라믹 물품이 상기 램핑 레이트로 냉각되고, 상기 열처리 후에, 세라믹 코팅이 감소된 다공도 및 감소된 균열량을 갖는다.

Description

세라믹 코팅을 갖는 열처리된 세라믹 기판 및 코팅된 세라믹들을 위한 열처리{HEAT TREATED CERAMIC SUBSTRATE HAVING CERAMIC COATING AND HEAT TREATMENT FOR COATED CERAMICS}
본 발명의 실시예들은, 일반적으로, 코팅된 세라믹 물품들을 열처리하기 위해 이용되는 열처리 프로세스에 관한 것이다.
반도체 산업에서, 디바이스들이, 계속적으로-감소되는 크기의 구조물들을 생성하는 많은 수의 제조 프로세스들에 의해서 제조된다. 플라즈마 에칭 및 플라즈마 세정 프로세스들과 같은 일부 제조 프로세스들은 기판을 에칭 또는 세정하기 위해 고속 플라즈마 스트림에 기판을 노출시킨다. 플라즈마가 매우 부식적일 수 있을 것이고, 플라즈마에 노출되는 프로세싱 챔버들 및 다른 표면들을 부식시킬 수 있다. 이러한 부식은 입자들을 생성할 수 있을 것이고, 그러한 입자들은 종종 프로세스되는 기판을 오염시켜, 디바이스 결함들에 기여한다.
디바이스 기하형태들이 축소됨에 따라, 결함들에 대한 민감성이 높아지고, 입자 오염 요건들이 점점 더 엄격해지고 있다. 따라서, 디바이스 기하형태들이 축소됨에 따라, 허용가능한 입자 오염의 레벨들이 낮아질 수 있다. 플라즈마 에칭 및/또는 플라즈마 세정 프로세스들에 의해서 도입되는 입자 오염을 최소화하기 위해, 플라즈마들에 대해 내성을 갖는 챔버 재료들이 개발되었다. 그러한 플라즈마 재료들의 예들에는, Al2O3, AlN, SiC, Y2O3, 석영, 및 ZrO2 로 이루어진 세라믹들이 포함된다. 그러나, 이러한 세라믹 재료들의 플라즈마 내성 성질들은 일부 적용예들에서 충분하지 못할 수 있다. 예를 들어, 통상적인 세라믹 제조 프로세스들을 이용하여 제조된 플라즈마 내성 세라믹 덮개들 및/또는 노즐들이, 45 nm 또는 32 nm의 임계치수들을 갖는 반도체 디바이스들의 플라즈마 에칭 프로세스들에서 이용될 때, 수용불가능한 입자 오염 레벨들을 생성할 수 있다. 부가적으로, 그러한 플라즈마 내성 세라믹들이 세라믹 코팅들로서 이용될 때, 이러한 코팅들이 상승된 입자 오염 레벨을 유도할 수 있을 것이고, 층 박리(delamination)로 인한 불량이 발생될 수 있다.
일 실시예에서, 초기 다공도 및 초기 균열량을 갖는 세라믹 코팅 및 세라믹 기판을 구비하는 세라믹 물품들이 제공된다. 세라믹 물품이 약 1000 ℃ 내지 약 1800 ℃ 범위의 온도까지 분당 약 0.1 ℃ 내지 분당 약 20 ℃의 램핑 레이트(ramping rate)로 가열된다. 세라믹 물품이 약 24 시간까지의 지속시간 동안 상기 온도 범위 내의 하나 또는 그 초과의 온도들에서 열처리된다. 이어서 세라믹 물품이 상기 램핑 레이트로 냉각되고, 상기 열처리 후에, 세라믹 코팅이 감소된 다공도 및 감소된 균열량을 갖는다.
유사한 참조부호들이 유사한 요소들을 나타내는 첨부 도면들 중의 그림들에서, 본 발명을 예로서 그리고 비제한적으로 도시하였다. 이러한 개시 내용에서 하나의("a" 또는 "one") 실시예에 대한 상이한 언급들이 반드시 동일한 실시예를 지칭하는 것이 아니고, 그러한 언급들이 적어도 하나를 의미한다는 것을 주목하여야 한다.
도 1a는 본 발명의 일 실시예에 따른, 제조 시스템의 예시적인 아키텍처를 도시한다.
도 1b는 본 발명의 일 실시예에 따른, 세라믹 물품을 열처리하기 위한 프로세스를 도시한다.
도 2a는 본 발명의 일 실시예에 따른, 세라믹 코팅이 열처리를 이용하여 프로세스되기 전, 및 세라믹 코팅이 열처리를 이용하여 프로세스된 후의 세라믹 코팅의 표면의 현미경 사진들을 도시한다.
도 2b는 본 발명의 일 실시예에 따른, 세라믹 코팅이 열처리를 이용하여 프로세스되기 전, 및 세라믹 코팅이 여러 가지 온도들 및 처리 지속시간들에서의 열처리들을 이용하여 프로세스된 후의, 세라믹 코팅의 표면을 4,000-배 확대한 부가적인 현미경 사진들을 도시한다.
도 2c는 본 발명의 일 실시예에 따른, 세라믹 코팅이 프로세스되기 전, 및 세라믹 코팅이 여러 가지 온도들 및 처리 지속시간들에서의 열처리들을 이용하여 프로세스된 후의, 세라믹 코팅의 표면을 20,000-배 확대한 부가적인 현미경 사진들을 도시한다.
도 2d는 본 발명의 일 실시예에 따른, 세라믹 코팅이 프로세스되기 전, 및 세라믹 코팅이 프로세스된 후의, 세라믹 코팅의 표면을 10,000-배 확대한 부가적인 현미경 사진들을 도시한다.
도 3a는 본 발명의 일 실시예에 따른, 열처리 전후의 세라믹 물품의 횡단면적 측면도를 보여주는 현미경 사진들을 도시한다.
도 3b는 본 발명의 일 실시예에 따른, 여러 가지 온도들 및 처리 지속시간들에서의 열처리 전후의, 세라믹 물품의 4,000-배 확대한 횡단면적 측면도들을 보여주는 현미경 사진들을 도시한다.
도 3c는 본 발명의 일 실시예에 따른, 열처리 전후의 세라믹 물품의 20,000-배 확대한 횡단면적 측면도들을 보여주는 현미경 사진들을 도시한다.
도 3d는 본 발명의 일 실시예에 따른, 열처리 전후의 HPM 세라믹 복합체 코팅의 상(phase) 조성 비교를 도시한다.
본 발명의 실시예들은 세라믹 물품을 열처리하기 위한 프로세스, 열처리를 이용하여 프로세스된 세라믹 물품에 관한 것이다. 일 실시예에서, 초기 다공도, 세라믹 기판에 대한 초기 본드 강도, 및 초기 균열량을 갖는 세라믹 코팅 및 세라믹 기판을 포함하는 세라믹 물품이 제공된다. 세라믹 기판이 소결된 세라믹일 수 있을 것이고, 세라믹 코팅이 플라즈마 분무된(sprayed) 세라믹일 수 있다. 세라믹 물품은, 예를 들어, 세라믹 덮개, 노즐 또는 플라즈마 에칭기를 위한 프로세스 키트일 수 있다. 세라믹 물품이 약 1000 ℃ 내지 약 1800 ℃ 범위의 온도까지 분당 약 0.1 ℃ 내지 분당 약 20 ℃의 램핑 레이트로 가열된다. 세라믹 물품이 약 24 시간까지의 지속시간 동안 상기 온도 범위 내의 하나 또는 그 초과의 온도들에서 열처리된다. 이어서 세라믹 물품이 상기 램핑 레이트로 냉각된다. 열처리 후에, 세라믹 코팅이 감소된 표면 결함들, 감소된 코팅 다공도 및 감소된 균열량을 갖는다. 세라믹 코팅이 또한 감소된 표면 조도를 가질 수 있을 것이고, 부가적으로 플라즈마에 대한 보다 큰 내성을 가질 수 있다. 부가적으로, 열처리 후에, 세라믹 코팅이 세라믹 기판에 대한 보다 강한 계면(interface)을 가질 수 있을 것이고, 그러한 보다 강한 계면은 세라믹 기판에 대한 보다 강한 접착 강도를 제공할 수 있다. 보다 강한 계면은 세라믹 기판과 세라믹 코팅 사이의 전이 층의 형성에 기인할 수 있다.
일 실시예에서, 퍼니스(furnace)가 초기 다공도 및 초기 균열량을 갖는 세라믹 코팅 및 세라믹 기판을 포함하는 세라믹 물품에서 열처리 프로세스를 실시한다. 퍼니스는 세라믹 물품이 특정된 온도 또는 온도 범위에 도달할 때까지 분당 약 0.1 ℃ 내지 분당 약 20 ℃의 램핑 레이트로 세라믹 물품을 가열한다. 특정된 온도 범위가 약 1000 ℃로부터 약 1800 ℃ 까지 변화될 수 있을 것이고, 특정된 온도가 상기 특정된 온도 범위 내의 온도일 수 있다. 퍼니스가 약 24 시간까지의 지속시간 동안 특정된 온도에서 및/또는 상기 온도 범위 내의 다른 특정된 온도들에서 세라믹 물품을 열처리한다. 이어서, 퍼니스가 상기 램핑 레이트로 세라믹 물품을 냉각시킨다. 열처리 후에, 세라믹 물품이 감소된 표면 다공도 및 감소된 균열량을 갖는다.
본 발명의 실시예들은 세라믹 코팅과, 상기 세라믹 코팅이 전이 층의 형성을 통해서 코팅하게 되는 세라믹 기판 사이의 본드의 강도를 증가시킨다. 본 발명의 실시예들은 또한 프로세스된 세라믹 물품 상의 세라믹 코팅의 표면 결함들을 감소시키고, 다공도를 감소시키고, 균열량을 감소시킨다. 실시예들은 또한 프로세스된 세라믹 코팅들의 표면 조도를 감소시킬 수 있을 것이고, 세라믹 코팅들 상의 표면 입자들을 최소화시킬 수 있다. 그러한 열처리된 세라믹 코팅들이 감소된 수의 고에너지 본드들(파괴된 본드들)을 가지고, 플라즈마들을 적용하는 반도체 프로세스들(예를 들어, 플라즈마 에칭 및 플라즈마 세정 프로세스들)에서 사용될 때, 상당히 더 적은 양의 입자 오염을 생성할 수 있다. 부가적으로, 열처리된 세라믹 코팅의 감소된 다공도 및 감소된 균열은, 세라믹 코팅을 침투하여 하부 기판과 반응하는 프로세스 가스의 양을 감소시킨다. 부가적으로, 세라믹 코팅과 세라믹 기판 사이의 전이 층(또한, 여기에서 계면적 전이 층으로서 지칭된다)의 형성은, 코팅을 침투하는 프로세스 화학물질이 하부 기판과 반응하는 것을 방지한다. 이는 층 박리의 발생을 최소화할 수 있다. 전이 층이 세라믹 코팅의 접착 강도를 증가시킬 수 있을 것이고, 벗겨짐(peeling)을 최소화할 수 있다. 예를 들어, 세라믹 코팅된 덮개 및 에칭기 기계들을 위한 노즐들을 열처리하여, 플라즈마 에칭 프로세스들 중에 도입되는 입자 오염 및/또는 벗겨짐을 최소화할 수 있다. 그에 따라, 여기에서 개시된 열처리된 세라믹 물품들을 이용하여 제조된 반도체들이 낮은 결함 카운트를 가질 수 있을 것이고, 감소된 스크랩 레이트들을 초래할 수 있다.
여기에서 사용된 "열처리한다"라는 용어는, 퍼니스에 의한 것과 같이, 높은 온도를 세라믹 물품으로 인가하는 것을 의미한다. 여기에서 "약"이라는 용어가 사용될 때, 이는 제시된 명목상의(nominal) 값이 ±10% 이내에서 정확하다는 것을 의미하기 위한 것이다.
일부 실시예들이 열처리를 실시하기 위한 퍼니스를 이용하는 것을 참조하여 여기에서 설명된다. 그러나, 다른 열처리 기술들이 또한 설명된 열처리를 실시하기 위해 이용될 수 있다는 것을 이해하여야 할 것이다. 이용될 수 있는 부가적인 열처리 기술들의 일부 예들에는, 레이저 표면 처리(또한 레이저 열처리로서 지칭된다), 전자 비임(e-비임) 표면 처리(또한 e-비임 열처리로서 지칭된다), 불꽃(flame) 표면 처리(또는 불꽃 열처리로서 지칭된다), 및 고온 플라즈마 처리가 포함될 수 있다.
또한, 반도체 제조를 위한 세라믹 코팅된 덮개 및 플라즈마 에칭기들 내에서 이용되는 세라믹 코팅된 노즐들을 참조하여 일부 실시예들을 여기에서 설명하였다는 것을 주목하여야 한다. 그러나, 그러한 플라즈마 에칭기들이 또한 마이크로-전기-기계적 시스템들(MEMS) 디바이스들을 제조하기 위해 이용될 수 있다는 것을 이해하여야 할 것이다. 부가적으로, 여기에서 개시된 열처리된 세라믹 물품들이, 플라즈마에 노출되는 다른 구조물들일 수 있다. 예를 들어, 세라믹 물품들이 플라즈마 에칭기, 플라즈마 세정기, 및 플라즈마 추진(propulsion) 시스템, 등의 세라믹 코팅된 링들, 벽들, 베이스들, 가스 분배 플레이트들, 샤워 헤드들, 기판 유지 프레임들, 등일 수 있다.
또한, 플라즈마 과농(rich) 프로세스들을 위한 프로세스 챔버 내에서 사용될 때 감소된 입자 오염을 유도하는 세라믹 물품들을 참조하여 실시예들을 여기에서 설명하였다. 그러나, 여기에서 설명된 세라믹 물품들이 또한, 비-플라즈마 에칭기들, 비-플라즈마 세정기들, 화학기상증착(CVD) 챔버들, 물리기상증착(PVD) 챔버들, 플라즈마 증강 화학기상증착(PECVD) 챔버들, 플라즈마 증강 물리기상증착(PEPVD) 챔버들, 및 플라즈마 증강 원자층 증착(PEALD) 챔버들, 등과 같은 다른 프로세스들을 위한 프로세스 챔버들에서 이용될 때, 감소된 입자 오염을 제공할 수 있다는 것을 이해하여야 할 것이다.
도 1a는 본 발명의 일 실시예에 따른, 제조 시스템의 예시적인 아키텍처를 도시한다. 제조 시스템(100)이 세라믹들 제조 시스템일 수 있다. 일 실시예에서, 제조 시스템(100)이 퍼니스(105)(예를 들어, 킬른(kiln)과 같은 세라믹 퍼니스), 장비 자동화 층(115) 및 컴퓨팅 디바이스(120)를 포함한다. 대안적인 실시예들에서, 제조 시스템(100)이 그보다 많거나 적은 구성요소들을 포함할 수 있다. 예를 들어, 제조 시스템(100)이, 수동 오프-라인 기계일 수 있는 퍼니스(105)만을 포함할 수 있다.
퍼니스(105)는 세라믹 물품들과 같은 물품들을 가열하도록 설계된 기계이다. 퍼니스(105)는, 내부에 삽입된 물품들(예를 들어, 세라믹 물품들) 상으로 제어된 온도를 인가할 수 있는, 단열된 챔버, 또는 오븐을 포함한다. 일 실시예에서, 챔버가 밀폐식으로 밀봉된다. 퍼니스(105)가 챔버 외부로 공기를 펌핑하기 위한, 그에 따라 챔버 내에 진공을 생성하기 위한 펌프를 포함할 수 있다. 퍼니스(105)가, 챔버 내로 가스들(예를 들어, Ar 또는 N2 와 같은 불활성 가스들)을 펌핑하기 위한 가스 유입구를 부가적으로 또는 대안적으로 포함할 수 있다.
퍼니스(105)가, 세라믹 물품들의 프로세싱 중에, 기술자에 의해서 수동으로 셋팅되는 온도 제어기를 갖는 수동 퍼니스(105)일 수 있다. 퍼니스(105)가 또한 프로세스 레시피로 프로그래밍될 수 있는 오프-라인 기계일 수 있다. 프로세스 레시피가 램핑 레이트들, 하강 레이트들, 프로세스 시간들, 온도들, 압력, 및 가스 유동들, 등을 제어할 수 있다. 대안적으로, 퍼니스(105)가, 장비 자동화 층(115)을 통해서, 개인용 컴퓨터들, 서버 기계들 등과 같은 컴퓨팅 디바이스들(120)로부터 프로세스 레시피들을 수신할 수 있는 온-라인의 자동화된 퍼니스일 수 있다. 장비 자동화 층(115)이 퍼니스(105)를 컴퓨팅 디바이스들(120)과, 다른 제조 기계들과, 계측 툴들과, 및/또는 다른 디바이스들과 상호연결할 수 있다.
장비 자동화 층(115)이 네트워크(예를 들어, 근거리 네트워크(LAN)), 라우터들, 게이트웨이들, 서버들, 및 데이터 저장부들, 등을 포함할 수 있다. 퍼니스(105)가, 반도체 장비 통신들 표준/일반적 장비 모델(SEMI Equipment Communications Standard/Generic Equipment Model(SECS/GEM)) 인터페이스를 통해서, 이더넷 인터페이스를 통해서, 및/또는 다른 인터페이스들을 통해서 장비 자동화 층(115)에 연결될 수 있다. 일 실시예에서, 장비 자동화 층(115)은, 프로세스 데이터(예를 들어, 프로세스 작동 중에 퍼니스(105)에 의해서 수집된 데이터)가 데이터 저장부(미도시)에 저장되게 할 수 있다. 대안적인 실시예에서, 컴퓨팅 디바이스(120)가 퍼니스(105)에 직접적으로 연결된다.
일 실시예에서, 퍼니스(105)가, 프로세스 레시피들을 로딩, 저장 및 실행할 수 있는 프로그램 가능 제어기를 포함한다. 프로그램 가능 제어기가 열처리 프로세스들의 온도 셋팅들, 가스 및/또는 진공 셋팅들, 시간 세팅들 등을 제어할 수 있다. 프로그램 가능 제어기가 챔버 가열을 제어할 수 있을 것이고, 온도를 상승 및 하강시킬 수 있을 것이고, 복수-단계 열처리가 단일 프로세스로서 입력될 수 있게 할 수 있을 것이고, 기타 등등을 할 수 있다. 프로그램 가능 제어기가 메인 메모리(예를 들어, 리드-온리 메모리(ROM)), 플래시 메모리, 다이나믹 랜덤 액세스 메모리(DRAM), 스태틱 랜덤 액세스 메모리(SRAM), 등), 및/또는 보조 메모리(예를 들어, 디스크 드라이브와 같은 데이터 저장 디바이스)를 포함할 수 있다. 메인 메모리 및/또는 보조 메모리가 여기에서 설명된 열처리 프로세스들을 실시하기 위한 명령어들을 저장할 수 있다.
프로그램 가능 제어기가 또한, 명령어들의 실행을 위해 (예를 들어, 버스를 통해서) 메인 메모리 및/또는 보조 메모리에 커플링된 프로세싱 디바이스를 포함할 수 있다. 프로세싱 디바이스가 마이크로프로세서, 또는 중앙 프로세싱 유닛, 등과 같은 범용 프로세싱 디바이스일 수 있다. 프로세싱 디바이스가 또한 주문형 집적회로(ASIC), 필드 프로그램 가능 게이트 어레이(FPGA), 디지털 신호 프로세서(DSP), 또는 네트워크 프로세서, 등과 같은 특별한-목적을 위한 프로세싱 디바이스일 수 있다. 일 실시예에서, 프로그램 가능 제어기가 프로그램 가능 로직 제어기(PLC)이다.
일 실시예에서, 퍼니스(105)로 하여금 도 1b를 참조하여 설명된 열처리 프로세스를 이용하여 세라믹 물품을 열처리하도록 유도하는 레시피를 실행하도록, 퍼니스(105)가 프로그래밍된다.
도 1b는 본 발명의 일 실시예에 따른, 세라믹 물품을 열처리하기 위한 프로세스(150)를 도시한 흐름도이다. 프로세스(150)의 블록(155)에서, 세라믹 물품이 (예를 들어, 퍼니스 또는 킬른으로) 제공된다. 일 실시예에서, 세라믹 물품이 로더에 의해서 퍼니스 내로 자동적으로 로딩된다. 세라믹 물품은, 세라믹 코팅으로 적어도 하나의 표면 상에서 코팅된 세라믹 기판을 포함한다. 일 실시예에서, 세라믹 물품이 세라믹 덮개, 세라믹 노즐, 또는 플라즈마 에칭기 또는 플라즈마 세정기를 위한 다른 프로세스 챔버 요소이다. 세라믹 물품이 이트리아 우세(yttria dominant) 세라믹 코팅을 가질 수 있다. 이트리아 우세 세라믹들은 이트리아 산화물들의 우수한 플라즈마 내성 성질들을 이유로 이용될 수 있다. 세라믹 물품이 또한, 큰 휘어짐 강도 및 높은 온도들 및/또는 열적 응력으로 인한 균열에 대해 내성과 같은 양호한 기계적 성질들을 갖는 세라믹 기판을 가질 수 있다.
세라믹 기판이, 세라믹 코팅으로 코팅되기에 앞서서, 가공될 수 있다. 부가적으로, 세라믹 기판을 코팅한 후에, 세라믹 코팅이 가공될 수 있다. 가공의 예들에는, 표면 연마, 폴리싱, 드릴링, 삭마(abrading), 컷팅, 비드 브래스팅, 또는 기계 툴들을 이용한 다른 프로세싱이 포함된다. 일 실시예에서, 세라믹 코팅을 세라믹 기판 위에 형성한 후에, 세라믹 코팅을 폴리싱한다. 이는 많은 양의 입자들을 생성할 수 있을 것이고, 그러한 입자들이 세라믹 코팅의 균열부들, 기공들 및/또는 다른 표면 결함들 내에 포획될 수 있다.
세라믹 기판이 Y2O3, Y4Al2O9, Al2O3, Y3Al5O12(YAG), 석영, SiC, Si3N4, AlN, 및 ZrO2, 등과 같은 벌크 세라믹으로부터 형성될 수 있다. 예를 들어, 세라믹 기판이 세라믹 코팅을 참조하여 이하에서 설명되는 세라믹들 중 임의의 세라믹의 벌크 소결된 형태일 수 있다. 기판이 또한, Al2O3-YAG 세라믹 복합체 또는 SiC-Si3N4 세라믹 복합체와 같은 세라믹 복합체일 수 있다. 세라믹 기판이 또한, 고용체를 포함하는 이트륨 산화물(또한 이트리아 및 Y2O3 로서 공지됨)을 포함하는 세라믹 복합체일 수 있다. 예를 들어, 세라믹 기판이, 화합물 Y4Al2O9(YAM) 및 고용체 Y2-xZrxO3(Y2O3-ZrO2 고용체)로 이루어진 고성능 재료(HPM)일 수 있다. 순수 이트륨 산화물뿐만 아니라 고용체들을 포함하는 이트륨 산화물이 ZrO2, Al2O3, SiO2, B2O3, Er2O3, Nd2O3, Nb2O5, CeO2, Sm2O3, Yb2O3, 또는 다른 산화물들 중 하나 또는 그 초과으로 도핑될 수 있다.
세라믹 기판과 유사하게, 세라믹 코팅이 Y2O3(이트리아), Y4Al2O9(YAM), Al2O3(알루미나), Y3Al5O12(YAG), 석영, YAlO3(YAP), SiC(실리콘 탄화물), Si3N4(실리콘 질화물), AlN(알루미늄 질화물), ZrO2(지르코니아), AlON(알루미늄 산질화물), TiO2(티타니아), TiC(티타늄 탄화물), ZrC(지르코늄 탄화물), TiN(티타늄 질화물), TiCN(티타늄 탄소 질화물), 및 Y2O3 안정화된 ZrO2(YSZ), 등으로 형성될 수 있다. 또한 세라믹 기판과 유사하게, 세라믹 코팅이 순수 이트륨 산화물, 또는 ZrO2, Al2O3, SiO2, B2O3, Er2O3, Nd2O3, Nb2O5, CeO2, Sm2O3, Yb2O3, 또는 다른 산화물들 중 하나 또는 그 초과으로 도핑될 수 있는 고용체를 포함하는 이트륨 산화물일 수 있다. 일 실시예에서, 세라믹 코팅이 HPM 복합체이다. 그러나, 세라믹 코팅이 세라믹 기판 상에서의 세라믹 코팅의 스프레잉 또는 성장에 의해서 형성되고, 세라믹 기판이 소결 프로세스에 의해서 형성될 수 있다.
일 실시예에서, 세라믹 코팅이, 열적 스프레잉 기술 또는 플라즈마 스프레이되는 기술을 이용하여 세라믹 기판 상에 증착된 세라믹을 포함하는 이트륨 산화물이다. 열적 스프레잉 기술이 재료들(예를 들어, 세라믹 분말들)을 용융시킬 수 있고 용융된 재료들을 세라믹 기판 상으로 스프레이할 수 있다. 열적으로 스프레이된 세라믹 코팅이 약 20 마이크로미터 내지 약 몇 밀리미터의 두께를 가질 수 있다.
일 실시예에서, 세라믹 코팅이 세라믹 기판 상으로 플라즈마 스프레이된다. 대안적으로, 폭발(detonation) 스프레잉, 와이어 아아크 스프레잉, 고속 산소 연료(HVOF) 스프레잉, 불꽃 스프레잉, 온난(warm) 스프레잉 및 저온 스프레잉과 같은 다른 열적 스프레잉 기술들이 이용될 수 있다. 부가적으로, 에어로졸 증착, 전기도금, 물리기상증착(PVD), 이온 보조형 증착(IAD), 및 화학기상증착(CVD)과 같은 다른 코팅 프로세스들을 이용하여 세라믹 코팅을 형성할 수 있다. 분명하게, 세라믹 코팅 프로세스가, 기공들, 균열들, 및 불완전한 본딩의 영역들과 같은 작은 공극들을 갖는 세라믹 코팅을 생성할 수 있다. 세라믹 코팅이, 벌크 세라믹 재료(예를 들어, 세라믹 기판과 같음)의 구조적인 성질들과 상당히 다른 구조적인 성질들을 가질 수 있다.
일 실시예에서, 세라믹 코팅이 Y2O3 분말로부터 생성된다. 대안적으로, 세라믹 코팅이 Y2O3 분말, ZrO2 분말 및 Al2O3 분말의 혼합물로부터 생성된 HPM 세라믹 복합체일 수 있다. 일 실시예에서, HPM 세라믹 복합체가 77% Y2O3, 15% ZrO2 및 8% Al2O3 를 포함한다. 다른 실시예에서, HPM 세라믹 복합체가 63% Y2O3, 23% ZrO2 및 14% Al2O3 를 포함한다. 또 다른 실시예에서, HPM 세라믹 복합체가 55% Y2O3, 20% ZrO2 및 25% Al2O3 를 포함한다. 상대적인 백분율들은 몰랄 비율들일 수 있다. 예를 들어, HPM 세라믹이 77 mol% Y2O3, 15 mol% ZrO2 및 8 mol% Al2O3 를 포함할 수 있다. 이러한 세라믹 분말들의 다른 분포들이 또한 HPM 재료를 위해 이용될 수 있다.
세라믹 코팅이 약한 접착 강도(예를 들어, 약 3 메가 파스칼(MPa))를 초기에 가질 수 있다. 이는, 시간 경과 후에 (예를 들어, 플라즈마 과농 프로세스들에서의 세라믹 물품의 이용의 결과로서) 세라믹 코팅이 세라믹 기판으로부터 층 박리되거나 벗겨지는 것을 초래할 수 있다. 부가적으로, 세라믹 코팅이 초기 다공도 및 초기 균열량을 가질 수 있다. 이러한 기공들 및 균열들은, 프로세싱 중에, 프로세스 가스들 및 세정 화학물질들이 세라믹 코팅을 침투하게 하고 하부 세라믹 기판과 반응하게 할 수 있다. 그러한 반응들은 가스들, 수분들, 또는 상이한 재료를 세라믹 코팅 아래에서 생성할 수 있을 것이고, 그러한 생성은 세라믹 코팅 아래에 기포들(blisters)을 도입할 수 있다. 이러한 기포들은, 추가적으로, 세라믹 코팅이 세라믹 기판으로부터 분리되도록 유도할 수 있다. 그러한 분리는 프로세스되는 재료(예를 들어, 프로세스되는 웨이퍼들) 상에서의 증가된 양의 입자 오염을 유발할 수 있다. 부가적으로, 기포들, 균열들 및 기공들(뿐만 아니라 다른 결함들) 자체가, 벗겨짐이 없는 경우에도, 프로세스된 기판들에 대한 입자 오염을 유발할 수 있다.
하나의 예에서, 세라믹 코팅 내의 기공들, 균열들, 공극들 및 다른 표면 결함들이, 높은 에너지 위치들이 되는 파괴된(또는 개방된) 본드들을 포함할 수 있다. 이러한 표면 결함들이 입자들을 포획할 수 있다. 예를 들어, 입자들이 표면 결함에서 세라믹 물품과의 약한 파괴된 본드들을 형성할 수 있다. 플라즈마 처리 중에, 플라즈마가 이러한 약한 파괴된 본드들을 파괴할 수 있을 것이고, 세라믹 코팅으로부터 입자들의 일부를 제거할 수 있다. 이어서, 세라믹 입자들이 프로세스된 기판 상에 증착될 수 있다. 또한, 플라즈마가 결함 사이트들에서, 기공들에서, 균열들에서, 기타 등등에서 세라믹 물품의 본드들을 파괴할 수 있을 것이고, 그러한 파괴는 세라믹 코팅을 부식시킬 수 있을 것이고 부가적인 입자들이 생성되도록 유발할 수 있다.
블록(160)에서, 세라믹 물품이 분당 약 0.1 ℃ 내지 약 20 ℃의 램핑 레이트로 가열된다. 세라믹 물품들이 취약할 수 있을 것이고, 큰 온도 변화에 노출될 때 균열될 수 있다. 따라서, 세라믹 물품이 균열되는 것을 방지할 수 있을 정도로 충분히 느린 램핑 레이트가 이용된다. 일부 세라믹들에서, 분당 20 ℃ 초과의 램핑 레이트가 가능할 수 있다는 것을 예상할 수 있다. 따라서, 일부 실시예들에서, 균열을 유발하지 않는 분당 20 ℃를 초과하는 램핑 레이트들이 이용될 수 있다.
세라믹 물품의 균열을 유발하는 온도 변화들이 세라믹 물품의 조성에 의존할 수 있다. 예를 들어, Al2O3 가 균열 없이 분당 10 ℃ 또는 그 초과의 레이트로 가열될 수 있다. 그러나, Y2O3 는, 분당 약 5 ℃ 보다 더 빠른 램핑 레이트로 가열되는 경우에, 균열될 수 있다. 일 실시예에서, 분당 약 0.1-5 ℃의 램핑 레이트가 Y2O3 의 그리고 HPM 세라믹 복합체의 세라믹 코팅들에 대해 이용된다. 추가적인 실시예에서, 분당 약 5 ℃ 의 램핑 레이트가 Y2O3 및 HPM 세라믹 복합체로 이루어진 세라믹 코팅들을 위해 이용된다. 전형적으로, 세라믹 물품이 상온에서 또는 거의 상온에서 시작할 것이고, 미리 결정된 온도까지 램핑 레이트로 서서히 가열될 것이다.
특정된 온도 또는 온도 범위에 도달할 때까지 세라믹 물품이 가열된다. 특정된 온도가 약 1000 ℃ 내지 약 1800 ℃ 범위가 될 수 있다. 이용되는 특정된 온도가 세라믹 물품의 조성 또는 전이 층을 위한 특정된 표적 두께에 의존할 수 있다. 일 실시예에서, 1400-1500 ℃ 의 온도가 알루미나 기판 및 HPM 세라믹 코팅 또는 이트리아(Y2O3) 세라믹 코팅을 갖는 세라믹 물품에 대해 이용된다.
블록(165)에서, 세라믹 물품이 24 시간의 지속시간 동안 특정된 온도 또는 온도 범위 내의 하나 또는 그 초과의 온도들에서 열처리된다. 이용되는 특정된 지속시간이 세라믹 물품의 조성뿐만 아니라, 세라믹 물품의 희망하는 성능 성질들에 의존할 수 있다. 예를 들어, 특정된 지속시간이 전이 층을 위한 표적 두께에 의존할 수 있다.
전술한 바와 같이, 세라믹 코팅이 많은 수의 표면 결함들 및 이러한 표면 결함들에 의해서 포획되는 입자들을 가질 수 있다. 열처리가 이러한 결함들 및/또는 입자들을 감소 또는 제거할 수 있다. 구체적으로, 열처리가 입자들의 용융을 유도할 수 있을 것이고 및/또는 세라믹 코팅의 일부가 표면 결함 영역들에서 용융되도록 유도할 수 있다. 용융된 입자들이 표면 결함 영역들에서 세라믹 코팅과 함께 유동할 수 있다. 이어서, 용융된 입자들이 세라믹 코팅 상으로 재증착될 수 있을 것이고 이러한 표면 결함 영역들에서 세라믹 코팅과 비파괴 본드들을 형성할 수 있다. 결과적인 비파괴 본드들은, 이전에 입자들을 세라믹 코팅에 대해 본딩시켰던 파괴된 본드들 보다 상당히 더 강하다. 그에 따라, 입자들이 플라즈마 에칭 프로세스 동안 세라믹 코팅으로부터 제거되는 것에 대해 상당히 덜 민감해지고, 결함 영역들이 부식에 대해 덜 민감해진다.
부가적으로, 세라믹 코팅이 전형적으로 비교적 큰 다공도 및 비교적 많은 균열량을 갖는다. 열처리는 기공들 및 균열들이 축소 및/또는 제거되게 할 수 있다. 기공들 및 균열들은, 전술한 세라믹 코팅의 동일한 용융 및 제-증착을 기초로, 축소되거나 제거될 수 있다. 예를 들어, 기공 또는 균열에서의 세라믹 코팅이 용융될 수 있고 재증착될 수 있으며, 그에 따라 기공 또는 균열을 충진 및/또는 치유할 수 있다.
일 실시예에서, 세라믹 코팅 및 세라믹 기판이 열처리 프로세스 중에 반응하여 전이 층을 형성한다. 전이 층은, 열에 노출될 때 반응하게 될 재료들로 세라믹 코팅 및 세라믹 기판이 이루어지는 경우에, 형성될 수 있다. 예를 들어, 만약 세라믹 기판이 Al2O3 이고 세라믹 코팅이 HPM 세라믹 복합체라면, 세라믹 코팅 및 세라믹 기판이 열처리 중에 반응하여 YAG 전이 층을 형성할 것이다. 다른 예에서, 만약 세라믹 기판이 Al2O3 이고 세라믹 코팅이 Y2O3 라면, 세라믹 코팅 및 세라믹 기판이 열처리 중에 반응하여 YAG 전이 층을 형성할 것이다. 세라믹 코팅 재료들 및 세라믹 기판 재료들의 다른 조합들이 다른 전이 층들을 형성할 것이다.
분명하게, 전이 층이 비-반응성 및 비-다공성 층일 수 있다. 따라서, 열처리된 세라믹 물품을 이용하는 후속 프로세싱 동안, 프로세스 가스들이 세라믹 기판을 침투할 수 있을 것이나, 전이 층을 침투하지는 못할 수 있다. 그에 따라, 전이 층은, 프로세스 가스들이 세라믹 기판과 반응하는 것을 방지할 수 있다. 이는, 기포를 최소화하거나 방지할 수 있을 것이고, 세라믹 코팅에 대한 벗겨짐 성능 및 부착 강도(본드 강도)를 개선할 수 있다.
비록 전이 층이 수많은 유리한 효과들을 가지지만, 전이 층이 너무 두꺼운 경우에, 전이 층이 문제가 될 수 있다. 일부 전이 층들이 세라믹 코팅 및/또는 세라믹 기판과 상이한 열팽창 계수를 가질 것이다. 그에 따라, 만약 전이 층이 문턱값 두께(예를 들어, 약 5 미크론) 보다 더 두껍다면, 후속 프로세싱 중에 전이 층이 세라믹 코팅 내로 균열을 도입할 수 있다. 예를 들어, HPM 세라믹 복합체 및 알루미나가 대략적으로 균등한 팽창계수들을 가지나, YAG의 전이 층은 HPM 세라믹 복합체 및 알루미나와 상이한 팽창계수를 갖는다. 그에 따라, YAG 전이 층이 약 5 미크론(㎛) 보다 더 두꺼운 경우에, YAG 전이 층의 팽창 및 수축이 세라믹 코팅을 균열시킬 수 있다.
전이 층은 온도 및 시간에 의존하는 레이트로 성장한다. 온도 및 열처리 지속시간이 증가함에 따라, 전이 층의 두께가 또한 증가된다. 따라서, 약 5 미크론 보다 두껍지 않은 전이 층을 형성하도록, 세라믹 물품을 열처리하기 위해 이용되는 온도(또는 온도들) 및 지속시간이 선택되어야 한다. 일 실시예에서, 약 0.1 미크론 내지 약 5 미크론의 전이 층이 형성되게 유도하도록 온도 및 지속시간이 선택된다. 일 실시예에서, 전이 층은, 프로세싱 중에 가스가 세라믹 기판과 반응하는 것을 방지할 수 있는 충분한 최소 두께를 갖는다(예를 들어, 약 0.1 미크론). 일 실시예에서, 전이 층이 1-2 미크론의 표적 두께를 갖는다.
열처리는 또한 세라믹 코팅의 입자 크기의 증가를 유도한다. 온도 및 열처리 지속시간이 증가됨에 따라, 세라믹 코팅의 입자 크기가 또한 증가된다. 입자 크기의 증가는 보다 적은 입자 경계들을 초래한다. 입자 경계들은 세라믹의 입자들 보다 플라즈마에 의해서 보다 용이하게 부식된다. 그에 따라, 이러한 입자 크기의 증가는, 후속 프로세싱 동안, 세라믹 코팅이 입자 오염을 덜 유발하도록 할 수 있다. 따라서, 열처리 온도 및 지속시간이 세라믹 코팅을 위한 표적 입자 크기를 기초로 선택될 수 있다.
알루미나 세라믹 기판 및 HPM 또는 이트리아의 세라믹 코팅의 경우에, 열처리 지속시간이 약 3-6 시간인 1500 ℃의 열처리가 실시될 수 있다. 일 실시예에서, 열처리 지속시간은 이트리아의 세라믹 코팅 또는 HPM 세라믹 복합체에 대해 약 4 시간이다.
일 실시예에서, 세라믹 물품이 열처리의 지속시간 동안 단일 온도에서 유지된다. 대안적으로, 세라믹 물품이 열처리 동안 온도 범위 내의 복수의 상이한 온도들로 가열 및/또는 냉각될 수 있다. 예를 들어, 세라믹 물품이 1500 ℃의 온도에서 4 시간 동안 열처리될 수 있을 것이고, 이어서 1700 ℃의 온도에서 다시 2 시간 동안 열처리될 수 있을 것이고, 이어서 1000 ℃에서 다시 3 시간 동안 열처리될 수 있다. 복수의 상이한 열처리 온도들이 이용될 때, 세라믹 물품이 열처리 온도들 사이의 전이에 대해 상기 램핑 레이트로 가열 및/또는 냉각될 수 있다는 것을 주목하여야 할 것이다.
블록(170)에서, 세라믹 물품이 램핑 레이트로 냉각된다. 일 실시예에서, 세라믹 물품이 세라믹 물품을 가열하기 위해 이용된 램핑 레이트와 동일한 램핑 레이트로 냉각된다. 다른 실시예에서, 세라믹 물품을 가열하기 위해 이용되었던 램핑 레이트와 상이한 램핑 레이트를 이용하여 세라믹 물품을 냉각한다. 결과적인 열처리된 세라믹 물품의 세라믹 코팅이 프로세스된 기판들의 입자 오염, 플라즈마 부식 내성, 접착 강도, 다공도, 균열들의 양 및 크기, 그리고 벗겨짐 내성과 관련한 개선된 성능을 가질 수 있다. 부가적으로, 결과적인 열처리된 세라믹 물품이 세라믹 코팅과 세라믹 기판 사이에 전이 층을 가질 수 있다. 그에 따라, 세라믹 덮개들, 세라믹 노즐들, 프로세스 키트, 및 다른 세라믹 내부 프로세스 챔버 구성요소들이 프로세스(150)를 이용하여 열처리되어 제조 제품들의 수득(yield)을 개선할 수 있다. 또한, 프로세스(150)가 적용되는 세라믹 물품들이 감소된 교체 빈도수를 가질 수 있을 것이고, 장치 중단 시간을 줄일 수 있다.
프로세스(150)가, 세라믹 코팅이 세라믹 기판 상에 형성된 후에, 세라믹 물품들에 대한 제조 프로세스의 일부로서 실시될 수 있다는 것을 주목하여야 한다. 부가적으로, 사용된 세라믹 물품들 상에서 프로세스(150)를 주기적으로 실시하여, 그러한 세라믹 물품들을 치유 또는 보수할 수 있다. 예를 들어, 세라믹 물품이 사용 전에 프로세스(150)를 이용하여 열처리될 수 있을 것이고, 몇 달마다, 1년에 한번, 1년에 2번, 또는 일부 다른 빈도수로 프로세스(150)를 이용하여 열처리될 수 있다. 프로세스(150)를 실시하는 빈도수가, 세라믹 물품과 함께 사용되는 플라즈마 에칭 및/또는 플라즈마 세정 레시피들에 의존할 수 있다. 예를 들어, 만약 세라믹 물품이 특히 가혹한 플라즈마 분위기들에 빈번하게 노출된다면, 세라믹 물품이 증가된 빈도수로 열처리될 수 있다.
플라즈마에 대한 노출은, 시간 경과에 따라, 세라믹 코팅의 부식 및/또는 침식을 유발할 수 있다. 예를 들어, 플라즈마가 세라믹 코팅의 표면에서 파괴된 본드들의 발생을 유발할 수 있을 것이고, 프로세스된 기판들을 오염시킬 수 있는 세라믹 입자들을 생성할 수 있을 것이고, 세라믹 코팅의 표면에서 결함들을 유발할 수 있을 것이고, 세라믹 코팅이 세라믹 기판으로부터 벗겨지는 것을 유도할 수 있을 것이고, 기타 등등을 유도할 수 있다. 따라서, 세라믹 물품이 오래됨에 따라, 보다 많은 입자 오염이 유발되기 쉽다. 열처리 프로세스(150)를 그러한 오래된 세라믹 물품들에 대해 실시하여, 부식성 플라즈마 분위기에 의해서 유발되는 손상을 역으로 되돌릴 수 있다. 열처리가, 새롭게 제조된 세라믹 물품들에 부가하여, 사용된 세라믹 물품들을 위해 결함들을 치유하거나 입자들을 감소시킬 수 있다. 따라서, 사용된 세라믹 물품들의 유효 수명을 연장하기 위해, 사용된 세라믹 물품들에 대해 프로세스(150)가 실시될 수 있다.
표면 결함들을 치유하는 것 및 입자들을 최소화하는 것에 더하여, 열처리 프로세스(150)가 또한 세정된 세라믹 물품들을 건조시키기 위해 이용될 수 있다. 플라즈마 분위기들로의 노출은, 세라믹 물품의 표면 상에 폴리머들이 형성되도록 유도할 수 있다. 이러한 폴리머들은 후속 프로세싱 중에 기판 상의 입자 오염을 유발할 수 있다. 종종, 주기적인 습식 세정 과정을 실시하여, 세라믹 물품으로부터 폴리머들을 제거한다. 일 실시예에서, 열처리 프로세스(150)가 습식 세정 프로세스 대신에 실시된다. 열처리 프로세스(150)는, 세라믹 물품을 코팅하는 폴리머들이 고온 분위기 내에서 공기 또는 다른 가스와 반응하도록 유도할 수 있다. 이러한 반응은 폴리머가 기체 상태가 되도록 유도할 수 있을 것이고, 세라믹 물품의 표면을 떠나도록 유도할 수 있다. 그에 따라, 열처리 프로세스(150)가 세라믹 물품의 세정 및 세라믹 물품의 표면의 보수 모두를 위해 이용될 수 있다. 후속 열처리 프로세스들을 위해 이용되는 온도 및/또는 지속시간이 초기 열처리 프로세스에 대해 이용된 온도 및/또는 지속시간과 상이할 수 있다는 것을 주목하여야 한다.
도 2a는 본 발명의 실시예들에 따른, 세라믹 코팅이 열처리를 이용하여 프로세스되기 전, 및 세라믹 물품이 열처리를 이용하여 프로세스된 후의 세라믹 코팅의 표면의 현미경 사진들(202-216)을 도시한다. 현미경 사진들(202-216)에 도시된 세라믹 코팅이 Y4Al2O9 및 Y2-xZrxO3 를 갖는 HPM 세라믹 복합체이다.
현미경 사진(202)은 열처리 이전의 세라믹 물품의 샘플을 도시한다. 현미경 사진(204)은 현미경 사진(202)에 도시된 영역(208)을 확대한 도면을 도시한다. 영역(208)은 표면 결함들을 비교적 가지지 않는다. 현미경 사진(204)은 세라믹 코팅의 입자 크기를 도시한다. 현미경 사진(206)은 현미경 사진(202) 내에 도시된 영역(210)이 확대된 도면을 도시한다. 영역(210)은 세라믹 코팅의 표면 결함들 및 표면 입자들을 보여준다.
현미경 사진(212)은 열처리 후의 현미경 사진(202)의 샘플을 도시한다. 도시된 바와 같이, 표면 결함들의 양이 열처리의 결과로서 감소되었다. 현미경 사진(214)은 현미경 사진(212)에 도시된 영역(218)의 확대된 도면을 도시한다. 영역(218)은 표면 결함들 및 표면 입자들을 비교적 가지지 않는다. 현미경 사진(214)은 현미경 사진(204)에 도시된 입자 크기 보다 더 큰 세라믹 코팅의 입자 크기를 보여준다. 현미경 사진(216)은 현미경 사진(212)에 도시된 영역(220)의 확대된 도면을 도시한다. 영역(220)은 세라믹 코팅의 표면 결함들을 보여준다. 그러나, 현미경 사진(216)에 도시된 표면 결함들은 현미경 사진(206)에 도시된 표면 결함들 보다 덜 심각하고, 표면 입자들이 실질적으로 제거되었다.
도 2b는 본 발명의 실시예들에 따른, 세라믹 코팅이 열처리를 이용하여 프로세스되기 전, 및 세라믹 코팅이 여러 가지 온도들 및 처리 지속시간들에서의 열처리를 이용하여 프로세스된 후의, 세라믹 코팅의 표면을 4,000-배 확대한 부가적인 현미경 사진들(222-234)을 도시한다. 현미경 사진(222)은 열처리 이전의 세라믹 코팅의 샘플을 도시한다. 현미경 사진(224)은 1300 ℃에서의 4 시간 동안의 열처리 후의 세라믹 코팅의 샘플을 보여준다. 현미경 사진(226)은 1400 ℃에서의 4 시간 동안의 열처리 후의 세라믹 코팅의 샘플을 보여준다. 현미경 사진(228)은 1500 ℃에서의 4 시간 동안의 열처리 후의 세라믹 코팅의 샘플을 보여준다. 현미경 사진(234)은 1600 ℃에서의 4 시간 동안의 열처리 후의 세라믹 코팅의 샘플을 보여준다. 도시된 바와 같이, 열처리 시간이 일정한 온도의 증가들은 균열들의 크기 및 수의 감소를 유도한다. 부가적으로, 온도의 증가들은 기공들의 크기 및 수의 감소를 유도한다(그에 따라, 다공도를 감소시킨다).
현미경 사진(230)은 1300 ℃에서의 24 시간 동안의 열처리 후의 세라믹 코팅의 샘플을 보여준다. 현미경 사진(232)은 1400 ℃에서의 24 시간 동안의 열처리 후의 세라믹 코팅의 샘플을 보여준다. 도시된 바와 같이, 4 시간을 초과하여 세라믹 코팅을 열처리하는 것은 다공도 또는 균열량을 추가적으로 크게 감소시키지 않았다. 따라서, 일 실시예에서, 열처리 지속시간이 약 4 시간이 된다.
도 2c는 본 발명의 실시예들에 따른, 세라믹 코팅이 프로세스되기 전, 및 세라믹 코팅이 여러 가지 온도들 및 처리 지속시간들에서의 열처리들을 이용하여 프로세스된 후의, 세라믹 코팅의 표면을 20,000-배 확대한 부가적인 현미경 사진들(236-248)을 도시한다. 현미경 사진(236)은 열처리 이전의 세라믹 코팅을 보여준다. 현미경 사진(238)은 1300 ℃에서의 4 시간 동안의 열처리 후의 세라믹 코팅을 보여준다. 현미경 사진(240)은 1400 ℃에서의 4 시간 동안의 열처리 후의 세라믹 코팅을 보여준다. 현미경 사진(242)은 1500 ℃에서의 4 시간 동안의 열처리 후의 세라믹 코팅을 보여준다. 현미경 사진(248)은 1600 ℃에서의 4 시간 동안의 열처리 후의 세라믹 코팅을 보여준다. 현미경 사진(248)에 도시된 입자 크기는 현미경 사진(242)에 도시된 입자 크기 보다 크고, 현미경 사진(242)에 도시된 입자 크기는 현미경 사진(240)에 도시된 입자 크기 보다 크고, 기타 등등도 마찬가지이다. 그에 따라, 열처리 온도의 증가들은 세라믹 코팅에 대한 입자 크기의 증가를 유도한다.
현미경 사진(244)은 1300 ℃에서의 24 시간 동안의 열처리 후의 세라믹 코팅을 보여준다. 현미경 사진(246)은 1400 ℃에서의 24 시간 동안의 열처리 후의 세라믹 코팅을 보여준다. 그에 따라, 열처리 지속시간의 증가들이 또한 세라믹 코팅의 입자 크기의 증가를 유도한다. 세라믹 코팅의 입자 크기가, 열처리 이전에, 나노-크기일 수 있을 것이고, 열처리로 인해서 나노-크기 보다 더 크게 최종적으로 성장할 수 있다. 열처리에 대한 온도 및/또는 지속시간이 표적 입자 크기를 기초로 선택될 수 있다. 처리 지속시간의 증가는, 현미경 사진들(244 및 246)에 도시된 바와 같이, 불균일한 입자 크기를 초래할 수 있다.
도 2d는 본 발명의 실시예들에 따른, 세라믹 코팅이 프로세스되기 전, 및 세라믹 코팅이 프로세스된 후의, 세라믹 코팅의 표면을 10,000-배 확대한 부가적인 현미경 사진들(250-256)을 도시한다. 현미경 사진들(250 및 254)은, 열처리 이전에, 세라믹 코팅이 많은 수의 세라믹 입자들을 포함하는 것을 보여준다. 현미경 사진들(252 및 256)은, 열처리 후에, 세라믹 입자들이 감소되거나 제거된 것을 보여준다. 일 실시예에서, 표면 입자 카운트가 약 93% 만큼 감소되었을 수 있다.
도 3a는 본 발명의 일 실시예에 따른, 열처리 전후의 세라믹 물품의 횡단면적 측면도를 보여주는 현미경 사진들(302-304)을 도시한다. 현미경 사진(302)은, 세라믹 물품이 세라믹 기판(314) 및 상기 세라믹 기판(314) 위의 세라믹 코팅(310)을 포함한다는 것을 보여준다. 도시된 세라믹 기판(314)이 알루미나이고, 도시된 세라믹 코팅(310)이 HPM 세라믹 복합체이다.
현미경 사진(304)은 세라믹 기판(314) 및 세라믹 코팅(310)을, 상기 세라믹 코팅(310)과 세라믹 기판(314) 사이에 형성된 전이 층(312)과 함께 보여준다. 도시된 전이 층이 약 1-2 미크론의 두께를 갖는다.
전이 층의 원소 맵(elementary map)(308)이 또한 도시되어 있다. 원소 맵(308)은 에너지 분산 X-레이 분광계(EDX)를 기초로 하는 전이 층(312)의 원소 분석을 제공할 수 있다. 원소 맵(308)은, 전이 층(312)이 탄소, 산소, 알루미늄 및 이트륨으로 이루어졌다는 것을 보여준다. 원소 맵(308)은, 전이 층(312) 내의 원소들의 원자 농도들이 대략적으로 18% 탄소, 46% 산소, 23% 알루미늄 및 13% 이트륨이라는 것을 추가적으로 보여준다. 그에 따라, 전이 층(312)이 Y3Al5O12(YAG)인 것으로 보여진다. 전이 층이 세라믹 기판에 대한 세라믹 코팅의 접착 강도를 상당히 개선할 수 있다.
도 3b는 본 발명의 실시예들에 따른, 여러 가지 온도들 및 처리 지속시간들에서의 열처리 전후의, 세라믹 물품의 4,000-배 확대한 횡단면적 측면도들을 보여주는 현미경 사진들을 도시한다. 현미경 사진(320)은 열처리 이전의 세라믹 코팅(310)과 세라믹 기판(314) 사이의 계면을 보여준다. 현미경 사진(322)은 1300 ℃에서의 4 시간 동안의 열처리 후의 세라믹 코팅(310)과 세라믹 기판(314) 사이의 계면을 보여준다. 현미경 사진(324)은 1400 ℃에서의 4 시간 동안의 열처리 후의 세라믹 코팅(310)과 세라믹 기판(314) 사이의 계면을 보여준다. 현미경 사진(326)은 1500 ℃에서의 4 시간 동안의 열처리 후의 세라믹 코팅(310)과 세라믹 기판(314) 사이의 계면을 보여준다. 현미경 사진(332)은 1600 ℃에서의 4 시간 동안의 열처리 후의 세라믹 코팅(310)과 세라믹 기판(314) 사이의 계면을 보여준다. 현미경 사진(328)은 1300 ℃에서의 24 시간 동안의 열처리 후의 세라믹 코팅(310)과 세라믹 기판(314) 사이의 계면을 보여준다. 현미경 사진(330)은 1300 ℃에서의 24 시간 동안의 열처리 후의 세라믹 코팅(310)과 세라믹 기판(314) 사이의 계면을 보여준다.
현미경 사진들(326, 330 및 332)에 도시된 바와 같이, 전이 층(312)은 특정 조건들 하에서의 열처리 동안 세라믹 코팅(310)과 세라믹 기판(314) 사이에 형성된다. 1300 ℃의 열처리 온도에서, 열처리 지속시간과 관계없이, 전이 층이 형성되지 않는다. 1400 ℃의 열처리에서, 4 시간의 프로세싱 후에 검출가능한 전이 층이 존재하지 않으나, 24 시간의 프로세싱 후에 전이 층(312)을 검출할 수 있다. 1500 ℃ 및 1600 ℃의 열처리 온도들에서, 전이 층(312)이 4 시간의 프로세싱 후에 검출 가능할 수 있다.
처리 온도들 및 처리 지속시간들이 증대되는 경우에, 더 두꺼운 전이 층이 형성되는 것으로 보여진다. 온도가 지속시간 보다 전이 층 두께에 더 큰 영향을 미칠 수 있다. 도시된 바와 같이, 4 시간의 지속시간 및 1500 ℃의 온도를 이용한 열처리가, 24 시간의 지속시간 및 1400 ℃의 온도를 이용한 열처리에 의해서 생성된 전이 층(312) 보다 약간 더 두꺼운 두께를 갖는 전이 층(312)을 생성할 수 있다.
도 3c는 본 발명의 실시예들에 따른, 열처리 전후의 세라믹 물품의 20,000-배 확대한 횡단면적 측면도들을 보여주는 현미경 사진들(350-356)을 도시한다. 현미경 사진들(350 및 354)은 열처리 이전의 세라믹 코팅(310)과 세라믹 기판(314) 사이의 계면을 보여준다. 갭들(370)이 열처리 이전의 세라믹 기판(314)과 세라믹 코팅(310) 사이에서 보여진다. 이러한 갭들은, 세라믹 기판(314)으로부터의 세라믹 코팅(310)의 미래의 층 박리에 기여할 수 있다. 현미경 사진들(352 및 356)은, 전이 층(312)이 열처리 동안 세라믹 코팅(310)과 세라믹 기판(314) 사이의 계면에 형성되는 것을 보여준다. 부가적으로, 현미경 사진들(352 및 355)은, 열처리 이전에 존재하였던 갭들(370)이 열처리의 결과로서 제거되거나 감소된 것을 보여준다. 이는 층 박리 가능성을 감소시킬 수 있을 것이고, 세라믹 기판(314)에 대한 세라믹 코팅(310)의 접착 또는 본드 강도를 개선할 수 있다.
도 3d는 본 발명의 일 실시예에 따른, 열처리 전후의 HPM 세라믹 복합체 코팅의 상 조성 비교를 도시한다. 도시된 바와 같이, 열처리는 세라믹 코팅 또는 세라믹 기판의 상 조성을 실질적으로 변화시키지 않았다.
세라믹 코팅의 표면 형태가 표면 조도 매개변수들 및/또는 표면 균일도 매개변수들을 이용하여 표현될 수 있다. 표면 형태가 또한 다공도, 균열 및/또는 공극 매개변수들을 이용하여 표현될 수 있다. 다공도를 나타내는 측정된 매개변수들이 기공 카운트 및/또는 평균 기공 크기를 포함할 수 있다. 유사하게, 공극들 및/또는 균열을 나타내는 측정된 매개변수들이 평균 공극/균열 크기 및/또는 공극/균열 카운트를 포함할 수 있다.
입자 카운트를 나타내는 측정된 매개변수들이 테입 벗겨짐(tape peel) 테스트 입자 카운트 및 액체 입자(liquid particle) 카운트(LPC)이다. 테입 테스트는, 접착 테입을 세라믹 코팅에 부착시키는 것, 테입을 벗겨내는 것, 및 테입에 부착된 입자들의 수를 카운팅하는 것에 의해서 실시될 수 있다. LPC는, 세라믹 물품을 물 욕조(bath)(예를 들어, 탈이온(DI)수 욕조) 내에 배치하는 것 및 물 욕조에 초음파 인가하는 것(sonicating)에 의해서 결정될 수 있다. 이어서, 용액 내로 분리된 입자들의 수가, 예를 들어, 레이저 카운트를 이용하여 카운팅될 수 있다.
접착 강도는, 세라믹 코팅이 세라믹 기판으로부터 벗겨질 때까지 세라믹 코팅으로 힘을 인가하는 것(예를 들어, 메가 파스칼로 측정됨)에 의해서 결정될 수 있다. 일 실시예에서, 세라믹 코팅에 대한 접착 강도가 열처리 전에 약 4 메가 파스칼(MPa)이고, 열처리 후에 약 12 MPa 이다. 그에 따라, 열처리 후에 세라믹 기판에 대한 세라믹 코팅의 접착 강도가 열처리 이전의 접착 강도 보다 약 3배 더 강할 수 있다.
세라믹 코팅에 대한 접착 강도, 다공도, 균열 및 입자 카운트 값들이 열처리의 결과로서 개선될 수 있다. 부가적으로, 열처리의 결과로서 입자 크기가 증가될 수 있을 것이고 경도가 감소될 수 있다. 또한, 실험적인 증거는, 세라믹 코팅된 덮개들 및 세라믹 코팅된 노즐들에 의해서 플라즈마 에칭 프로세스들 중에 유발되는 입자 오염의 양이 열처리의 결과로서 감소된다는 것을 보여준다. 실험적인 증거는 또한, 세라믹 기판으로부터의 세라믹 코팅의 벗겨짐이 열처리의 결과로서 감소된다는 것을 보여준다. 부가적으로, 세라믹 코팅의 표면 조도가 열처리의 결과로서 감소된다.
약 1200 ℃까지의 열처리들의 경우에, 입자들과 세라믹 코팅의 표면 사이의 상호작용이, 이하의 식에 따라, 반데르발스 힘에 의해서 지배될 수 있다는 것을 주목하여야 할 것이다:
Figure pct00001
여기에서 F는 힘이고, A는 면적이고, H는 거리이다. 열처리 온도가 상온으로부터 약 500 ℃까지 증가됨에 따라, 반데르발스 힘이 약해질 수 있을 것이고, 열 팽창이 거리(H)의 증가를 유도할 수 있다. 열처리 온도가 500 ℃로부터 약 1200 ℃까지 증가됨에 따라, 적어도 부분적으로 거리(H)의 감소들로 인해서, 반데르발스 힘이 강해질 수 있다. 그러한 거리의 감소들은 입자들 및/또는 변형들을 흡수하는 기판 표면에 기인할 수 있다.
약 1200 ℃ 내지 1800 ℃의 온도에서, 액체 필름이 입자들과 세라믹 코팅 표면 사이에 형성될 수 있다. 약 1200 ℃ 내지 1500 ℃에서, 액체 필름이 얇은 액체 필름일 수 있을 것이고, 약 1500 ℃ 내지 1800 ℃ 에서, 액체 필름이 두꺼운 액체 필름이 될 수 있다. 약 1800 ℃ 까지의 온도들에서, 입자들과 세라믹 코팅의 표면 사이의 상호작용이, 이하의 식에 따라, 모세관력에 의한 액체를 통한 상호작용에 의해서 지배될 수 있다:
Figure pct00002
여기에서 F는 힘이고, γ는 액체-공기 표면 장력이고, R은 입자들과 기판 표면 사이의 계면의 유효 반경이고, θ는 접촉 각도이다. 이러한 온도들에서, 입자들이 액체 내로 확산될 수 있을 것이고, 상응하는 입자 상에서 재-성장될 수 있다. 이는, 세라믹 물품이 냉각된 후에도, 입자들이 기판 표면으로부터 제거되도록 유도할 수 있다.
HPM 세라믹 복합체 및 이트리아의 경우에, 1800 ℃는 소결 온도이다. 따라서, 약 1800 ℃ 또는 그 초과의 온도들에서, 액체 상이 분말들 사이에서 세라믹 코팅 내에 형성된다. 이러한 분말들이 액체 내로 용융될 수 있을 것이고 증가되는 크기의 입자들 내로 성장할 수 있다. 평형에 도달할 때까지, 원자들이 고에너지 입자들로부터 저에너지 입자들로 확산될 수 있다. 따라서, 일 실시예에서, 열처리가 약 1800 ℃ 미만의 온도들에서 실시된다.
앞선 설명은, 본 발명의 몇몇 실시예들의 양호한 이해를 제공하기 위해, 특정 시스템들, 구성요소들, 방법들, 등의 예들과 같은 수많은 구체적인 상세 내용들을 개진한 것이다. 그러나, 당업자는, 본 발명의 적어도 일부 실시예들이 이러한 구체적인 상세 내용들이 없이도 실시될 수 있다는 것을 명확하게 이해할 수 있다. 다른 경우들에서, 본 발명을 불필요하게 불명료하게 하는 것을 피하기 위해, 주지의 구성요소들 또는 방법들을 구체적으로 설명하지 않았고 또는 단순한 블록도 포맷으로 제시하였다. 그에 따라, 개진된 구체적인 상세 내용들은 단순히 예시적인 것이다. 특별한 구현예들이 이러한 예시적인 상세 내용들과 다를 수 있을 것이고 본 발명의 범위 내에 여전히 포함되는 것으로 이해될 수 있다.
이러한 명세서 전반을 통한 "일 실시예" 또는 "실시예"에 대한 언급은, 실시예와 관련되어 설명된 특별한 특징, 구조, 또는 특성이 적어도 하나의 실시예에 포함된다는 것을 의미한다. 그에 따라, 본원 명세서 전반을 통한 여러 장소들에서의 "일 실시예에서" 또는 "실시예에서"라는 문구의 출현은 반드시 모두 동일한 실시예를 언급하는 것이 아니다. 또한, "또는"이라는 용어는 배타적인 "또는" 보다는 포괄적인 "또는"을 의미하도록 의도된 것이다.
비록 여기에서 방법들의 동작들이 특별한 순서로 도시되고 설명되었지만, 특정 동작들이 반대 순서로 실시될 수 있도록 또는 특정 동작이, 적어도 부분적으로, 다른 동작들과 동시적으로 실시될 수 있도록, 각각의 방법의 동작들의 순서가 변경될 수 있다. 다른 실시예에서, 구분된 동작들의 명령어들 또는 하위-동작들이 단속적인(intermittent) 및/또는 교번적인 방식일 수 있다.
전술한 설명이 예시적인 것으로 의도된 것이고, 제한적인 것으로 의도된 것이 아님을 이해할 수 있다. 전술한 설명을 판독하고 이해할 때, 많은 다른 실시예들이 당업자에게 자명해질 것이다. 그에 따라, 본 발명의 범위는 첨부된 청구항들과 함께, 그러한 청구항들에 의해서 권리가 부여되는 균등물들의 전체 범위를 참조하여 결정되어야 한다.

Claims (20)

  1. 방법으로서:
    세라믹 기판 및 세라믹 코팅을 포함하는 세라믹 물품을 제공하는 단계로서, 상기 세라믹 코팅이 초기 다공도 및 초기 균열량을 갖는, 세라믹 물품을 제공하는 단계;
    상기 세라믹 물품을 약 1000 ℃ 내지 약 1800 ℃ 범위의 온도까지 분당 약 0.1 ℃ 내지 분당 약 20 ℃의 램핑 레이트로 가열하는 단계;
    상기 세라믹 코팅의 다공도 및 균열량을 감소시키기 위해, 상기 세라믹 물품을 약 24 시간까지의 지속시간 동안 상기 온도 범위 내의 하나 또는 그 초과의 온도들에서 열처리하는 단계를 포함하는,
    방법.
  2. 제 1 항에 있어서,
    상기 세라믹 코팅은 초기 입자 카운트 및 초기 접착 강도를 부가적으로 가지며, 상기 열처리 후, 상기 세라믹 코팅은 감소된 입자 카운트 및 증가된 접착 강도를 갖는,
    방법.
  3. 제 1 항에 있어서,
    상기 세라믹 기판 및 상기 세라믹 코팅 각각은, Y2O3, Al2O3, Y4Al2O9, Y3Al5O12(YAG), 석영, SiC, Si3N4, AlN 또는 SiC-Si3N4 중 적어도 하나로 필수적으로 이루어지고, 상기 세라믹 기판은 상기 세라믹 코팅과 상이한 조성을 갖는,
    방법.
  4. 제 3 항에 있어서,
    상기 세라믹 기판 및 상기 세라믹 코팅은,
    상기 열처리 단계 동안 반응하여, 상기 세라믹 코팅과 상기 세라믹 기판 사이에 전이 층을 형성하게 될 세라믹들을 포함하는,
    방법.
  5. 제 1 항에 있어서,
    상기 세라믹 코팅은, Y2O3 그리고 ZrO2, Al2O3, SiO2, B2O3, Er2O3, Nd2O3, Nb2O5, CeO2, Sm2O3 또는 Yb2O3 중 적어도 하나를 포함하는 고용체(solid solution)로 이루어지는,
    방법.
  6. 제 1 항에 있어서,
    상기 열처리 단계는, 상기 세라믹 코팅이 상기 세라믹 기판과 반응하여 상기 세라믹 기판과 상기 세라믹 코팅 사이에 전이 층을 형성하도록 유도하고, 상기 전이 층이 약 0.1 미크론 내지 약 5 미크론의 두께를 가지게끔 유도하도록 상기 지속시간 및 온도 범위가 선택되는,
    방법.
  7. 제 1 항에 있어서,
    가공된 세라믹 물품이 플라즈마 에칭 프로세스에서 사용된 후, 플라즈마 에칭 프로세스에 의해서 유도된 증가된 표면 결함 밀도를 감소시키기 위해, 상기 가열 단계, 상기 열처리 단계 및 냉각 단계를 반복하는 단계를 더 포함하는,
    방법.
  8. 제 7 항에 있어서,
    상기 플라즈마 에칭 프로세스는 상기 세라믹 물품 상에 폴리머들이 형성되도록 유도하고, 산소의 존재하에서 상기 열처리 단계를 반복하는 단계는, 상기 폴리머들이 산소와 반응하여 가스들이 되도록 유도함으로써, 세라믹 물품을 건식 세정하는,
    방법.
  9. 제 1 항에 있어서,
    상기 방법은, 진공, 공기의 존재, Ar의 존재 또는 N2 의 존재 중 적어도 하나에서 수행되는,
    방법.
  10. 제 1 항에 있어서,
    가공된 세라믹 물품은 플라즈마 에칭기를 위한 프로세스 챔버 구성요소인,
    방법.
  11. 제 1 항에 있어서,
    상기 열처리 단계는 상기 세라믹 코팅의 입자 크기의 증대를 유도하고, 표적 입자 크기에 도달하도록 상기 지속시간 및 상기 온도 범위가 선택되는,
    방법.
  12. 프로세스에 의해서 준비되는 열처리된 세라믹 물품으로서:
    상기 프로세스는:
    세라믹 기판 및 세라믹 코팅을 포함하는 세라믹 물품을 제공하는 단계로서, 상기 세라믹 코팅이 초기 다공도 및 초기 균열량을 갖는, 세라믹 물품을 제공하는 단계;
    상기 세라믹 물품을 약 1000 ℃ 내지 약 1800 ℃ 범위의 온도까지 분당 약 0.1 ℃ 내지 분당 약 20 ℃의 램핑 레이트로 가열하는 단계;
    상기 세라믹 물품을 약 24 시간까지의 지속시간 동안 상기 온도 범위 내의 하나 또는 그 초과의 온도들에서 열처리하는 단계; 및
    상기 세라믹 물품을 상기 램핑 레이트로 냉각시키는 단계로서, 상기 열처리 후에 상기 세라믹 코팅이 증가된 접착 강도 및 감소된 다공도를 갖는, 냉각시키는 단계를 포함하는,
    열처리된 세라믹 물품.
  13. 제 12 항에 있어서,
    상기 세라믹 코팅은 초기 입자 카운트 및 초기 접착 강도를 부가적으로 가지며, 그리고 상기 열처리 후 상기 세라믹 코팅은 감소된 입자 카운트 및 증가된 접착 강도를 갖는,
    열처리된 세라믹 물품.
  14. 제 12 항에 있어서,
    상기 세라믹 기판 및 상기 세라믹 코팅 각각은, Y2O3, Al2O3, Y4Al2O9, Y3Al5O12(YAG), 석영, SiC, Si3N4, AlN 또는 SiC-Si3N4 중 적어도 하나로 필수적으로 이루어지고, 상기 세라믹 기판이 상기 세라믹 코팅과 상이한 조성을 갖는,
    열처리된 세라믹 물품.
  15. 제 14 항에 있어서,
    상기 세라믹 기판 및 상기 세라믹 코팅은, 상기 열처리 단계 동안 반응하여, 상기 세라믹 코팅과 상기 세라믹 기판 사이에 전이 층을 형성하게 될 세라믹들을 포함하는,
    열처리된 세라믹 물품.
  16. 제 12 항에 있어서,
    상기 열처리 단계는, 상기 세라믹 코팅이 상기 세라믹 기판과 반응하여 상기 세라믹 기판과 상기 세라믹 코팅 사이에 전이 층을 형성하도록 유도하고, 상기 전이 층이 약 0.1 미크론 내지 약 5 미크론의 두께를 가지게끔 유도하도록 상기 지속시간 및 온도 범위가 선택되는,
    열처리된 세라믹 물품.
  17. 세라믹 물품으로서:
    세라믹 기판;
    상기 세라믹 기판 상의 세라믹 코팅으로서, 상기 세라믹 코팅은 상기 세라믹 기판과 상이한 조성을 갖는, 세라믹 코팅; 및
    상기 세라믹 기판과 상기 세라믹 코팅 사이의 전이 층을 포함하며,
    상기 전이 층은 상기 세라믹 기판으로부터의 제 2 원소들과 반응한 상기 세라믹 코팅으로부터의 제 1 원소들을 포함하고, 상기 전이 층이 약 0.1 미크론 내지 약 5 미크론의 두께를 갖는,
    세라믹 물품.
  18. 제 17 항에 있어서,
    상기 세라믹 기판은 Al2O3 를 포함하고, 상기 전이 층은 Y3Al5O12(YAG)를 포함하고, 상기 세라믹 코팅은 Y2O3 또는 Y4Al2O9 의 화합물 및 Y2O3-ZrO2 의 고용체 중 적어도 하나를 포함하는,
    세라믹 물품.
  19. 제 17 항에 있어서,
    상기 세라믹 기판 및 상기 세라믹 코팅 각각은 Y2O3, Al2O3, Y4Al2O9, Y3Al5O12(YAG), 석영, SiC, Si3N4, AlN 또는 SiC-Si3N4 중 적어도 하나로 필수적으로 이루어지고, 상기 세라믹 기판은 상기 세라믹 코팅과 상이한 조성을 갖는,
    세라믹 물품.
  20. 제 17 항에 있어서,
    상기 세라믹 물품은 플라즈마 에칭기용 프로세스 챔버 구성요소인,
    세라믹 물품.
KR1020147026307A 2012-02-22 2013-02-20 세라믹 코팅을 갖는 열처리된 세라믹 기판 및 코팅된 세라믹들을 위한 열처리 KR102067108B1 (ko)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US201261602020P 2012-02-22 2012-02-22
US61/602,020 2012-02-22
US201261619854P 2012-04-03 2012-04-03
US61/619,854 2012-04-03
US13/745,589 US9212099B2 (en) 2012-02-22 2013-01-18 Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US13/745,589 2013-01-18
PCT/US2013/026963 WO2013126466A1 (en) 2012-02-22 2013-02-20 Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020177023878A Division KR102067107B1 (ko) 2012-02-22 2013-02-20 세라믹 코팅을 갖는 열처리된 세라믹 기판 및 코팅된 세라믹들을 위한 열처리

Publications (2)

Publication Number Publication Date
KR20140138190A true KR20140138190A (ko) 2014-12-03
KR102067108B1 KR102067108B1 (ko) 2020-01-16

Family

ID=48982492

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020177023878A KR102067107B1 (ko) 2012-02-22 2013-02-20 세라믹 코팅을 갖는 열처리된 세라믹 기판 및 코팅된 세라믹들을 위한 열처리
KR1020147026307A KR102067108B1 (ko) 2012-02-22 2013-02-20 세라믹 코팅을 갖는 열처리된 세라믹 기판 및 코팅된 세라믹들을 위한 열처리

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020177023878A KR102067107B1 (ko) 2012-02-22 2013-02-20 세라믹 코팅을 갖는 열처리된 세라믹 기판 및 코팅된 세라믹들을 위한 열처리

Country Status (6)

Country Link
US (4) US9212099B2 (ko)
JP (4) JP6293064B2 (ko)
KR (2) KR102067107B1 (ko)
CN (2) CN107382376B (ko)
TW (1) TWI573778B (ko)
WO (1) WO2013126466A1 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180134382A (ko) * 2016-05-13 2018-12-18 미츠비시 히타치 파워 시스템즈 가부시키가이샤 코팅 구조, 이것을 가지는 터빈 부품 및 코팅 구조의 제조 방법
KR102439674B1 (ko) * 2022-07-25 2022-09-02 주식회사 코닉스 내플라즈마성이 향상된 알루미나 세라믹 소재 및 내플라즈마성이 향상된 알루미나 세라믹 소재의 제조 장치

Families Citing this family (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US9090046B2 (en) 2012-04-16 2015-07-28 Applied Materials, Inc. Ceramic coated article and process for applying ceramic coating
US9604249B2 (en) 2012-07-26 2017-03-28 Applied Materials, Inc. Innovative top-coat approach for advanced device on-wafer particle performance
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
US9708713B2 (en) 2013-05-24 2017-07-18 Applied Materials, Inc. Aerosol deposition coating for semiconductor chamber components
US9865434B2 (en) 2013-06-05 2018-01-09 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9711334B2 (en) 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US20150079370A1 (en) 2013-09-18 2015-03-19 Applied Materials, Inc. Coating architecture for plasma sprayed chamber components
US9440886B2 (en) 2013-11-12 2016-09-13 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
WO2015134135A1 (en) 2014-03-05 2015-09-11 Applied Materials, Inc. Critical chamber component surface improvement to reduce chamber particles
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US9869013B2 (en) 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US10730798B2 (en) 2014-05-07 2020-08-04 Applied Materials, Inc. Slurry plasma spray of plasma resistant ceramic coating
US10196728B2 (en) 2014-05-16 2019-02-05 Applied Materials, Inc. Plasma spray coating design using phase and stress control
US9460898B2 (en) 2014-08-08 2016-10-04 Applied Materials, Inc. Plasma generation chamber with smooth plasma resistant coating
CN105428195B (zh) * 2014-09-17 2018-07-17 东京毅力科创株式会社 等离子体处理装置用的部件和部件的制造方法
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US20160254125A1 (en) * 2015-02-27 2016-09-01 Lam Research Corporation Method for coating surfaces
CN104761151B (zh) * 2015-03-19 2017-03-15 胡宇杰 3d玻璃板材的制作方法
KR102447682B1 (ko) * 2015-05-29 2022-09-27 삼성전자주식회사 코팅층 형성 방법, 플라즈마 처리 장치 및 패턴 형성 방법
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
CN105603352B (zh) * 2016-01-15 2018-07-24 中国科学院上海硅酸盐研究所 Al2O3/YAG非晶/共晶复合陶瓷涂层及其制备方法
WO2017142090A1 (ja) 2016-02-19 2017-08-24 新日鐵住金株式会社 セラミックス積層体、セラミックス絶縁基板、及びセラミックス積層体の製造方法
US20170291856A1 (en) * 2016-04-06 2017-10-12 Applied Materials, Inc. Solution precursor plasma spray of ceramic coating for semiconductor chamber applications
US11326253B2 (en) 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US9850573B1 (en) 2016-06-23 2017-12-26 Applied Materials, Inc. Non-line of sight deposition of erbium based plasma resistant ceramic coating
US10975469B2 (en) 2017-03-17 2021-04-13 Applied Materials, Inc. Plasma resistant coating of porous body by atomic layer deposition
US10774006B2 (en) 2017-05-10 2020-09-15 Applied Materials, Inc. Microwave and induction heat treatment of ceramic coatings
US10766824B2 (en) * 2017-11-08 2020-09-08 Applied Materials, Inc. Methods of minimizing particles on wafer from plasma spray coatings
US11047035B2 (en) 2018-02-23 2021-06-29 Applied Materials, Inc. Protective yttria coating for semiconductor equipment parts
US11401599B2 (en) 2018-06-18 2022-08-02 Applied Materials, Inc. Erosion resistant metal silicate coatings
US11667575B2 (en) 2018-07-18 2023-06-06 Applied Materials, Inc. Erosion resistant metal oxide coatings
JP7331762B2 (ja) * 2019-04-12 2023-08-23 信越化学工業株式会社 溶射材料、その製造方法、及び溶射皮膜の形成方法
JP7312064B2 (ja) * 2019-09-10 2023-07-20 日本特殊陶業株式会社 溶射膜被覆部材の製造方法
KR20220104779A (ko) * 2019-11-22 2022-07-26 램 리써치 코포레이션 플라즈마 챔버들을 위한 저온 소결된 (sintered) 코팅들
US20230088848A1 (en) * 2020-01-23 2023-03-23 Lam Research Corporation Yttrium aluminum coating for plasma processing chamber components
CN115677352B (zh) * 2022-11-23 2023-09-26 中国科学院上海硅酸盐研究所 一种高强度AlON透明陶瓷材料及其制备方法

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002001865A (ja) * 2000-04-21 2002-01-08 Ngk Insulators Ltd 積層体、耐蝕性部材および耐ハロゲンガスプラズマ用部材

Family Cites Families (251)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3796182A (en) 1971-12-16 1974-03-12 Applied Materials Tech Susceptor structure for chemical vapor deposition reactor
SE8000480L (sv) 1979-02-01 1980-08-02 Johnson Matthey Co Ltd Artikel lemplig for anvendning vid hoga temperaturer
US4439248A (en) 1982-02-02 1984-03-27 Cabot Corporation Method of heat treating NICRALY alloys for use as ceramic kiln and furnace hardware
US4676994A (en) * 1983-06-15 1987-06-30 The Boc Group, Inc. Adherent ceramic coatings
US4642440A (en) 1984-11-13 1987-02-10 Schnackel Jay F Semi-transferred arc in a liquid stabilized plasma generator and method for utilizing the same
US4704299A (en) 1985-11-06 1987-11-03 Battelle Memorial Institute Process for low temperature curing of sol-gel thin films
US4695439A (en) 1986-09-25 1987-09-22 Gte Products Corporation Yttrium oxide stabilized zirconium oxide
US4773928A (en) 1987-08-03 1988-09-27 Gte Products Corporation Plasma spray powders and process for producing same
CN1036286A (zh) 1988-02-24 1989-10-11 珀金·埃莱姆公司 超导陶瓷的次大气压等离子体喷涂
US4880614A (en) 1988-11-03 1989-11-14 Allied-Signal Inc. Ceramic thermal barrier coating with alumina interlayer
JPH0775893A (ja) * 1993-09-03 1995-03-20 Hitachi Ltd 構造物の補修方法および予防保全方法
US5381944A (en) 1993-11-04 1995-01-17 The Regents Of The University Of California Low temperature reactive bonding
US5631803A (en) 1995-01-06 1997-05-20 Applied Materials, Inc. Erosion resistant electrostatic chuck with improved cooling system
US5415756A (en) 1994-03-28 1995-05-16 University Of Houston Ion assisted deposition process including reactive source gassification
US5679167A (en) 1994-08-18 1997-10-21 Sulzer Metco Ag Plasma gun apparatus for forming dense, uniform coatings on large substrates
WO1996011288A1 (en) 1994-10-05 1996-04-18 United Technologies Corporation Multiple nanolayer coating system
US5792562A (en) 1995-01-12 1998-08-11 Applied Materials, Inc. Electrostatic chuck with polymeric impregnation and method of making
US5626923A (en) 1995-09-19 1997-05-06 Mcdonnell Douglas Corporation Method of applying ceramic coating compositions to ceramic or metallic substrate
US5766693A (en) 1995-10-06 1998-06-16 Ford Global Technologies, Inc. Method of depositing composite metal coatings containing low friction oxides
KR100428428B1 (ko) 1996-04-12 2004-04-28 가부시끼가이샤 히다치 세이사꾸쇼 플라즈마 처리장치
US6500314B1 (en) 1996-07-03 2002-12-31 Tegal Corporation Plasma etch reactor and method
US5837058A (en) 1996-07-12 1998-11-17 Applied Materials, Inc. High temperature susceptor
JP3619330B2 (ja) 1996-07-31 2005-02-09 京セラ株式会社 プラズマプロセス装置用部材
US6217662B1 (en) 1997-03-24 2001-04-17 Cree, Inc. Susceptor designs for silicon carbide thin films
US6194083B1 (en) 1997-07-28 2001-02-27 Kabushiki Kaisha Toshiba Ceramic composite material and its manufacturing method, and heat resistant member using thereof
US6106959A (en) 1998-08-11 2000-08-22 Siemens Westinghouse Power Corporation Multilayer thermal barrier coating systems
US6361645B1 (en) 1998-10-08 2002-03-26 Lam Research Corporation Method and device for compensating wafer bias in a plasma processing chamber
EP1013623B1 (en) 1998-12-21 2004-09-15 Shin-Etsu Chemical Co., Ltd. Corrosion-resistant composite oxide material
WO2001024581A1 (en) 1999-09-29 2001-04-05 Tokyo Electron Limited Multi-zone resistance heater
KR20010062209A (ko) * 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
US6949203B2 (en) 1999-12-28 2005-09-27 Applied Materials, Inc. System level in-situ integrated dielectric etch process particularly useful for copper dual damascene
JP4272786B2 (ja) 2000-01-21 2009-06-03 トーカロ株式会社 静電チャック部材およびその製造方法
US6891263B2 (en) 2000-02-07 2005-05-10 Ibiden Co., Ltd. Ceramic substrate for a semiconductor production/inspection device
US6962524B2 (en) 2000-02-17 2005-11-08 Applied Materials, Inc. Conductive polishing article for electrochemical mechanical polishing
FI117979B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
JP2002249864A (ja) * 2000-04-18 2002-09-06 Ngk Insulators Ltd 耐ハロゲンガスプラズマ用部材およびその製造方法
TW503449B (en) * 2000-04-18 2002-09-21 Ngk Insulators Ltd Halogen gas plasma-resistive members and method for producing the same, laminates, and corrosion-resistant members
CA2306941A1 (en) 2000-04-27 2001-10-27 Standard Aero Ltd. Multilayer thermal barrier coatings
US7441688B2 (en) 2003-11-04 2008-10-28 Reactive Nanotechnologies Methods and device for controlling pressure in reactive multilayer joining and resulting product
JP3798319B2 (ja) 2000-05-02 2006-07-19 ジョンズ ホプキンス ユニバーシティ 自立形反応性多層フォイル
WO2001087798A2 (en) * 2000-05-19 2001-11-22 The University Of British Columbia Process for making chemically bonded composite hydroxide ceramics
NL1015550C2 (nl) 2000-06-28 2002-01-02 Xycarb Ceramics B V Werkwijze voor het vervaardigen van een uit een kern opgebouwde susceptor, aldus verkregen susceptor en een werkwijze voor het aanbrengen van actieve lagen op een halfgeleidersubstraat onder toepassing van een dergelijke susceptor.
DE60127035T2 (de) 2000-06-29 2007-11-08 Shin-Etsu Chemical Co., Ltd. Thermisches Sprühbeschichtungsverfahren und Pulver aus Oxyden der seltenen Erden dafür
US6506254B1 (en) 2000-06-30 2003-01-14 Lam Research Corporation Semiconductor processing equipment having improved particle performance
JP4688307B2 (ja) 2000-07-11 2011-05-25 コバレントマテリアル株式会社 半導体製造装置用耐プラズマ性部材
WO2002009167A2 (en) 2000-07-20 2002-01-31 North Carolina State University High dielectric constant metal silicates formed by controlled metal-surface reactions
US6479108B2 (en) 2000-11-15 2002-11-12 G.T. Equipment Technologies, Inc. Protective layer for quartz crucibles used for silicon crystallization
US6620520B2 (en) 2000-12-29 2003-09-16 Lam Research Corporation Zirconia toughened ceramic components and coatings in semiconductor processing equipment and method of manufacture thereof
US6805952B2 (en) 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
US6581275B2 (en) 2001-01-22 2003-06-24 Applied Materials Inc. Fabricating an electrostatic chuck having plasma resistant gas conduits
US6746539B2 (en) 2001-01-30 2004-06-08 Msp Corporation Scanning deposition head for depositing particles on a wafer
EP1239055B1 (en) 2001-03-08 2017-03-01 Shin-Etsu Chemical Co., Ltd. Thermal spray spherical particles, and sprayed components
US6723209B2 (en) 2001-03-16 2004-04-20 4-Wave, Inc. System and method for performing thin film deposition or chemical treatment using an energetic flux of neutral reactive molecular fragments, atoms or radicals
JP2002356387A (ja) 2001-03-30 2002-12-13 Toshiba Ceramics Co Ltd 耐プラズマ性部材
US6915964B2 (en) 2001-04-24 2005-07-12 Innovative Technology, Inc. System and process for solid-state deposition and consolidation of high velocity powder particles using thermal plastic deformation
US7670688B2 (en) 2001-06-25 2010-03-02 Applied Materials, Inc. Erosion-resistant components for plasma process chambers
TWI234417B (en) 2001-07-10 2005-06-11 Tokyo Electron Ltd Plasma procesor and plasma processing method
US6616031B2 (en) 2001-07-17 2003-09-09 Asm Assembly Automation Limited Apparatus and method for bond force control
US20030047464A1 (en) 2001-07-27 2003-03-13 Applied Materials, Inc. Electrochemically roughened aluminum semiconductor processing apparatus surfaces
JP4515255B2 (ja) 2001-08-02 2010-07-28 スリーエム イノベイティブ プロパティズ カンパニー Al2O3−Y2O3−ZrO2材料
JP4921652B2 (ja) 2001-08-03 2012-04-25 エイエスエム インターナショナル エヌ.ヴェー. イットリウム酸化物およびランタン酸化物薄膜を堆積する方法
US20030029563A1 (en) * 2001-08-10 2003-02-13 Applied Materials, Inc. Corrosion resistant coating for semiconductor processing chamber
JP5132859B2 (ja) 2001-08-24 2013-01-30 ステラケミファ株式会社 多成分を有するガラス基板用の微細加工表面処理液
JP4663927B2 (ja) 2001-08-29 2011-04-06 信越化学工業株式会社 希土類含有酸化物部材
KR20030025007A (ko) 2001-09-19 2003-03-28 삼성전자주식회사 쉴드링을 가지는 식각장비
JP2003146751A (ja) 2001-11-20 2003-05-21 Toshiba Ceramics Co Ltd 耐プラズマ性部材及びその製造方法
JP4493251B2 (ja) 2001-12-04 2010-06-30 Toto株式会社 静電チャックモジュールおよび基板処理装置
KR100440500B1 (ko) 2001-12-07 2004-07-15 주식회사 코미코 플라즈마 스프레이 방식을 이용한 세라믹 반도체 부품의제조 및 재생 방법
US6942929B2 (en) 2002-01-08 2005-09-13 Nianci Han Process chamber having component with yttrium-aluminum coating
US7371467B2 (en) 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US6592948B1 (en) 2002-01-11 2003-07-15 General Electric Company Method for masking selected regions of a substrate
US6884514B2 (en) 2002-01-11 2005-04-26 Saint-Gobain Ceramics & Plastics, Inc. Method for forming ceramic layer having garnet crystal structure phase and article made thereby
US20080213496A1 (en) 2002-02-14 2008-09-04 Applied Materials, Inc. Method of coating semiconductor processing apparatus with protective yttrium-containing coatings
US20080264564A1 (en) 2007-04-27 2008-10-30 Applied Materials, Inc. Method of reducing the erosion rate of semiconductor processing apparatus exposed to halogen-containing plasmas
US8067067B2 (en) 2002-02-14 2011-11-29 Applied Materials, Inc. Clean, dense yttrium oxide coating protecting semiconductor processing apparatus
US6789498B2 (en) 2002-02-27 2004-09-14 Applied Materials, Inc. Elements having erosion resistance
JP4153708B2 (ja) 2002-03-12 2008-09-24 東京エレクトロン株式会社 エッチング方法
US20030175142A1 (en) 2002-03-16 2003-09-18 Vassiliki Milonopoulou Rare-earth pre-alloyed PVD targets for dielectric planar applications
JP2003277051A (ja) * 2002-03-22 2003-10-02 Ngk Insulators Ltd イットリア−アルミナ複合酸化物膜を有する積層体、イットリア−アルミナ複合酸化物膜、耐蝕性部材、耐蝕性膜およびイットリア−アルミナ複合酸化物膜の製造方法
US7026009B2 (en) 2002-03-27 2006-04-11 Applied Materials, Inc. Evaluation of chamber components having textured coatings
JP3643872B2 (ja) * 2002-05-02 2005-04-27 独立行政法人産業技術総合研究所 酸化物セラミックス複合材料の形成方法
DE10224137A1 (de) 2002-05-24 2003-12-04 Infineon Technologies Ag Ätzgas und Verfahren zum Trockenätzen
TWI241284B (en) 2002-06-06 2005-10-11 Ngk Insulators Ltd A method of producing sintered bodies, a method of producing shaped bodies, shaped bodies, corrosion resistant members and a method of producing ceramic member
US20030232139A1 (en) 2002-06-13 2003-12-18 Detura Frank Anthony Shield and method for spraying coating on a surface
US7311797B2 (en) 2002-06-27 2007-12-25 Lam Research Corporation Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
US6784096B2 (en) 2002-09-11 2004-08-31 Applied Materials, Inc. Methods and apparatus for forming barrier layers in high aspect ratio vias
JP2004107718A (ja) 2002-09-18 2004-04-08 Ngk Insulators Ltd 積層体、溶射膜および積層体の製造方法
US6798519B2 (en) 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US7507481B2 (en) 2002-11-20 2009-03-24 Shin-Etsu Chemical Co., Ltd. Heat resistant coated member, making method, and treatment using the same
FR2850790B1 (fr) 2003-02-05 2005-04-08 Semco Engineering Sa Semelle de collage electrostatique avec electrode radiofrequence et moyens thermostatiques integres
CN100401478C (zh) 2003-02-12 2008-07-09 松下电器产业株式会社 半导体器件的制造方法
US6753269B1 (en) 2003-05-08 2004-06-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method for low k dielectric deposition
JP2004332081A (ja) 2003-05-12 2004-11-25 Shin Etsu Chem Co Ltd 耐プラズマ部材及びその製造方法
US7510641B2 (en) 2003-07-21 2009-03-31 Los Alamos National Security, Llc High current density electropolishing in the preparation of highly smooth substrate tapes for coated conductors
US7097713B2 (en) * 2003-08-19 2006-08-29 The Boc Group, Inc. Method for removing a composite coating containing tantalum deposition and arc sprayed aluminum from ceramic substrates
US7658816B2 (en) 2003-09-05 2010-02-09 Tokyo Electron Limited Focus ring and plasma processing apparatus
US20050056056A1 (en) 2003-09-16 2005-03-17 Wong Marvin Glenn Healing micro cracks in a substrate
US7645526B2 (en) 2003-09-16 2010-01-12 Shin-Etsu Quartz Products, Ltd. Member for plasma etching device and method for manufacture thereof
EP1524682B1 (en) 2003-10-17 2011-10-05 Tosoh Corporation Component for vacuum apparatus, production method thereof and apparatus using the same
CN100432024C (zh) 2003-10-31 2008-11-12 株式会社德山 氮化铝接合体及其制造方法
US7220497B2 (en) * 2003-12-18 2007-05-22 Lam Research Corporation Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components
US20050142393A1 (en) 2003-12-30 2005-06-30 Boutwell Brett A. Ceramic compositions for thermal barrier coatings stabilized in the cubic crystalline phase
JP4606121B2 (ja) 2004-01-29 2011-01-05 京セラ株式会社 耐食膜積層耐食性部材およびその製造方法
JP2005260040A (ja) 2004-02-12 2005-09-22 Sony Corp ドーピング方法、半導体装置の製造方法および電子応用装置の製造方法
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
WO2005098086A2 (en) * 2004-03-24 2005-10-20 Massachusetts Institute Of Technology Remote chamber methods for removing surface deposits
JP4443976B2 (ja) 2004-03-30 2010-03-31 忠弘 大見 セラミックスの洗浄方法および高清浄性セラミックス
US20060165994A1 (en) 2004-07-07 2006-07-27 General Electric Company Protective coating on a substrate and method of making thereof
JP2006027012A (ja) 2004-07-14 2006-02-02 Pioneer Electronic Corp 脱泡方法及びこれを用いた脱泡装置
JP2006108602A (ja) 2004-09-10 2006-04-20 Toshiba Corp 半導体装置及びその製造方法
US20060068189A1 (en) 2004-09-27 2006-03-30 Derek Raybould Method of forming stabilized plasma-sprayed thermal barrier coatings
US7622424B2 (en) 2004-10-01 2009-11-24 American Superconductor Corporation Thick superconductor films with improved performance
JP2006128000A (ja) 2004-10-29 2006-05-18 Advanced Lcd Technologies Development Center Co Ltd プラズマ処理装置
KR20060041497A (ko) 2004-11-09 2006-05-12 동부일렉트로닉스 주식회사 건식 식각장치
US8058186B2 (en) 2004-11-10 2011-11-15 Tokyo Electron Limited Components for substrate processing apparatus and manufacturing method thereof
JP2006207012A (ja) 2004-12-28 2006-08-10 Toshiba Ceramics Co Ltd イットリウム系セラミックス被覆材およびその製造方法
US7838083B1 (en) 2005-01-28 2010-11-23 Sandia Corporation Ion beam assisted deposition of thermal barrier coatings
US7354659B2 (en) 2005-03-30 2008-04-08 Reactive Nanotechnologies, Inc. Method for fabricating large dimension bonds using reactive multilayer joining
US20060222777A1 (en) 2005-04-05 2006-10-05 General Electric Company Method for applying a plasma sprayed coating using liquid injection
JP2008545612A (ja) * 2005-05-31 2008-12-18 コーニング インコーポレイテッド 細孔形成剤の組合せを含有するチタン酸アルミニウムセラミック形成バッチ混合物および未焼成体、および同混合物および未焼成体の製造および焼成方法
KR101322549B1 (ko) 2005-06-17 2013-10-25 고쿠리츠다이가쿠호진 도호쿠다이가쿠 금속 부재의 보호막 구조 및 보호막 구조를 이용한 금속부품 그리고 보호막 구조를 이용한 반도체 또는 평판디스플레이 제조 장치
JP4586984B2 (ja) 2005-06-30 2010-11-24 株式会社ボークス 人形の関節部材、該関節部材を備えた人形
JP4813115B2 (ja) 2005-07-14 2011-11-09 国立大学法人東北大学 半導体製造装置用部材及びその洗浄方法
KR20070013118A (ko) 2005-07-25 2007-01-30 삼성전자주식회사 플라즈마 식각 장치
EP1780298A4 (en) * 2005-07-29 2009-01-07 Tocalo Co Ltd Part Coated with Y203 Thermally Sprayed Film and Method of Making the Same
US7672110B2 (en) 2005-08-29 2010-03-02 Applied Materials, Inc. Electrostatic chuck having textured contact surface
JP4571561B2 (ja) * 2005-09-08 2010-10-27 トーカロ株式会社 耐プラズマエロージョン性に優れる溶射皮膜被覆部材およびその製造方法
JP4985928B2 (ja) 2005-10-21 2012-07-25 信越化学工業株式会社 多層コート耐食性部材
US7968205B2 (en) 2005-10-21 2011-06-28 Shin-Etsu Chemical Co., Ltd. Corrosion resistant multilayer member
JP2007126712A (ja) 2005-11-02 2007-05-24 Fujimi Inc 溶射用粉末及び溶射皮膜の形成方法
TWI332532B (en) 2005-11-04 2010-11-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US20070113783A1 (en) 2005-11-19 2007-05-24 Applied Materials, Inc. Band shield for substrate processing chamber
US7622195B2 (en) 2006-01-10 2009-11-24 United Technologies Corporation Thermal barrier coating compositions, processes for applying same and articles coated with same
US7736759B2 (en) 2006-01-20 2010-06-15 United Technologies Corporation Yttria-stabilized zirconia coating with a molten silicate resistant outer layer
JP4996868B2 (ja) * 2006-03-20 2012-08-08 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US7648782B2 (en) 2006-03-20 2010-01-19 Tokyo Electron Limited Ceramic coating member for semiconductor processing apparatus
KR101344990B1 (ko) 2006-04-20 2013-12-24 신에쓰 가가꾸 고교 가부시끼가이샤 도전성 내플라즈마 부재
US20070264155A1 (en) 2006-05-09 2007-11-15 Brady Michael D Aerosol jet deposition method and system for creating a reference region/sample region on a biosensor
US20110268956A1 (en) 2006-05-12 2011-11-03 Fundacion Inasmet Method for obtaining ceramic coatings and ceramic coatings obtained
US20070274837A1 (en) 2006-05-26 2007-11-29 Thomas Alan Taylor Blade tip coatings
US20080009417A1 (en) 2006-07-05 2008-01-10 General Electric Company Coating composition, article, and associated method
US20080016684A1 (en) 2006-07-06 2008-01-24 General Electric Company Corrosion resistant wafer processing apparatus and method for making thereof
US20080029032A1 (en) 2006-08-01 2008-02-07 Sun Jennifer Y Substrate support with protective layer for plasma resistance
US7722959B2 (en) 2006-09-06 2010-05-25 United Technologies Corporation Silicate resistant thermal barrier coating with alternating layers
US7701693B2 (en) 2006-09-13 2010-04-20 Ngk Insulators, Ltd. Electrostatic chuck with heater and manufacturing method thereof
US20080090034A1 (en) 2006-09-18 2008-04-17 Harrison Daniel J Colored glass frit
US7469640B2 (en) 2006-09-28 2008-12-30 Alliant Techsystems Inc. Flares including reactive foil for igniting a combustible grain thereof and methods of fabricating and igniting such flares
CN101522946B (zh) 2006-10-06 2012-06-13 迦南精机株式会社 耐腐蚀性构件及其制备方法
US7479464B2 (en) 2006-10-23 2009-01-20 Applied Materials, Inc. Low temperature aerosol deposition of a plasma resistive layer
US20080176149A1 (en) 2006-10-30 2008-07-24 Applied Materials, Inc. Endpoint detection for photomask etching
US7919722B2 (en) 2006-10-30 2011-04-05 Applied Materials, Inc. Method for fabricating plasma reactor parts
US20080142755A1 (en) 2006-12-13 2008-06-19 General Electric Company Heater apparatus and associated method
US8097105B2 (en) 2007-01-11 2012-01-17 Lam Research Corporation Extending lifetime of yttrium oxide as a plasma chamber material
CN101657516B (zh) * 2007-03-12 2015-07-22 圣戈本陶瓷及塑料股份有限公司 高强度陶瓷元件及其制造方法和使用方法
US7659204B2 (en) 2007-03-26 2010-02-09 Applied Materials, Inc. Oxidized barrier layer
US7718559B2 (en) 2007-04-20 2010-05-18 Applied Materials, Inc. Erosion resistance enhanced quartz used in plasma etch chamber
TWI351057B (en) * 2007-04-27 2011-10-21 Applied Materials Inc Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
US7696117B2 (en) 2007-04-27 2010-04-13 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
US9202736B2 (en) 2007-07-31 2015-12-01 Applied Materials, Inc. Method for refurbishing an electrostatic chuck with reduced plasma penetration and arcing
US7848076B2 (en) 2007-07-31 2010-12-07 Applied Materials, Inc. Method and apparatus for providing an electrostatic chuck with reduced plasma penetration and arcing
US8108981B2 (en) 2007-07-31 2012-02-07 Applied Materials, Inc. Method of making an electrostatic chuck with reduced plasma penetration and arcing
US8367227B2 (en) 2007-08-02 2013-02-05 Applied Materials, Inc. Plasma-resistant ceramics with controlled electrical resistivity
US7649729B2 (en) 2007-10-12 2010-01-19 Applied Materials, Inc. Electrostatic chuck assembly
US8129029B2 (en) 2007-12-21 2012-03-06 Applied Materials, Inc. Erosion-resistant plasma chamber components comprising a metal base structure with an overlying thermal oxidation coating
JP2009176787A (ja) * 2008-01-22 2009-08-06 Hitachi High-Technologies Corp エッチング処理装置及びエッチング処理室用部材
US20090214825A1 (en) 2008-02-26 2009-08-27 Applied Materials, Inc. Ceramic coating comprising yttrium which is resistant to a reducing plasma
CA2658210A1 (en) 2008-04-04 2009-10-04 Sulzer Metco Ag Method and apparatus for the coating and for the surface treatment of substrates by means of a plasma beam
DE102008021167B3 (de) 2008-04-28 2010-01-21 Siemens Aktiengesellschaft Verfahren zur Erzeugung einer hermetisch dichten, elektrischen Durchführung mittels exothermer Nanofolie und damit hergestellte Vorrichtung
US8546284B2 (en) 2008-05-07 2013-10-01 Council Of Scientific & Industrial Research Process for the production of plasma sprayable yttria stabilized zirconia (YSZ) and plasma sprayable YSZ powder produced thereby
TWI475594B (zh) 2008-05-19 2015-03-01 Entegris Inc 靜電夾頭
US20090297718A1 (en) * 2008-05-29 2009-12-03 General Electric Company Methods of fabricating environmental barrier coatings for silicon based substrates
JP2010006641A (ja) * 2008-06-27 2010-01-14 Kyocera Corp 耐食性部材およびこれを用いた処理装置
WO2010019430A2 (en) 2008-08-12 2010-02-18 Applied Materials, Inc. Electrostatic chuck assembly
JP5537001B2 (ja) 2008-08-20 2014-07-02 株式会社アルバック 表面処理セラミックス部材、その製造方法および真空処理装置
US7929269B2 (en) 2008-09-04 2011-04-19 Momentive Performance Materials Inc. Wafer processing apparatus having a tunable electrical resistivity
JP5357486B2 (ja) 2008-09-30 2013-12-04 東京エレクトロン株式会社 プラズマ処理装置
JP5551353B2 (ja) 2008-10-30 2014-07-16 株式会社日本セラテック 耐食性部材
JP5545792B2 (ja) 2008-10-31 2014-07-09 株式会社日本セラテック 耐食性部材
EP2350334A2 (en) 2008-11-04 2011-08-03 Praxair Technology, Inc. Thermal spray coatings for semiconductor applications
US8206829B2 (en) * 2008-11-10 2012-06-26 Applied Materials, Inc. Plasma resistant coatings for plasma chamber components
US9017765B2 (en) 2008-11-12 2015-04-28 Applied Materials, Inc. Protective coatings resistant to reactive plasma processing
US8858745B2 (en) 2008-11-12 2014-10-14 Applied Materials, Inc. Corrosion-resistant bonding agents for bonding ceramic components which are exposed to plasmas
FR2938554B1 (fr) * 2008-11-19 2011-05-06 Areva Nc Procede de revetement d'un element de creuset metallique par un melange de verre et de ceramique
JP5407324B2 (ja) 2008-12-24 2014-02-05 堺化学工業株式会社 酸化ジルコニウム分散液の製造方法
FR2940278B1 (fr) * 2008-12-24 2011-05-06 Snecma Propulsion Solide Barriere environnementale pour substrat refractaire contenant du silicium
US20100177454A1 (en) 2009-01-09 2010-07-15 Component Re-Engineering Company, Inc. Electrostatic chuck with dielectric inserts
US7964517B2 (en) 2009-01-29 2011-06-21 Texas Instruments Incorporated Use of a biased precoat for reduced first wafer defects in high-density plasma process
CA2750789C (en) 2009-02-05 2018-12-04 Sulzer Metco Ag Plasma coating system and method for coating or treating the surface of a substrate
US8404572B2 (en) 2009-02-13 2013-03-26 Taiwan Semiconductor Manufacturing Co., Ltd Multi-zone temperature control for semiconductor wafer
US8444737B2 (en) 2009-02-27 2013-05-21 Corning Incorporated Ceramic structures and methods of making ceramic structures
JP5001323B2 (ja) 2009-03-27 2012-08-15 トーカロ株式会社 白色酸化イットリウム溶射皮膜表面の改質方法および酸化イットリウム溶射皮膜被覆部材
CN102428212B (zh) 2009-05-08 2014-04-02 有限会社渊田纳米技研 氧化锆膜的成膜方法
JP5595795B2 (ja) 2009-06-12 2014-09-24 東京エレクトロン株式会社 プラズマ処理装置用の消耗部品の再利用方法
US20110086178A1 (en) 2009-10-14 2011-04-14 General Electric Company Ceramic coatings and methods of making the same
WO2011066314A1 (en) 2009-11-25 2011-06-03 Green, Tweed Of Delaware, Inc. Methods of coating substrate with plasma resistant coatings and related coated substrates
JP5604888B2 (ja) 2009-12-21 2014-10-15 住友大阪セメント株式会社 静電チャックの製造方法
JP5423632B2 (ja) 2010-01-29 2014-02-19 住友大阪セメント株式会社 静電チャック装置
US20110198034A1 (en) 2010-02-11 2011-08-18 Jennifer Sun Gas distribution showerhead with coating material for semiconductor processing
FR2957358B1 (fr) 2010-03-12 2012-04-13 Snecma Methode de fabrication d'une protection de barriere thermique et revetement multicouche apte a former une barriere thermique
JP5267603B2 (ja) 2010-03-24 2013-08-21 Toto株式会社 静電チャック
WO2011122377A1 (ja) 2010-03-30 2011-10-06 日本碍子株式会社 半導体製造装置用耐食性部材及びその製法
KR101221925B1 (ko) 2010-04-22 2013-01-14 한국세라믹기술원 플라즈마 저항성 세라믹 피막 및 그 제조 방법
WO2011150311A1 (en) 2010-05-28 2011-12-01 Praxair Technology, Inc. Substrate supports for semiconductor applications
US20110315081A1 (en) 2010-06-25 2011-12-29 Law Kam S Susceptor for plasma processing chamber
WO2012005977A1 (en) 2010-06-29 2012-01-12 Los Alamos National Security, Llc Solution deposition planarization method
US20120183790A1 (en) 2010-07-14 2012-07-19 Christopher Petorak Thermal spray composite coatings for semiconductor applications
KR101108692B1 (ko) 2010-09-06 2012-01-25 한국기계연구원 다공성 세라믹 표면을 밀봉하는 치밀한 희토류 금속 산화물 코팅막 및 이의 제조방법
US9969022B2 (en) 2010-09-28 2018-05-15 Applied Materials, Inc. Vacuum process chamber component and methods of making
US10720350B2 (en) 2010-09-28 2020-07-21 Kla-Tencore Corporation Etch-resistant coating on sensor wafers for in-situ measurement
US20120100299A1 (en) 2010-10-25 2012-04-26 United Technologies Corporation Thermal spray coating process for compressor shafts
US8916021B2 (en) 2010-10-27 2014-12-23 Applied Materials, Inc. Electrostatic chuck and showerhead with enhanced thermal properties and methods of making thereof
CN103493194B (zh) 2011-06-02 2016-05-18 应用材料公司 静电夹盘的氮化铝电介质修复
JP5665679B2 (ja) 2011-07-14 2015-02-04 住友重機械工業株式会社 不純物導入層形成装置及び静電チャック保護方法
CN102391015B (zh) * 2011-07-27 2013-01-02 西安交通大学 SiC陶瓷表面处理方法及其用途
US20130048606A1 (en) 2011-08-31 2013-02-28 Zhigang Mao Methods for in-situ chamber dry clean in photomask plasma etching processing chamber
US20130115418A1 (en) 2011-11-03 2013-05-09 Coorstek, Inc. Multilayer rare-earth oxide coatings and methods of making
JP5496992B2 (ja) 2011-12-13 2014-05-21 中国電力株式会社 プラズマ溶射装置及びその制御方法
CN104126028B (zh) 2011-12-19 2017-02-22 普莱克斯 S.T.技术有限公司 生产热障和环境障涂层的含水浆料及制备和施用其的方法
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
KR20130098707A (ko) 2012-02-28 2013-09-05 삼성전자주식회사 정전 척 장치 및 그 제어방법
US20150064406A1 (en) 2012-03-22 2015-03-05 Tocalo Co., Ltd. Method for forming fluoride spray coating, and fluoride spray coating covered member
US20130273313A1 (en) 2012-04-13 2013-10-17 Applied Materials, Inc. Ceramic coated ring and process for applying ceramic coating
US9090046B2 (en) 2012-04-16 2015-07-28 Applied Materials, Inc. Ceramic coated article and process for applying ceramic coating
US9394615B2 (en) 2012-04-27 2016-07-19 Applied Materials, Inc. Plasma resistant ceramic coated conductive article
US20130288037A1 (en) 2012-04-27 2013-10-31 Applied Materials, Inc. Plasma spray coating process enhancement for critical chamber components
US9150602B2 (en) 2012-07-24 2015-10-06 Atomic Energy Council, Institute Of Nuclear Energy Research Precursor used for labeling hepatorcyte receptor and containing trisaccharide and diamide demercaptide ligand, method for preparing the same, radiotracer and pharmaceutical composition of the same
US9604249B2 (en) 2012-07-26 2017-03-28 Applied Materials, Inc. Innovative top-coat approach for advanced device on-wafer particle performance
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
US20140037969A1 (en) 2012-08-03 2014-02-06 General Electric Company Hybrid Air Plasma Spray and Slurry Method of Environmental Barrier Deposition
JP5934069B2 (ja) 2012-09-14 2016-06-15 日本碍子株式会社 積層構造体、半導体製造装置用部材及び積層構造体の製造方法
US9916998B2 (en) * 2012-12-04 2018-03-13 Applied Materials, Inc. Substrate support assembly having a plasma resistant protective layer
US9685356B2 (en) 2012-12-11 2017-06-20 Applied Materials, Inc. Substrate support assembly having metal bonded protective layer
US8941969B2 (en) 2012-12-21 2015-01-27 Applied Materials, Inc. Single-body electrostatic chuck
US9708713B2 (en) * 2013-05-24 2017-07-18 Applied Materials, Inc. Aerosol deposition coating for semiconductor chamber components
US9865434B2 (en) 2013-06-05 2018-01-09 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application
US9850568B2 (en) * 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9711334B2 (en) 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US20150079370A1 (en) 2013-09-18 2015-03-19 Applied Materials, Inc. Coating architecture for plasma sprayed chamber components
US9440886B2 (en) 2013-11-12 2016-09-13 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US20150311043A1 (en) 2014-04-25 2015-10-29 Applied Materials, Inc. Chamber component with fluorinated thin film coating
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US9869013B2 (en) 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US10730798B2 (en) 2014-05-07 2020-08-04 Applied Materials, Inc. Slurry plasma spray of plasma resistant ceramic coating
US10385459B2 (en) 2014-05-16 2019-08-20 Applied Materials, Inc. Advanced layered bulk ceramics via field assisted sintering technology
US10196728B2 (en) 2014-05-16 2019-02-05 Applied Materials, Inc. Plasma spray coating design using phase and stress control
US9460898B2 (en) 2014-08-08 2016-10-04 Applied Materials, Inc. Plasma generation chamber with smooth plasma resistant coating
KR20160030812A (ko) 2014-09-11 2016-03-21 삼성전자주식회사 플라즈마 처리 장치

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002001865A (ja) * 2000-04-21 2002-01-08 Ngk Insulators Ltd 積層体、耐蝕性部材および耐ハロゲンガスプラズマ用部材

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180134382A (ko) * 2016-05-13 2018-12-18 미츠비시 히타치 파워 시스템즈 가부시키가이샤 코팅 구조, 이것을 가지는 터빈 부품 및 코팅 구조의 제조 방법
US11407688B2 (en) 2016-05-13 2022-08-09 Mitsubishi Heavy Industries, Ltd. Coating structure, turbine part having same, and method for manufacturing coating structure
KR102439674B1 (ko) * 2022-07-25 2022-09-02 주식회사 코닉스 내플라즈마성이 향상된 알루미나 세라믹 소재 및 내플라즈마성이 향상된 알루미나 세라믹 소재의 제조 장치

Also Published As

Publication number Publication date
KR20170102370A (ko) 2017-09-08
JP2015512848A (ja) 2015-04-30
CN107382376A (zh) 2017-11-24
CN108249957B (zh) 2021-07-16
JP2018048072A (ja) 2018-03-29
KR102067107B1 (ko) 2020-01-16
JP2019206470A (ja) 2019-12-05
WO2013126466A1 (en) 2013-08-29
US10364197B2 (en) 2019-07-30
TW201343603A (zh) 2013-11-01
JP6878504B2 (ja) 2021-05-26
JP6542854B2 (ja) 2019-07-10
JP6293064B2 (ja) 2018-03-14
US20130216821A1 (en) 2013-08-22
US20210317049A1 (en) 2021-10-14
US20160060181A1 (en) 2016-03-03
CN107382376B (zh) 2021-08-17
KR102067108B1 (ko) 2020-01-16
TWI573778B (zh) 2017-03-11
JP2021120346A (ja) 2021-08-19
US11279661B2 (en) 2022-03-22
US9212099B2 (en) 2015-12-15
CN108249957A (zh) 2018-07-06
CN105492400A (zh) 2016-04-13
US20190233343A1 (en) 2019-08-01

Similar Documents

Publication Publication Date Title
US11279661B2 (en) Heat treated ceramic substrate having ceramic coating
US10336656B2 (en) Ceramic article with reduced surface defect density
JP6526729B2 (ja) 希土類酸化物系モノリシックチャンバ材料
TWI665322B (zh) 離子輔助沉積的稀土氧化物之頂部塗層
US9090046B2 (en) Ceramic coated article and process for applying ceramic coating
KR102098926B1 (ko) 반도체 적용을 위한 희토류 옥사이드 기반 내침식성 코팅
CN105392913B (zh) 用于盖与喷嘴上的稀土氧化物基涂层的离子辅助沉积
JP2020007643A (ja) 半導体製造コンポーネント用高純度金属トップコート
WO2013155220A1 (en) Ceramic coated ring and process for applying ceramic coating
KR101559112B1 (ko) 공정부품 표면의 세라믹 코팅막 및 이의 형성방법
CN105492400B (zh) 具有陶瓷涂层的经热处理陶瓷基板及用于经涂布陶瓷的热处理方法

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right