CN106711045A - 切割金属栅极的方法 - Google Patents
切割金属栅极的方法 Download PDFInfo
- Publication number
- CN106711045A CN106711045A CN201610993412.9A CN201610993412A CN106711045A CN 106711045 A CN106711045 A CN 106711045A CN 201610993412 A CN201610993412 A CN 201610993412A CN 106711045 A CN106711045 A CN 106711045A
- Authority
- CN
- China
- Prior art keywords
- metal
- hard mask
- metal gate
- fin
- gate
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Pending
Links
- 229910052751 metal Inorganic materials 0.000 title claims abstract description 163
- 239000002184 metal Substances 0.000 title claims abstract description 163
- 238000000034 method Methods 0.000 title claims abstract description 143
- 238000005520 cutting process Methods 0.000 title claims abstract description 48
- 239000000758 substrate Substances 0.000 claims abstract description 31
- 238000002955 isolation Methods 0.000 claims abstract description 24
- 239000010410 layer Substances 0.000 description 108
- 230000008569 process Effects 0.000 description 58
- 238000005530 etching Methods 0.000 description 44
- 239000004065 semiconductor Substances 0.000 description 34
- 125000006850 spacer group Chemical group 0.000 description 34
- 239000011229 interlayer Substances 0.000 description 29
- 239000000463 material Substances 0.000 description 22
- 238000004519 manufacturing process Methods 0.000 description 21
- 238000012545 processing Methods 0.000 description 17
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 15
- 229910052710 silicon Inorganic materials 0.000 description 15
- 239000010703 silicon Substances 0.000 description 15
- 239000007789 gas Substances 0.000 description 13
- 238000000151 deposition Methods 0.000 description 11
- 239000003989 dielectric material Substances 0.000 description 11
- 230000003647 oxidation Effects 0.000 description 10
- 238000007254 oxidation reaction Methods 0.000 description 10
- XPDWGBQVDMORPB-UHFFFAOYSA-N Fluoroform Chemical compound FC(F)F XPDWGBQVDMORPB-UHFFFAOYSA-N 0.000 description 9
- 229910052581 Si3N4 Inorganic materials 0.000 description 9
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 9
- RWRIWBAIICGTTQ-UHFFFAOYSA-N difluoromethane Chemical compound FCF RWRIWBAIICGTTQ-UHFFFAOYSA-N 0.000 description 9
- 238000005516 engineering process Methods 0.000 description 9
- MWUXSHHQAYIFBG-UHFFFAOYSA-N nitrogen oxide Inorganic materials O=[N] MWUXSHHQAYIFBG-UHFFFAOYSA-N 0.000 description 9
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 9
- 229910018503 SF6 Inorganic materials 0.000 description 8
- 238000005229 chemical vapour deposition Methods 0.000 description 8
- 239000012212 insulator Substances 0.000 description 8
- SFZCNBIFKDRMGX-UHFFFAOYSA-N sulfur hexafluoride Chemical compound FS(F)(F)(F)(F)F SFZCNBIFKDRMGX-UHFFFAOYSA-N 0.000 description 8
- 229960000909 sulfur hexafluoride Drugs 0.000 description 8
- VZGDMQKNWNREIO-UHFFFAOYSA-N tetrachloromethane Chemical compound ClC(Cl)(Cl)Cl VZGDMQKNWNREIO-UHFFFAOYSA-N 0.000 description 8
- TXEYQDLBPFQVAA-UHFFFAOYSA-N tetrafluoromethane Chemical compound FC(F)(F)F TXEYQDLBPFQVAA-UHFFFAOYSA-N 0.000 description 8
- WGTYBPLFGIVFAS-UHFFFAOYSA-M tetramethylammonium hydroxide Chemical compound [OH-].C[N+](C)(C)C WGTYBPLFGIVFAS-UHFFFAOYSA-M 0.000 description 8
- 230000008901 benefit Effects 0.000 description 7
- 239000010949 copper Substances 0.000 description 7
- 238000001312 dry etching Methods 0.000 description 7
- 229920002120 photoresistant polymer Polymers 0.000 description 7
- 239000000243 solution Substances 0.000 description 7
- HEDRZPFGACZZDS-UHFFFAOYSA-N Chloroform Chemical compound ClC(Cl)Cl HEDRZPFGACZZDS-UHFFFAOYSA-N 0.000 description 6
- KRHYYFGTRYWZRS-UHFFFAOYSA-N Fluorane Chemical compound F KRHYYFGTRYWZRS-UHFFFAOYSA-N 0.000 description 6
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 6
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 6
- DIKBFYAXUHHXCS-UHFFFAOYSA-N bromoform Chemical compound BrC(Br)Br DIKBFYAXUHHXCS-UHFFFAOYSA-N 0.000 description 6
- 230000005669 field effect Effects 0.000 description 6
- 239000000126 substance Substances 0.000 description 6
- QTBSBXVTEAMEQO-UHFFFAOYSA-N Acetic acid Chemical compound CC(O)=O QTBSBXVTEAMEQO-UHFFFAOYSA-N 0.000 description 5
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 description 5
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 5
- 230000015572 biosynthetic process Effects 0.000 description 5
- 239000000460 chlorine Substances 0.000 description 5
- 229910052801 chlorine Inorganic materials 0.000 description 5
- 229910052802 copper Inorganic materials 0.000 description 5
- 230000008021 deposition Effects 0.000 description 5
- WMIYKQLTONQJES-UHFFFAOYSA-N hexafluoroethane Chemical compound FC(F)(F)C(F)(F)F WMIYKQLTONQJES-UHFFFAOYSA-N 0.000 description 5
- 229910044991 metal oxide Inorganic materials 0.000 description 5
- 150000004706 metal oxides Chemical class 0.000 description 5
- 238000005240 physical vapour deposition Methods 0.000 description 5
- 238000006467 substitution reaction Methods 0.000 description 5
- 229910052782 aluminium Inorganic materials 0.000 description 4
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 4
- MRELNEQAGSRDBK-UHFFFAOYSA-N lanthanum(3+);oxygen(2-) Chemical compound [O-2].[O-2].[O-2].[La+3].[La+3] MRELNEQAGSRDBK-UHFFFAOYSA-N 0.000 description 4
- TWNQGVIAIRXVLR-UHFFFAOYSA-N oxo(oxoalumanyloxy)alumane Chemical compound O=[Al]O[Al]=O TWNQGVIAIRXVLR-UHFFFAOYSA-N 0.000 description 4
- 238000000059 patterning Methods 0.000 description 4
- 230000002040 relaxant effect Effects 0.000 description 4
- 229910021332 silicide Inorganic materials 0.000 description 4
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical compound [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 description 4
- 229910010271 silicon carbide Inorganic materials 0.000 description 4
- 239000000377 silicon dioxide Substances 0.000 description 4
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 3
- 108091081062 Repeated sequence (DNA) Proteins 0.000 description 3
- 239000004411 aluminium Substances 0.000 description 3
- 230000008859 change Effects 0.000 description 3
- 238000010276 construction Methods 0.000 description 3
- 239000002019 doping agent Substances 0.000 description 3
- 230000000694 effects Effects 0.000 description 3
- 230000008030 elimination Effects 0.000 description 3
- 238000003379 elimination reaction Methods 0.000 description 3
- 239000007792 gaseous phase Substances 0.000 description 3
- PCHJSUWPFVWCPO-UHFFFAOYSA-N gold Chemical compound [Au] PCHJSUWPFVWCPO-UHFFFAOYSA-N 0.000 description 3
- 229910052737 gold Inorganic materials 0.000 description 3
- 239000010931 gold Substances 0.000 description 3
- RPQDHPTXJYYUPQ-UHFFFAOYSA-N indium arsenide Chemical compound [In]#[As] RPQDHPTXJYYUPQ-UHFFFAOYSA-N 0.000 description 3
- 239000000203 mixture Substances 0.000 description 3
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 3
- 229910052721 tungsten Inorganic materials 0.000 description 3
- 239000010937 tungsten Substances 0.000 description 3
- 238000000927 vapour-phase epitaxy Methods 0.000 description 3
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 2
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 2
- BSURNBPIYYGUGJ-UHFFFAOYSA-N Br(=O)(=O)O.Br Chemical compound Br(=O)(=O)O.Br BSURNBPIYYGUGJ-UHFFFAOYSA-N 0.000 description 2
- WKBOTKDWSSQWDR-UHFFFAOYSA-N Bromine atom Chemical compound [Br] WKBOTKDWSSQWDR-UHFFFAOYSA-N 0.000 description 2
- KZBUYRJDOAKODT-UHFFFAOYSA-N Chlorine Chemical compound ClCl KZBUYRJDOAKODT-UHFFFAOYSA-N 0.000 description 2
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 description 2
- 229910000530 Gallium indium arsenide Inorganic materials 0.000 description 2
- GPXJNWSHGFTCBW-UHFFFAOYSA-N Indium phosphide Chemical compound [In]#P GPXJNWSHGFTCBW-UHFFFAOYSA-N 0.000 description 2
- GRYLNZFGIOXLOG-UHFFFAOYSA-N Nitric acid Chemical compound O[N+]([O-])=O GRYLNZFGIOXLOG-UHFFFAOYSA-N 0.000 description 2
- BPQQTUXANYXVAA-UHFFFAOYSA-N Orthosilicate Chemical compound [O-][Si]([O-])([O-])[O-] BPQQTUXANYXVAA-UHFFFAOYSA-N 0.000 description 2
- 229910010037 TiAlN Inorganic materials 0.000 description 2
- MCMNRKCIXSYSNV-UHFFFAOYSA-N ZrO2 Inorganic materials O=[Zr]=O MCMNRKCIXSYSNV-UHFFFAOYSA-N 0.000 description 2
- FTWRSWRBSVXQPI-UHFFFAOYSA-N alumanylidynearsane;gallanylidynearsane Chemical compound [As]#[Al].[As]#[Ga] FTWRSWRBSVXQPI-UHFFFAOYSA-N 0.000 description 2
- 235000011114 ammonium hydroxide Nutrition 0.000 description 2
- 238000000137 annealing Methods 0.000 description 2
- 229910052785 arsenic Inorganic materials 0.000 description 2
- RQNWIZPPADIBDY-UHFFFAOYSA-N arsenic atom Chemical compound [As] RQNWIZPPADIBDY-UHFFFAOYSA-N 0.000 description 2
- 238000004380 ashing Methods 0.000 description 2
- 238000000231 atomic layer deposition Methods 0.000 description 2
- 229910052454 barium strontium titanate Inorganic materials 0.000 description 2
- 229910002113 barium titanate Inorganic materials 0.000 description 2
- 229910052796 boron Inorganic materials 0.000 description 2
- GDTBXPJZTBHREO-UHFFFAOYSA-N bromine Substances BrBr GDTBXPJZTBHREO-UHFFFAOYSA-N 0.000 description 2
- 229910052794 bromium Inorganic materials 0.000 description 2
- 238000005253 cladding Methods 0.000 description 2
- 239000004020 conductor Substances 0.000 description 2
- 238000000708 deep reactive-ion etching Methods 0.000 description 2
- 238000013461 design Methods 0.000 description 2
- OKZIUSOJQLYFSE-UHFFFAOYSA-N difluoroboron Chemical compound F[B]F OKZIUSOJQLYFSE-UHFFFAOYSA-N 0.000 description 2
- 230000009977 dual effect Effects 0.000 description 2
- 229910052731 fluorine Inorganic materials 0.000 description 2
- 239000011737 fluorine Substances 0.000 description 2
- 229910052732 germanium Inorganic materials 0.000 description 2
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 2
- 229910052735 hafnium Inorganic materials 0.000 description 2
- VBJZVLUMGGDVMO-UHFFFAOYSA-N hafnium atom Chemical compound [Hf] VBJZVLUMGGDVMO-UHFFFAOYSA-N 0.000 description 2
- KQHQLIAOAVMAOW-UHFFFAOYSA-N hafnium(4+) oxygen(2-) zirconium(4+) Chemical compound [O--].[O--].[O--].[O--].[Zr+4].[Hf+4] KQHQLIAOAVMAOW-UHFFFAOYSA-N 0.000 description 2
- 238000011065 in-situ storage Methods 0.000 description 2
- WPYVAWXEWQSOGY-UHFFFAOYSA-N indium antimonide Chemical compound [Sb]#[In] WPYVAWXEWQSOGY-UHFFFAOYSA-N 0.000 description 2
- 238000002347 injection Methods 0.000 description 2
- 239000007924 injection Substances 0.000 description 2
- 239000011810 insulating material Substances 0.000 description 2
- PNDPGZBMCMUPRI-UHFFFAOYSA-N iodine Chemical compound II PNDPGZBMCMUPRI-UHFFFAOYSA-N 0.000 description 2
- 238000005468 ion implantation Methods 0.000 description 2
- 238000001459 lithography Methods 0.000 description 2
- 239000011572 manganese Substances 0.000 description 2
- 229910001092 metal group alloy Inorganic materials 0.000 description 2
- QPJSUIGXIBEQAC-UHFFFAOYSA-N n-(2,4-dichloro-5-propan-2-yloxyphenyl)acetamide Chemical compound CC(C)OC1=CC(NC(C)=O)=C(Cl)C=C1Cl QPJSUIGXIBEQAC-UHFFFAOYSA-N 0.000 description 2
- QKCGXXHCELUCKW-UHFFFAOYSA-N n-[4-[4-(dinaphthalen-2-ylamino)phenyl]phenyl]-n-naphthalen-2-ylnaphthalen-2-amine Chemical compound C1=CC=CC2=CC(N(C=3C=CC(=CC=3)C=3C=CC(=CC=3)N(C=3C=C4C=CC=CC4=CC=3)C=3C=C4C=CC=CC4=CC=3)C3=CC4=CC=CC=C4C=C3)=CC=C21 QKCGXXHCELUCKW-UHFFFAOYSA-N 0.000 description 2
- 229910017604 nitric acid Inorganic materials 0.000 description 2
- XLYOFNOQVPJJNP-UHFFFAOYSA-O oxonium Chemical compound [OH3+] XLYOFNOQVPJJNP-UHFFFAOYSA-O 0.000 description 2
- BPUBBGLMJRNUCC-UHFFFAOYSA-N oxygen(2-);tantalum(5+) Chemical compound [O-2].[O-2].[O-2].[O-2].[O-2].[Ta+5].[Ta+5] BPUBBGLMJRNUCC-UHFFFAOYSA-N 0.000 description 2
- RVTZCBVAJQQJTK-UHFFFAOYSA-N oxygen(2-);zirconium(4+) Chemical compound [O-2].[O-2].[Zr+4] RVTZCBVAJQQJTK-UHFFFAOYSA-N 0.000 description 2
- 238000007747 plating Methods 0.000 description 2
- 238000005498 polishing Methods 0.000 description 2
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 2
- 238000004151 rapid thermal annealing Methods 0.000 description 2
- 238000012216 screening Methods 0.000 description 2
- 238000004528 spin coating Methods 0.000 description 2
- 229910052712 strontium Inorganic materials 0.000 description 2
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 2
- PBCFLUZVCVVTBY-UHFFFAOYSA-N tantalum pentoxide Inorganic materials O=[Ta](=O)O[Ta](=O)=O PBCFLUZVCVVTBY-UHFFFAOYSA-N 0.000 description 2
- 239000010936 titanium Substances 0.000 description 2
- FAQYAMRNWDIXMY-UHFFFAOYSA-N trichloroborane Chemical compound ClB(Cl)Cl FAQYAMRNWDIXMY-UHFFFAOYSA-N 0.000 description 2
- 238000000038 ultrahigh vacuum chemical vapour deposition Methods 0.000 description 2
- 229910017121 AlSiO Inorganic materials 0.000 description 1
- VHUUQVKOLVNVRT-UHFFFAOYSA-N Ammonium hydroxide Chemical compound [NH4+].[OH-] VHUUQVKOLVNVRT-UHFFFAOYSA-N 0.000 description 1
- 229910000906 Bronze Inorganic materials 0.000 description 1
- 229910016344 CuSi Inorganic materials 0.000 description 1
- 229910005542 GaSb Inorganic materials 0.000 description 1
- GYHNNYVSQQEPJS-UHFFFAOYSA-N Gallium Chemical compound [Ga] GYHNNYVSQQEPJS-UHFFFAOYSA-N 0.000 description 1
- PWHULOQIROXLJO-UHFFFAOYSA-N Manganese Chemical compound [Mn] PWHULOQIROXLJO-UHFFFAOYSA-N 0.000 description 1
- ZOKXTWBITQBERF-UHFFFAOYSA-N Molybdenum Chemical compound [Mo] ZOKXTWBITQBERF-UHFFFAOYSA-N 0.000 description 1
- GEIAQOFPUVMAGM-UHFFFAOYSA-N Oxozirconium Chemical compound [Zr]=O GEIAQOFPUVMAGM-UHFFFAOYSA-N 0.000 description 1
- OAICVXFJPJFONN-UHFFFAOYSA-N Phosphorus Chemical compound [P] OAICVXFJPJFONN-UHFFFAOYSA-N 0.000 description 1
- KWYUFKZDYYNOTN-UHFFFAOYSA-M Potassium hydroxide Chemical compound [OH-].[K+] KWYUFKZDYYNOTN-UHFFFAOYSA-M 0.000 description 1
- KJTLSVCANCCWHF-UHFFFAOYSA-N Ruthenium Chemical compound [Ru] KJTLSVCANCCWHF-UHFFFAOYSA-N 0.000 description 1
- 229910002370 SrTiO3 Inorganic materials 0.000 description 1
- 229910004200 TaSiN Inorganic materials 0.000 description 1
- 229910010252 TiO3 Inorganic materials 0.000 description 1
- GWEVSGVZZGPLCZ-UHFFFAOYSA-N Titan oxide Chemical compound O=[Ti]=O GWEVSGVZZGPLCZ-UHFFFAOYSA-N 0.000 description 1
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 1
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 1
- 101100074336 Xenopus laevis ripply2.1 gene Proteins 0.000 description 1
- OWXLRKWPEIAGAT-UHFFFAOYSA-N [Mg].[Cu] Chemical compound [Mg].[Cu] OWXLRKWPEIAGAT-UHFFFAOYSA-N 0.000 description 1
- IZMXYDZZPALKRU-UHFFFAOYSA-N [O--].[Zr+4].[Ba++] Chemical compound [O--].[Zr+4].[Ba++] IZMXYDZZPALKRU-UHFFFAOYSA-N 0.000 description 1
- DBOSVWZVMLOAEU-UHFFFAOYSA-N [O-2].[Hf+4].[La+3] Chemical compound [O-2].[Hf+4].[La+3] DBOSVWZVMLOAEU-UHFFFAOYSA-N 0.000 description 1
- LEVVHYCKPQWKOP-UHFFFAOYSA-N [Si].[Ge] Chemical compound [Si].[Ge] LEVVHYCKPQWKOP-UHFFFAOYSA-N 0.000 description 1
- ILCYGSITMBHYNK-UHFFFAOYSA-N [Si]=O.[Hf] Chemical compound [Si]=O.[Hf] ILCYGSITMBHYNK-UHFFFAOYSA-N 0.000 description 1
- KAJBHOLJPAFYGK-UHFFFAOYSA-N [Sn].[Ge].[Si] Chemical compound [Sn].[Ge].[Si] KAJBHOLJPAFYGK-UHFFFAOYSA-N 0.000 description 1
- VSTCOQVDTHKMFV-UHFFFAOYSA-N [Ti].[Hf] Chemical compound [Ti].[Hf] VSTCOQVDTHKMFV-UHFFFAOYSA-N 0.000 description 1
- 239000002253 acid Substances 0.000 description 1
- 239000012790 adhesive layer Substances 0.000 description 1
- 150000001335 aliphatic alkanes Chemical class 0.000 description 1
- 238000004458 analytical method Methods 0.000 description 1
- 238000013459 approach Methods 0.000 description 1
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 1
- IVHJCRXBQPGLOV-UHFFFAOYSA-N azanylidynetungsten Chemical compound [W]#N IVHJCRXBQPGLOV-UHFFFAOYSA-N 0.000 description 1
- 229910052788 barium Inorganic materials 0.000 description 1
- JRPBQTZRNDNNOP-UHFFFAOYSA-N barium titanate Chemical compound [Ba+2].[Ba+2].[O-][Ti]([O-])([O-])[O-] JRPBQTZRNDNNOP-UHFFFAOYSA-N 0.000 description 1
- 229950005228 bromoform Drugs 0.000 description 1
- 239000010974 bronze Substances 0.000 description 1
- 238000005660 chlorination reaction Methods 0.000 description 1
- 239000011248 coating agent Substances 0.000 description 1
- 238000000576 coating method Methods 0.000 description 1
- 239000010941 cobalt Substances 0.000 description 1
- 229910017052 cobalt Inorganic materials 0.000 description 1
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 description 1
- 150000001875 compounds Chemical class 0.000 description 1
- WCCJDBZJUYKDBF-UHFFFAOYSA-N copper silicon Chemical compound [Si].[Cu] WCCJDBZJUYKDBF-UHFFFAOYSA-N 0.000 description 1
- KUNSUQLRTQLHQQ-UHFFFAOYSA-N copper tin Chemical compound [Cu].[Sn] KUNSUQLRTQLHQQ-UHFFFAOYSA-N 0.000 description 1
- 239000013078 crystal Substances 0.000 description 1
- 238000011161 development Methods 0.000 description 1
- 230000005684 electric field Effects 0.000 description 1
- 230000005611 electricity Effects 0.000 description 1
- 238000005566 electron beam evaporation Methods 0.000 description 1
- 238000000407 epitaxy Methods 0.000 description 1
- 230000003628 erosive effect Effects 0.000 description 1
- UHCBBWUQDAVSMS-UHFFFAOYSA-N fluoroethane Chemical compound CCF UHCBBWUQDAVSMS-UHFFFAOYSA-N 0.000 description 1
- 229910052733 gallium Inorganic materials 0.000 description 1
- VTGARNNDLOTBET-UHFFFAOYSA-N gallium antimonide Chemical compound [Sb]#[Ga] VTGARNNDLOTBET-UHFFFAOYSA-N 0.000 description 1
- HZXMRANICFIONG-UHFFFAOYSA-N gallium phosphide Chemical compound [Ga]#P HZXMRANICFIONG-UHFFFAOYSA-N 0.000 description 1
- 238000000227 grinding Methods 0.000 description 1
- ZQXQADNTSSMHJI-UHFFFAOYSA-N hafnium(4+) oxygen(2-) tantalum(5+) Chemical compound [O-2].[Ta+5].[Hf+4] ZQXQADNTSSMHJI-UHFFFAOYSA-N 0.000 description 1
- CJNBYAVZURUTKZ-UHFFFAOYSA-N hafnium(iv) oxide Chemical compound O=[Hf]=O CJNBYAVZURUTKZ-UHFFFAOYSA-N 0.000 description 1
- 229910000167 hafnon Inorganic materials 0.000 description 1
- 239000001307 helium Substances 0.000 description 1
- 229910052734 helium Inorganic materials 0.000 description 1
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 1
- 230000006872 improvement Effects 0.000 description 1
- 238000009413 insulation Methods 0.000 description 1
- 150000002500 ions Chemical class 0.000 description 1
- 229910052741 iridium Inorganic materials 0.000 description 1
- GKOZUEZYRPOHIO-UHFFFAOYSA-N iridium atom Chemical compound [Ir] GKOZUEZYRPOHIO-UHFFFAOYSA-N 0.000 description 1
- 238000005224 laser annealing Methods 0.000 description 1
- 229910052748 manganese Inorganic materials 0.000 description 1
- 230000007246 mechanism Effects 0.000 description 1
- 238000002488 metal-organic chemical vapour deposition Methods 0.000 description 1
- 238000001465 metallisation Methods 0.000 description 1
- 238000001451 molecular beam epitaxy Methods 0.000 description 1
- 229910052750 molybdenum Inorganic materials 0.000 description 1
- 239000011733 molybdenum Substances 0.000 description 1
- 229910052759 nickel Inorganic materials 0.000 description 1
- 229910052757 nitrogen Inorganic materials 0.000 description 1
- 239000001301 oxygen Substances 0.000 description 1
- 229910052760 oxygen Inorganic materials 0.000 description 1
- 239000012071 phase Substances 0.000 description 1
- 229910052698 phosphorus Inorganic materials 0.000 description 1
- 239000011574 phosphorus Substances 0.000 description 1
- 238000001020 plasma etching Methods 0.000 description 1
- 229920005591 polysilicon Polymers 0.000 description 1
- 230000003014 reinforcing effect Effects 0.000 description 1
- 229910052702 rhenium Inorganic materials 0.000 description 1
- WUAPFZMCVAUBPE-UHFFFAOYSA-N rhenium atom Chemical compound [Re] WUAPFZMCVAUBPE-UHFFFAOYSA-N 0.000 description 1
- 229910052707 ruthenium Inorganic materials 0.000 description 1
- 229910052594 sapphire Inorganic materials 0.000 description 1
- 239000010980 sapphire Substances 0.000 description 1
- VSZWPYCFIRKVQL-UHFFFAOYSA-N selanylidenegallium;selenium Chemical compound [Se].[Se]=[Ga].[Se]=[Ga] VSZWPYCFIRKVQL-UHFFFAOYSA-N 0.000 description 1
- 238000000926 separation method Methods 0.000 description 1
- 239000002210 silicon-based material Substances 0.000 description 1
- CIOAGBVUUVVLOB-UHFFFAOYSA-N strontium atom Chemical compound [Sr] CIOAGBVUUVVLOB-UHFFFAOYSA-N 0.000 description 1
- 229910052719 titanium Inorganic materials 0.000 description 1
- OGIDPMRJRNCKJF-UHFFFAOYSA-N titanium(II) oxide Chemical compound [Ti]=O OGIDPMRJRNCKJF-UHFFFAOYSA-N 0.000 description 1
- 238000009736 wetting Methods 0.000 description 1
- GFQYVLUOOAAOGM-UHFFFAOYSA-N zirconium(iv) silicate Chemical compound [Zr+4].[O-][Si]([O-])([O-])[O-] GFQYVLUOOAAOGM-UHFFFAOYSA-N 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/66545—Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/66787—Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
- H01L29/66795—Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/823431—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/823437—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/8238—Complementary field-effect transistors, e.g. CMOS
- H01L21/823814—Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/8238—Complementary field-effect transistors, e.g. CMOS
- H01L21/823864—Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/8238—Complementary field-effect transistors, e.g. CMOS
- H01L21/823878—Complementary field-effect transistors, e.g. CMOS isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L27/00—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
- H01L27/02—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
- H01L27/04—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
- H01L27/08—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
- H01L27/085—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
- H01L27/088—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
- H01L27/0886—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L27/00—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
- H01L27/02—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
- H01L27/04—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
- H01L27/08—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
- H01L27/085—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
- H01L27/088—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
- H01L27/092—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
- H01L27/0924—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/41—Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
- H01L29/423—Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
- H01L29/42312—Gate electrodes for field effect devices
- H01L29/42316—Gate electrodes for field effect devices for field-effect transistors
- H01L29/4232—Gate electrodes for field effect devices for field-effect transistors with insulated gate
- H01L29/42372—Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/823481—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/8238—Complementary field-effect transistors, e.g. CMOS
- H01L21/823828—Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
- H01L21/823842—Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
Landscapes
- Engineering & Computer Science (AREA)
- Power Engineering (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Manufacturing & Machinery (AREA)
- Ceramic Engineering (AREA)
- Insulated Gate Type Field-Effect Transistor (AREA)
- Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
Abstract
一种切割金属栅极的方法,此方法包括在基板上形成第一鳍与第二鳍。此第一鳍具有第一栅极区域且此第二鳍具有第二栅极区域。此方法亦包括在此第一及第二栅极区域上形成金属栅极接线。此金属栅极接线从第一鳍延伸至第二鳍。此方法亦包括施加线切割以将金属栅极接线分为第一子金属栅极接线及第二子金属栅极接线,并且在线切割中形成隔离区域。
Description
技术领域
本揭露是关于一种切割金属栅极的方法。
背景技术
集成电路材料与设计的先进技术已产生数代集成电路,其中与前代相比各代具有更小且更复杂的电路。在集成电路发展过程中,功能密度(即,每晶片面积互连元件的数量)通常已增加而几何尺寸(即,使用制造制程可产生的最小组件(或接线))已减小。此按比例缩小制程一般通过增加生产效率并降低相关成本来提供优势。此按比例缩小亦增加集成电路处理与制造的复杂性,且对于这些进步而言,需要更多集成电路处理与制造的发展。
在一些集成电路设计中,一种作为技术节点(node)缩小实施的进展已经使用金属栅电极取代通常聚硅栅电极以改良具有减小特征尺寸的元件效能。尽管一般而言现存制造集成电路元件的方法针对预期目的已足够,但是在各方面并未完全满意。例如,将金属电极接线切割为子金属电极接线时会出现困难。
发明内容
本揭露的一实施例为一种用于制造半导体元件的方法包括在基板上形成第一鳍及第二鳍。第一鳍具有第一栅极区域而第二鳍具有第二栅极区域。此方法亦包括在第一及第二栅极区域上形成金属栅极线。此金属栅极线从第一鳍延伸至第二鳍。此方法亦包括施加线切割以将金属栅极线分为第一子金属栅极线与第二子金属栅极线,并且在线切割中形成隔离区域。
附图说明
当结合随附附图阅读时,自以下详细描述将最佳地理解本揭露的态样。应注意,根据工业中的标准实务,各特征并非按比例绘制。事实上,出于论述清晰的目的,可任意增加或减小各所示特征的尺寸。
图1为本揭露的部分实施例的制造半导体元件的方法的流程图;
图2A为本揭露的部分实施例的半导体元件的工件的透视图;
图2B为图2A中沿线A-A的半导体元件的工件的截面图;
图2C为图2A中沿线B-B的半导体元件的工件的截面图;
图3A、4A、5A、6A、6C及7A为依照一些实施例,在图2A中沿线A-A的半导体元件的截面图;
图3B、4B、5B、6B、6D及7B是依照一些实施例,在图2A中沿线B-B的半导体元件的截面图;
图8为本揭露的部分实施例的制造半导体元件的另一方法的流程图;
图9A、10A、11A、12A、13A、13C及14A是依照一些实施例,在图2A中沿线A-A的示例性半导体元件的截面图;
图9B、10B、11B、12B、13B、13D及14B是依照一些实施例,在图2A中沿线B-B的半导体元件的截面图;
图15为本揭露的部分实施例的制造的半导体元件的另一方法的流程图;
图16A、17A、18A、19A、20A、20C、21A、22A、23A、24A、24C及25A是依照一些实施例的在图2A中沿线A-A的半导体元件的截面图;
图16B、17B、18B、19B、20B、20D、21B、22B、23B、24B、24D及25B是依照一些实施例,在图2A中沿线B-B的半导体元件的截面图。
具体实施方式
以下揭示内容提供许多不同实施例或实例,以便实施本揭露的不同特征。下文描述元件及排列的特定实例以简化本揭露。当然,这些实例仅为实例且并不意欲为限制性。举例而言,以下描述中在第二特征上方或第二特征上形成第一特征可包括以直接接触形成第一特征及第二特征的实施例,且亦可包括可在第一特征与第二特征之间形成额外特征以使得第一特征及第二特征可不处于直接接触的实施例。另外,本揭露可在各实例中重复元件符号及/或字母。此重复是出于简明性及清晰的目的,且本身并不指示所论述的各实施例及/或配置之间的关系。
进一步地,为了便于描述,本文可使用空间相对性术语,诸如“在……之下”、“在……下方”、“下部”、“在……上方”、“上部”及类似术语,来描述诸图中所示的一个元件或特征与另一元件(或多个元件)或特征(或多个特征)的关系。除了诸图所描绘的定向外,空间相对性术语意欲包含在使用或操作中的装置的不同定向。装置可经其他方式定向(旋转90度或处于其他定向)且因此可同样相应解读本文所使用的空间相对性描述词。
本案是关于,但并非以其他方式受限于,鳍式场效晶体管(FinFET)元件。此元件可包括P-型金属氧化物半导体鳍式场效晶体管元件或N-型金属氧化物半导体鳍式场效晶体管元件。鳍式场效晶体管元件可为双栅极元件、三栅极元件、块状元件、绝缘体上硅(silicon on insulator;SOI)元件、及/或其他构造。一般技术者可了解可受益于本案态样的半导体元件其他实施例。例如,如本文描述的一些实施例亦可应用于环绕式栅极(GAA)元件、Ω-栅极元件、或Pi-栅极(II-栅极)元件。以下揭示内容将以鳍式场效晶体管实例继续来阐明本揭露的各实施例。然而,应了解此应用不应受限于特定类型的元件。
图1为本揭露的部分实施例的制造一或多个半导体元件的方法100的流程图。下文以在图2A至图7B中所示的半导体元件200来详细论述方法100。
参看图1、2A、2B、及2C,方法100通过接收半导体元件200的工件205起始于步骤102。工件205包括基板210。基板210可为块状硅基板。或者,基板210可包含元素半导体,诸如结晶结构的硅(Si)或锗(Ge);化合物半导体,诸如锗化硅(SiGe)、碳化硅(SiC)、砷化镓(GaAs)、磷化镓(GaP)、磷化铟(InP)、砷化铟(InAs)、及/或锑化铟(InSb);或其组合。可能的基板210亦包括绝缘体上硅(SOI)基板。使用氧离子植入硅晶隔离法(separation byimplantation of oxygen;SIMOX)、晶圆接合、及/或其他适宜方法来制造绝缘体上硅基板。
一些示例性基板210亦包括绝缘体层。此绝缘体层包含任何适宜材料,包括氧化硅、蓝宝石、及/或其组合。示例性绝缘体层可为内埋式氧化物层(BOX)。通过任何适宜制程,诸如注入(例如,氧离子植入硅晶隔离法)、氧化、沉积、及/或其他适宜制程来形成此绝缘体。在一些示例性半导体元件200中,绝缘体层是绝缘体上硅基板的组件(例如,一层)。
基板210亦可包括各掺杂区域。掺杂区域可掺杂有P-型掺杂剂,诸如硼或二氟化硼(BF2);N-型掺杂剂,诸如磷或砷;或其组合。可直接在基板210上、在P-阱结构中、在N-阱结构中、在双-阱结构中、及/或使用凸起结构来形成掺杂区域。基板210可进一步包括各种有效区域,诸如针对N-型金属氧化物半导体晶体管元件配置的区域及针对P-型金属氧化物半导体晶体管元件配置的区域。
基板210亦包括各种隔离特征220。隔离特征220分离基板210的各元件区域。隔离特征220包括通过使用不同处理技术形成的不同结构。例如,隔离特征220可包括浅沟槽隔离(STI)特征。形成浅沟槽隔离可包括在基板210中蚀刻沟槽并使用绝缘体材料(诸如氧化硅、氮化硅、或氮氧化硅)填充此沟槽。经填充的沟槽可具有多层结构,诸如热氧化衬层,其中氮化硅填充此沟槽。可进行化学机械研磨(chemical mechanical polishing;CMP)来回磨平过量绝缘体材料并使隔离特征220的顶面平坦。
工件205亦包括在基板210上形成的多个鳍特征230。鳍特征230可包括硅(Si)、硅锗(SiGe)、锡锗硅(SiGeSn)、砷化镓(GaAs)、砷化铟(InAs)、磷化铟(InP)、或其他适宜材料。在一些实施例中,通过包括各沉积、光微影、及/或蚀刻制程的任何适宜制程来形成鳍特征230。例如,通过图案化及蚀刻部分基板210来形成鳍特征230。
工件205亦包括在基板210上的栅极区域242中的多个虚设栅极堆叠240,并包覆部分的鳍特征230。在本实施例中,虚设栅极堆叠240后续将由高介电常数/金属栅极取代。虚设栅极堆叠240可包括介电层、聚硅层。可通过任何适宜制程或制程等,诸如沉积、图案化及蚀刻,来制造虚设栅极堆叠240。
沿虚设栅极堆叠240的侧壁形成侧壁间隔245。侧壁间隔245可包括介电材料,诸如氧化硅。或者,侧壁间隔245可包括氮化硅、碳化硅、氮氧化硅、或其组合。在实施例中,侧壁间隔245具有多个层。如在此项技术中已知,可通过沉积栅极侧壁间隔层并随后各向异性干蚀刻此栅极侧壁间隔层来形成侧壁间隔245。
除虚设栅极堆叠240(具有侧壁间隔245)之外,工件205亦包括在基板210上的源极/漏极区域252中的源极/漏极特征250。在一些实施例中,源极/漏极特征250为源极特征,且另一源极/漏极特征250为漏极特征。源极/漏极特征250由虚设栅极堆叠240分离。在一实施例中,对虚设栅极堆叠240以外的部分鳍特征230进行开槽以形成源极/漏极沟槽并随后通过包括化学气相沉积(chemical vapor deposition;CVD)沉积技术(例如,汽相磊晶(vapor-phase epitaxy;VPE)及/或超高真空化学气相沉积(UHV-CVD))、分子束磊晶法、及/或其他适宜制程的磊晶生长制程在此源极/漏极沟槽上形成源极/漏极特征250。源极/漏极特征250可包括锗(Ge)、硅(Si)、砷化镓(GaAs)、砷化镓铝(AlGaAs)、硅锗(SiGe)、磷化砷镓(GaAsP)、锑化镓(GaSb)、锑化铟(InSb)、砷化镓铟(InGaAs)、砷化铟(InAs)、或其他适宜材料。继由源极/漏极特征250填充源极/漏极沟槽之后,源极/漏极特征250顶层的进一步磊晶生长,往水平方向延伸并可开始形成侧面,诸如菱形侧面。在磊晶制程期间可原位掺杂源极/漏极特征250。在一些实施例中,未原位掺杂源极/漏极特征,进行注入制程(即,接合注入制程)来掺杂源极/漏极特征250。可进行一或多个退火制程来活化掺杂剂。退火制程包括快速热退火(rapid thermal annealing;RTA)及/或激光退火制程。
工件205亦包括在基板210上沉积的层间介电(interlayer dielectric;ILD)层260,包括形成在各虚设栅极堆叠240之间/之上及在源极/漏极特征250上。可通过化学气相沉积、原子层沉积(atomic layer deposition;ALD)、旋涂式涂覆法、或其他适宜技术沉积层间介电层260。层间介电层260可包括氧化硅、氮化硅、氮氧化物、具有低于热氧化硅的介电场数(k)的介电材料(由此称为低介电常数介电材料层),及/或其他适宜介电材料层。层间介电层260可包括单一层或多个层。可进行化学机械研磨来回磨平层间介电层260以曝露虚设栅极堆叠240的顶面。
应注意,图2B是沿图2A中的线A-A的工件205的截面图,此工件位于两个源极/漏极特征250间。图2C是沿垂直于线A-A的线B-B的工件205的截面图并位于栅极区域242中。
参看图1、3A及3B,一旦接收工件205,方法100即通过移除虚设栅极堆叠240以形成栅极沟槽310进行至步骤104。在一些实施例中,此蚀刻制程可包括选择性湿式蚀刻及/或选择性干式蚀刻,这些蚀刻对于鳍特征230、侧壁间隔245及层间介电层260具有足够的蚀刻选择性。在一实施例中,选择性湿式蚀刻溶液包括氢氧化四甲铵(TMAH)、氢氟酸(HF)/硝酸(HNO3)/乙酸(CH3COOH)溶液、或其他适宜溶液。各蚀刻制程可经各蚀刻参数调整,这些蚀刻参数诸如使用的蚀刻剂、蚀刻温度、蚀刻溶液浓度、蚀刻压力、电源、射频偏压、射频偏压功率、蚀刻剂流速、及/或其他适宜参数。例如,湿式蚀刻溶液可包括氨水(NH4OH)、氢氧化钾(KOH)、氢氟酸(HF)、氢氧化四甲铵(TMAH)、其他适宜湿式蚀刻溶液、或其组合。在另一实例中,干式蚀刻制程包括使用氯基化学试剂的偏压等离子蚀刻制程。其他干式蚀刻剂气体包括四氟化碳(CF4)、三氟化氮(NF3)、六氟化硫(SF6),及氦(He)。亦可使用如深反应性离子蚀刻(deep reactive-ion etching;DRIE)的此机制各向异性地进行干蚀刻。或者,可通过包括光微影、图案化及回蚀刻的一系列制程来移除虚设栅极堆叠240。
参看图1、4A及4B,方法100进行至步骤106,通过在元件200的栅极沟槽310中形成栅极堆叠410,且覆盖鳍特征230的上部分。可进行化学机械研磨制程以从栅极金属层414移除过量金属层,以此提供栅极金属层414实质上平坦的顶面。由此,沿线B-B的方向,栅极堆叠410从一鳍特征230继续延伸至另一鳍特征230以形成栅极线410L。栅极堆叠410可为高介电常数/金属栅极堆叠,而其他组分是可能的。
在本实施例中,在栅极沟槽310中形成高介电常数/金属栅极堆叠410,包括包覆部分鳍特征230。在各实施例中,高介电常数/金属栅极堆叠410包括分界层、在分界层上形成的栅极介电层412及在栅极介电层412上形成的栅极金属层414。如本文中使用及描述,栅极介电层包括具有高介电常数的介电材料,例如,大于热氧化硅的介电常数(~3.9)的介电材料。栅极金属层414可包括金属、金属合金、及/或金属硅化物。此外,制造高介电常数/金属栅极堆叠410可包括沉积以形成各栅极材料、一或多个衬层、及一或多个化学机械研磨制程来移除过量栅极金属并由此使半导体元件200的顶面平坦化。
在一些实施例中,分界层可包括介电材料,诸如氧化硅(SiO2)、硅酸铪(HfSiO)、及/或氮氧化硅(SiON)。此分界层可通过化学氧化、热氧化、原子曾沉积、化学气相沉积、及/或其他适宜方法来形成。栅极介电层412可包括诸如氧化铪(HfO2)的高介电常数介电层。或者,栅极介电层412可包括其他高介电常数介电材料,诸如二氧化钛(TiO2)、氧化锆铪(HfZrO)、三氧化二钽(Ta2O3)、硅酸铪(HfSiO4)、二氧化锆(ZrO2)、硅酸锆(ZrSiO2)、氧化镧(LaO)、氧化铝(AlO)、氧化锆(ZrO)、氧化钛(TiO)、五氧化二钽(Ta2O5)、氧化钇(Y2O3)、钛酸锶(SrTiO3;STO)、钛酸钡(BaTiO3;BTO)、氧化钡锆(BaZrO)、氧化锆铪(HfZrO)、氧化铪镧(HfLaO)、氧化铪硅(HfSiO)、氧化镧硅(LaSiO)、氧化硅铝(AlSiO)、氧化铪钽(HfTaO)、氧化铪钛(HfTiO)、钛酸锶钡((Ba,Sr)TiO3;BST)、氧化铝(Al2O3)、氮化硅(Si3N4)、氮氧化物(SiON)、其组合、或其他适宜材料。高介电常数栅极介电层412可通过原子层沉积、物理气相沉积、化学气相沉积、氧化、及/或其他适宜方法来形成。
栅极金属层414可包括单一层或者多层结构,诸如具有经选择功函数以增强元件效能的金属层(功函数金属层)、衬层、润湿层、粘合层、金属合金或金属硅化物的各种组合。举例而言,栅极金属层414可包括钛(Ti)、金(Ag)、铝(Al)、氮化钛铝(TiAlN)、碳化钽(TaC)、氮碳化钽(TaCN)、氮硅化钽(TaSiN)、氮化钛(TiN)、氮化钽(TaN)、锰(Mn)、锆(Zr)、钌(Ru)、钼(Mo)、铝(Al)、氮化钨(WN)、铜(Cu)、钨(W)、铼(Re)、铱(Ir)、钴(Co)、镍(Ni)、其他适宜金属材料或其组合。在各实施例中,栅极金属层414可由原子层沉积、物理气相沉积、化学气相沉积、电子束蒸镀、或其他适宜制程形成。此外,可分别针对可使用不同金属层的N-场效晶体管及P-场效晶体管形成栅极金属层414。在各实施例中,可进行化学机械研磨制程以从栅极金属层414移除过量金属,以此提供栅极金属层414的大体上平坦顶面。
参看图1、5A、及5B,在形成高介电常数/金属栅极堆叠410之后,方法100通过在栅极金属层414上形成经图案化的硬遮罩510而进行至步骤108。经图案化的硬遮罩510具有界定待后续形成的线切割的开口515。在开口515内曝露部分高介电常数/金属栅极线410L(高介电常数/金属栅极堆叠)。在一些实施例中,开口515具有沿线A-A的方向的较大宽度,以使得相邻侧壁间隔245及层间介电层260在开口515中曝露以获得优点,诸如放宽微影制程解析度限制,尤其是当元件200按比例缩小时,使得在两个相邻鳍特征230之间的空间变得越来越小。
在一些实施例中,经图案化的硬遮罩510可包括经图案化的光阻层并通过微影制程形成。示例性微影制程可包括形成光阻层、通过微影曝光制程曝光此光阻层、进行后曝光烘烤制程、及显像此光阻层以形成经图案化的光阻层。或者,可通过沉积硬遮罩层、在硬遮罩层上通过微影制程形成经图案化的光阻层,再通过经图案化光阻层蚀刻此硬遮罩材料层来形成经图案化的硬遮罩510。
参看图1、6A、及6B,方法100借由通过开口515移除经曝露的高介电常数/金属栅极堆叠410以形成线切割610来进行至步骤110。在本实施例中,线切割610延伸至隔离特征220并将高介电常数/金属栅极线410L分为两个子高介电常数/金属栅极线410LA及410LB。子高介电常数/金属栅极线410LA沿着线B-B方向通过具有宽度d的线切割610与子高介电常数/金属栅极线410LB分离。
蚀刻制程可包括湿式蚀刻、干式蚀刻、及/或其组合。例如,干式蚀刻制程可实施含氟气体(例如,四氟化碳(CF4)、六氟化硫(SF6)、二氟甲烷(CH2F2)、三氟甲烷(CHF3)及/或六氟乙烷(C2F6))、含氯气体(例如,氯(Cl2)、三氯甲烷(CHCl3)、四氯化碳(CCl4)及/或三氯化硼(BCl3))、含溴气体(例如,溴酸(HBr)及/或三溴甲烷(CHBr3))、含碘气体、其他适宜气体及/或等离子、及/或上述气体的组合。在一些实施例中,执行选择蚀刻制程以选择性蚀刻高介电常数/金属栅极堆叠410,而实质上并不蚀刻侧壁间隔245及层间介电层260。如图所示,针对其中部分侧壁间隔245及层间介电层260曝露在开口515中(如在图5A及5B中所示)的情况,经曝露部分的侧壁间隔245及层间介电层260一起充当子蚀刻遮罩。因此,可放宽制程限制的自动对准性质形成线切割610。
在形成线切割610之后,如在图6C及6D中显示,通过蚀刻制程移除经图案化的硬遮罩510。在其中经图案化的硬遮罩510是光阻图案的一实例中,通过湿式剥离及/或等离子灰化移除经图案化的硬遮罩510。
参看图1、7A及7B,方法100通过使用介电层710填充线切割610以在两子高介电常数/金属栅极线410LA及410LB之间形成隔离区域715而进行至步骤112。可通过化学气相沉积、原子层沉积、旋涂式涂覆法、或其他适宜技术沉积介电层710。介电层710可包括氧化硅、氮化硅、氮氧化物、具有低于热氧化硅介电常数(k)的介电材料(由此称为低介电常数介电材料层),及/或其他适宜介电材料层。可进行化学机械研磨来回磨平介电层710,以此提供高介电常数/金属栅极堆叠410与介电层710具有实质上平坦顶面。由此,将高介电常数/金属栅极线410L切割为子高介电常数/金属栅极线410LA及410LB,并且高介电常数/金属栅极线410L通过隔离区域715分离。
在方法100之前、期间、或之后可提供额外步骤,且可围绕方法100的额外实施例取代、消除、或移动所描述的一些步骤。其他替代或实施例可不脱离本案的精神及范围存在。
图8是包括制造半导体元件2000的半导体制造的方法1000。步骤1002、1003、1004、1005至步骤1006分别与上文在方法100的步骤102至106中论述的彼等内容相似。因此,上文关于步骤102至106的论述分别适用于步骤1002至1006。本案可在各实例中重复元件符号及/或字母。此重复是出于简明性及清晰的目的,以使得除非另作声明,否则重复的元件符号及/或字母指明在各实施例间的相似特征。
参看图8、9A、及9B,方法1000通过开槽高介电常数/金属栅极堆叠410以在栅极沟槽310中形成子沟槽1210来进行至步骤1008。后文将开槽后的高介电常数/金属栅极堆叠410称为高介电常数/金属栅极堆叠410’。蚀刻制程可包括湿式蚀刻、干式蚀刻、及/或其组合。例如,干式蚀刻制程可实施含氟气体(例如,四氟化碳(CF4)、六氟化硫(SF6)、二氟甲烷(CH2F2)、三氟甲烷(CHF3)及/或六氟乙烷(C2F6))、含氯气体(例如,氯(Cl2)、三氯甲烷(CHCl3)、四氯化碳(CCl4)及/或三氯化硼(BCl3))、含溴气体(例如,溴酸(HBr)及/或三溴甲烷(CHBr3))、含碘气体、其他适宜气体及/或等离子、及/或上述气体的组合。在一些实施例中,执行选择蚀刻制程以选择性蚀刻高介电常数/金属栅极堆叠410而实质上并不上蚀刻侧壁间隔245及层间介电层260。
参看图8、10A、及10B,方法1000通过在子沟槽1210中,包括在高介电常数/金属栅极线410L上的高介电常数/金属栅极堆叠410’上形成硬遮罩1220而进行至步骤1010。在一些实施例中,硬遮罩1220在随后源极/漏极接触蚀刻制程中,通过在移除层间介电层260期间保护高介电常数/金属栅极堆叠410’提供针对高介电常数/金属栅极堆叠410’的保护。硬遮罩1220可包括氮化硅、碳化硅、氮氧化硅、及/或其他适宜材料。在本实施例中,硬遮罩1220包括不同于侧壁间隔245及层间介电层260的材料以在随后蚀刻制程期间达成蚀刻选择性。在实施例中,硬遮罩1220包括氮化硅而侧壁间隔245及层间介电层260包括氧化硅。可通过化学气相、原子层沉积、及/或其他适当技术沉积硬遮罩1220。可进行化学机械研磨来回磨平硬遮罩1220及以此提供关于层间介电层260及侧壁间隔245的硬遮罩1220的大体上平坦顶面。
参看图8、11A、及11B,在高介电常数/金属栅极堆叠410’上形成硬遮罩1220之后,方法1000通过在硬遮罩1220上形成经图案化的硬遮罩1230而进行至步骤1012。经图案化的硬遮罩1230具有界定待后续形成的线切割的开口1235。开口1235对准高介电常数/金属栅极线410L的指定部分(例如,在两个鳍特征230之间),此部分随后可移除。在一些实施例中,开口1235沿线A-A的方向具有较大宽度,以使得相邻侧壁间隔245及部分层间介电层260在开口1235中曝露以获得优点,诸如放宽微影制程解析限制,尤其是当元件2000按比例缩小时,两个相邻鳍特征230之间的空间变得越来越小。在众多方面中,经图案化的硬遮罩1230与上文结合图5A及5B论述的经图案化硬遮罩510的方法相似,包括其中论述的材料。
参看图8、12A、及12B,方法1000借由通过开口1235移除硬遮罩1220以曝露部分高介电常数/金属栅极堆叠410’来进行至步骤1014。此蚀刻制程可包括湿式蚀刻、干式蚀刻、及/或上述蚀刻的组合。在一些实施例中,执行选择此蚀刻制程以选择性蚀刻硬遮罩1220而实质上并不蚀刻侧壁间隔245及层间介电层260。如图所示,针对其中侧壁间隔245及部分层间介电层260在开口1235中曝露的情况(如在图11A中所示),经曝露的侧壁间隔245与部分层间介电层260一起充当子蚀刻遮罩。因此,使用放宽制程限制的自动对准性质移除硬遮罩1220。
参看图8、13A、及13B,方法1000借由通过开口1235移除经曝露的高介电常数/金属栅极堆叠410’以形成线切割1240来进行至步骤1016。在本实施例中,线切割1240延伸至隔离特征220并将高介电常数/金属栅极线410L分为两子高介电常数/金属栅极线410LA及410LB。子高介电常数/金属栅极线410LA通过沿图2A的线B-B方向宽度d的线切割1240与子高介电常数/金属栅极线410LB分离开。此蚀刻制程是在众多方面与上文结合第6A及6B图论述的蚀刻制程相似。在形成线切割1240之后,如在图13C及13D中所示,通过蚀刻制程移除经图案化的硬遮罩1230。在其中经图案化的硬遮罩1230是光阻图案的一实例中,通过湿剥离及/或等离子灰化移除经图案化的硬遮罩1230。
参看图8、14A、及14B,方法1000通过使用介电层1250填充线切割1240以在两子高介电常数/金属栅极线410LA及410LB间形成隔离区域1255来进行至步骤1018。在众多方面中,形成介电层1250的方法与上文结合第7A及7B图论述的介电层710相似,包括其中论述的材料。可进行化学机械研磨以回磨平介电层1250并以此提供硬遮罩1220顶面的介电层1250实质上平坦顶面。由此,将高介电常数/金属栅极线410L切割为子高介电常数/金属栅极线410LA及410LB,并且高介电常数/金属栅极线410L通过隔离区域1255分离。
在方法1000之前、期间、或之后可提供额外步骤,且可围绕方法1000的额外实施例取代、消除、或移动所描述的一些步骤。其他替代或实施例可不脱离本案的精神及范围存在。
图15是包括制造半导体元件4000的半导体制造的方法3000。步骤3002、3003、3004、3005至步骤3006是分别与上文在方法100的步骤102至106中论述的彼等内容相似。步骤3008及步骤3010是分别与上文在方法1000的步骤1008及1010中论述的彼等内容相似。因此,上文有关步骤102至106的论述分别适用于步骤1002至1006。相似地,上文有关步骤1008及1010的论述分别适用于步骤3008及3010。本案可在各实施例中重复元件符号及/或字母。此重复是出于简明性及清晰的目的,以使得除非另作声明,否则重复的元件符号及/或字母指明在各实施例间的相似特征。
参看图15、16A及16B,在子沟槽1210中形成硬遮罩1220之后,方法3000通过开槽硬遮罩1220以形成第一沟槽3210而进行至步骤3012。经开槽的硬遮罩1220是称为硬遮罩1220’。开槽硬遮罩1220的蚀刻制程可包括湿式蚀刻、干式蚀刻、及/或上述蚀刻的组合。在一些实施例中,选择此蚀刻制程以选择性蚀刻第一硬遮罩1220而不大体上蚀刻侧壁间隔245及层间介电层260。因此,使用放宽制程限制的自动对准性质形成第一沟槽3210。
参看图15、17A及17B,方法3000通过开槽侧壁间隔245以更宽地扩展(或加大)第一沟槽3210,下述称为第二沟槽3210’而进行至步骤3014。此蚀刻制程可包括湿式蚀刻、干式蚀刻、及/或上述蚀刻的组合。在一些实施例中,选择此蚀刻制程以选择性蚀刻侧壁间隔245而不大体上蚀刻层间介电层260及硬遮罩1220。因此,使用放宽制程限制的自动对准性质形成经扩展的第二沟槽3210’。经开槽的侧壁间隔245称为侧壁间隔245’。在一些实施例中,控制蚀刻制程使得经开槽的侧壁间隔245’的顶面是大体上与硬遮罩1220’的顶面共面。
参看图15、18A及18B,方法3000通过在第二沟槽3210’中形成第二硬遮罩3230而进行至步骤3016。在一些实施例中,第二硬遮罩3230覆盖硬遮罩1220’并延伸以覆盖侧壁间隔245’以在随后蚀刻制程中增强对高介电常数/金属栅极堆叠410’的保护,诸如通过在随后源极/漏极接触蚀刻制程中移除层间介电层260期间保护经开槽的高介电常数/金属栅极堆叠410’,并改良对随后源极/漏极接触微影制程的容差。
在众多方面中,与上文结合图10A及10B论述的硬遮罩1220相似地形成第二硬遮罩3230,包括其中论述的材料。在本实施例中,第二硬遮罩3230包括不同于层间介电层260的材料以在随后蚀刻制程期间达成蚀刻选择性。或者,进行化学机械研磨来磨平过量第二硬遮罩3230。
参看图15、19A及19B,方法3000通过在第二硬遮罩3230上形成第一经图案化的硬遮罩3240而进行至步骤3018。第一经图案化的硬遮罩3240具有界定待后续形成的源极/漏极触点的开口3245。开口3245对准在指定源极/漏极特征250上的层间介电层260。在一些实施例中,开口3245具有沿线A-A方向的较大宽度,以使得相邻第二硬遮罩3230在开口3245中曝露以获得优点,诸如放宽微影制程解析限制,特定言之当元件4000按比例缩小时,以使得在两个相邻鳍特征230之间的空间变得越来越小。在众多方面中,形成第一经图案化的硬遮罩3240的方法与上文结合第5A及5B图论述的经图案化的硬遮罩510相似,包括其中论述的材料。
参看图15、20A、20B及20C,方法3000借由通过开口3245移除层间介电层260来形成源极/漏极接触沟槽3250而进行至步骤3020。由此,在源极/漏极接触沟槽3250中曝露指定的源极/漏极特征250。如先前提及,执行选择此沟槽蚀刻制程来选择性移除层间介电层260而实质上不蚀刻第二硬遮罩3230。如图所示,针对其中部分第二硬遮罩3230在开口3245中曝露的情况(如在图19A及19B中所示),第二硬遮罩3230充当子蚀刻遮罩。因此,使用放宽制程限制的自动对准性质形成源极/漏极接触沟槽3250。沟槽蚀刻可包括选择性湿式蚀刻、选择性干式蚀刻、及/或上述蚀刻的组合。例如,沟槽蚀刻包括使用氟基化学,诸如四氟化碳(CF4)、六氟化硫(SF6)、二氟甲烷(CH2F2)、三氟甲烷(CHF3)及/或六氟乙烷(C2F6)的等离子干式蚀刻制程。
在形成源极/漏极接触沟槽3250之后,如在第20D图中所示,通过蚀刻制程移除第一经图案化的硬遮罩3240。在其中第一经图案化硬遮罩3240是光阻图案的一实例中,通过湿汽提及/或等离子灰化移除第一经图案化的硬遮罩3240。
参看图15、21A及21B,方法3000通过在源极/漏极接触沟槽3250中沉积导电层以形成源极/漏极接触金属3310而进行至步骤3022。如图所示,源极/漏极接触金属3310在源极/漏极接触沟槽3250中延伸至源极/漏极特征250。源极/漏极接触金属3310可包括铜(Cu)、铝(Al)、钨(W)、铜、镁铜(CuMn)、铝铜(CuAl)或硅铜(CuSi)、及/或其他适宜导电材料。可通过物理气相沉积、化学气相沉积、金属有机化学气相沉积(metal-organic chemical vapordeposition;MOCVD)、或电镀形成源极/漏极接触金属3310。在一些实施例中,进行化学机械研磨制程以从第二硬遮罩3230移除过量源极/漏极接触金属3310,以此提供与第二硬遮罩3230的顶面大体上共面的顶面。
参看图15、22A及22B,在形成源极/漏极接触金属3310之后,方法3000通过在第二硬遮罩3230及源极/漏极接触金属3310上形成第二经图案化的硬遮罩3410而进行至步骤3024。此第二经图案化的硬遮罩3410具有界定待后续形成的线切割的开口3415。开口3415对准高介电常数/金属栅极线410L的指定部分(例如,在两个鳍特征230间),随后将其移除。在一些实施例中,开口3415具有沿线A-A方向的较大宽度,以使得相邻开槽的侧壁间隔245’及部分源极/漏极接触金属3310在开口3415中以获得优点,诸如放宽微影制程解析限制,尤其是当元件4000按比例缩小时,以使得在两个相邻鳍特征230之间的空间变得越来越小。在众多方面中,形成第二经图案化的硬遮罩3410的方法与上文结合图5A及5B论述的经图案化硬遮罩510相似,包括其中论述的材料。
参看图15、23A及23B,方法3000借由通过开口3415移除第二硬遮罩3230、硬遮罩1220’及侧壁间隔245’以曝露高介电常数/金属栅极堆叠410’的指定部分来进行至步骤3026。此蚀刻制程可包括湿式蚀刻、干式蚀刻、及/或上述蚀刻的组合。在一些实施例中,选择此蚀刻制程以选择性蚀刻第二硬遮罩3230及侧壁间隔245’而实质上不蚀刻高介电常数/金属栅极堆叠410’及源极/漏极接触金属3310。如图所示,针对其中部分源极/漏极接触金属3310在开口3415中曝露的情况(如在图22A及22B中所示),源极/漏极接触金属3310充当子蚀刻遮罩。
参看图15、24A及24B,方法3000借由通过开口3415移除经曝露的高介电常数/金属栅极堆叠410’以形成线切割3420而进行至步骤3028。在本实施例中,线切割3420延伸至隔离特征220并将高介电常数/金属栅极线410L分为两子高介电常数/金属栅极线410LA及410LB。子高介电常数/金属栅极线410LA沿着图2A的线B-B方向与子高介电常数/金属栅极线410LB分离,且其距离具有宽度d。在众多方面中,此蚀刻制程是与上文结合图6A及6B论述的蚀刻制程相似。在形成线切割3420之后,如在第24C及24D图中所示,通过蚀刻制程移除第二经图案化的硬遮罩3410。
参看图15、25A及25B,方法3000通过使用介电层3510填充线切割3420以在两子高介电常数/金属栅极线410LA及410LB之间形成隔离区域3515而进行至步骤3030。在众多方面中,与上文结合图7A及7B论述的介电层710相似地形成介电层3510,包括其中论述的材料。可进行化学机械研磨来回磨平介电层3510并以此提供关于第二硬遮罩3230的顶面的介电层3510的大体上平坦顶面。由此,将高介电常数/金属栅极线410L切割为子高介电常数/金属栅极线410LA及410LB,并且高介电常数/金属栅极线410L由隔离区域3515分离。
在方法3000之前、期间、或之后可提供额外步骤,且可围绕方法3000的额外实施例取代、消除、或移动所描述的一些步骤。其他替代或实施例可不脱离本案的精神及范围存在。
半导体元件200、2000及4000可经进一步互补式金属氧化物半导体或金属氧化物半导体技术处理以形成在此项技术中已知的各特征及区域。例如,后续处理可在基板210上形成各触点/通道/接线及多层互连特征(例如,金属层及层间介电),这些特征经配置以连接鳍式场效晶体管元件200的各特征或结构。例如,多层互连包括垂直互连,诸如常规通道或触点,及水准互连,诸如金属线。各互连特征可实施包括铜、钨、及/或硅化物的各导电材料。在一实例中,镶嵌及/或双重镶嵌制程是用于形成铜相关多层互连结构。
基于上文,可了解本案提供在高介电常数/金属栅极取代虚设栅极堆叠之后切割金属栅极线的方法。此方法提供切割金属栅极线的各制程方案,诸如在高介电常数/金属栅极取代虚设栅极堆叠之后切割金属栅极线或在形成源极/漏极接触金属之后切割金属栅极线。此方法阐明用于切割金属栅极线的可行且挠性制程。
本案提供制造半导体元件的众多不同实施例,此半导体元件提供在现存途径基础上的一或多个改良。本揭露的一实施例为一种用于制造半导体元件的方法包括在基板上形成第一鳍及第二鳍。第一鳍具有第一栅极区域而第二鳍具有第二栅极区域。此方法亦包括在第一及第二栅极区域上形成金属栅极线。此金属栅极线从第一鳍延伸至第二鳍。此方法亦包括施加线切割以将金属栅极线分为第一子金属栅极线与第二子金属栅极线,并且在线切割中形成隔离区域。
依据本揭露的部分实施例,其中施加线切割以将金属栅极线分为第一子金属栅极线与第二子金属栅极线包括形成第一经图案化的硬遮罩于金属栅极线上方,其中第一经图案化的硬遮罩界定一开口。经由开口蚀刻金属栅极线。
依据本揭露的部分实施例,其中在线切割中形成隔离区域包括形成介电层于线切割中,以及开槽介电层。
依据本揭露的部分实施例,此方法更包含先形成金属栅极线于第一栅极区域及第二栅极区域上方,并形成虚设栅极堆叠于第一栅极区域及第二栅极区域上方。形成层间介电层于基板以及虚设栅极堆叠上方。
依据本揭露的部分实施例,其中形成金属栅极线于第一栅极区域及第二栅极区域上方包含移除虚设栅极堆叠以曝露第一栅极区域及第二栅极区域的一部分。沉积栅极介电层于第一栅极区域及第二栅极区域的曝露的部分上方。沉积金属线于第一栅极区域及第二栅极区域内的栅极介电层上方。
依据本揭露的部分实施例,其中形成金属栅极线于第一栅极区域及第二栅极区域上方包括移除虚设栅极堆叠以曝露第一栅极区域及第二栅极区域的一部分。沉积栅极介电层于第一栅极区域及第二栅极区域的曝露的部分上方。沉积金属栅极线于第一栅极区域及第二栅极区域内的栅极介电层上方。开槽第一栅极区域及第二栅极区域内的栅极金属层的一部分。以及形成硬遮罩于经开槽的第一栅极区域及第二栅极区域内的栅极金属层的部分上方。
依据本揭露的部分实施例,其中施加线切割以将金属栅极线分为第一子金属栅极线与第二子金属栅极线包括形成经图案化的硬遮罩于硬遮罩上方,其中经图案化的硬遮罩具有开口,使得金属栅极线的一部分对齐开口。经由开口蚀刻硬遮罩。经由开口蚀刻金属栅极线。
依据本揭露的部分实施例,其中形成金属线于第一栅极区域及第二栅极区域内的栅极介电层上方包括形成金属栅极线于侧壁间隔之间。其中侧壁间隔及介电层的一部分自开口中曝露。其中经由开口蚀刻金属栅极线包含将曝露的侧壁间隔及介电层的一部分作为蚀刻遮罩。
本揭露的另一实施例为一种用于制造半导体元件的方法包括在基板上形成多个金属栅极堆叠,以使得此多个栅极堆叠彼此连接以形成金属栅极线。此多个金属栅极堆叠具有沿着金属栅极堆叠侧壁配置的侧壁间隔。此方法亦包括在邻近金属栅极堆叠的基板中形成源极/漏极特征、在金属栅极堆叠及源极/漏极特征上形成介电层、开槽多个金属栅极堆叠及侧壁间隔、在经开槽的多个金属栅极堆叠及经开槽的侧壁间隔上形成硬遮罩、移除部分介电层以曝露源极/漏极特征,同时此硬遮罩保护开槽的金属栅极堆叠及经开槽的侧壁间隔。此方法亦包括在经曝露的源极/漏极特征上形成接触金属层、形成线切割以将金属栅极线切割为子金属栅极线,并在线切割中形成隔离区域。
依据本揭露的部分实施例,其中在基板上形成多个金属栅极堆叠,以使得此多个栅极堆叠彼此连接以形成金属栅极线包括形成延伸自基板外的多个鳍,各鳍具有源极/漏极区域及栅极区域。形成虚设栅极堆叠于各栅极区域中。移除虚设栅极堆叠以曝露各鳍的部分。形成多个金属栅极堆叠于曝露的鳍的部分上方。
依据本揭露的部分实施例,其中开槽多个金属栅极堆叠及侧壁间隔包括开槽多个栅极堆叠而实质上不蚀刻侧壁间隔。形成另一硬遮罩于经开槽的栅极堆叠上方。开槽侧壁间隔而实质上不蚀刻另一硬遮罩,其中经开槽的侧壁间隔的上表面与硬遮罩的上表面实质上共平面。
依据本揭露的部分实施例,其中在经开槽的多个金属栅极堆叠及经开槽的侧壁间隔上形成硬遮罩包括形成硬遮罩于另一硬遮罩上方,并开槽侧壁间隔。
依据本揭露的部分实施例,其中形成线切割以将金属栅极线切割为子金属栅极线包括形成经图案化的硬遮罩于金属栅极线上方,其中经图案化的硬遮罩具有开口。经由开口蚀刻金属栅极线。
依据本揭露的部分实施例,其中经开槽的侧壁间隔及接触金属层的一部分曝露于开口中。其中经由开口蚀刻金属栅极线包括将侧壁间隔及接触金属层的部分作为蚀刻遮罩。
依据本揭露的部分实施例,其中在线切割中形成隔离区域包括以介电层填补于线切割内。开槽介电层。
本揭露的又一实施例为一种切割金属栅极的方法包括在基板上形成多个鳍,这些鳍中的各者具有栅极区域,在各栅极区域中形成虚设栅极堆叠,沿各虚设栅极堆叠的侧壁形成侧壁间隔,在包括除虚设栅极堆叠以外的基板上形成层间介电层。此方法亦包括移除虚设栅极堆叠以在此栅极区域中曝露部分鳍,在经曝露的部分鳍上形成金属栅极堆叠,其中此金属栅极堆叠形成金属栅极线,开槽金属栅极堆叠,在经开槽的金属栅极堆叠上形成硬遮罩,形成线切割以将金属栅极线切割为子金属栅极线,同时此硬遮罩保护金属栅极堆叠并在线切割中形成隔离区域。
依据本揭露的部分实施例,其中开槽金属栅极堆叠包括开槽金属栅极堆叠而实质上不蚀刻侧壁间隔及层间介电层。
依据本揭露的部分实施例,其中在经开槽的金属栅极堆叠上形成硬遮罩包括形成硬遮罩于另一硬遮罩上方。
依据本揭露的部分实施例,其中形成线切割以将金属栅极线切割为子金属栅极线包括形成经图案化的硬遮罩于金属栅极线上方,其中经图案化的硬遮罩具有开口。经由开口蚀刻硬遮罩。以及经由开口蚀刻金属栅极线。
依据本揭露的部分实施例,其中在线切割中形成隔离区域包括以介电层填补于线切割内。开槽介电层。
上文概述若干实施例的特征,使得熟悉此项技术者可更好地理解本揭露的态样。熟悉此项技术者应了解,可轻易使用本揭露作为设计或修改其他制程及结构的基础,以便实施本文所介绍的实施例的相同目的及/或达成相同优点。熟悉此项技术者亦应认识到,此类等效结构并未脱离本揭露的精神及范畴,且可在不脱离本揭露的精神及范畴的情况下进行本文的各种变化、替代及更改。
Claims (1)
1.一种切割金属栅极的方法,其特征在于,包含:
在一基板上形成一第一鳍及一第二鳍,该第一鳍具有一第一栅极区域及该第二鳍具有一第二栅极区域;
在该第一栅极区域与该第二栅极区域上形成一金属栅极线,其中该金属栅极线从该第一鳍延伸至该第二鳍;
施加一线切割以将该金属栅极线分为一第一子金属栅极线与一第二子金属栅极线;以及
在该线切割中形成一隔离区域。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US14/940,841 | 2015-11-13 | ||
US14/940,841 US9520482B1 (en) | 2015-11-13 | 2015-11-13 | Method of cutting metal gate |
Publications (1)
Publication Number | Publication Date |
---|---|
CN106711045A true CN106711045A (zh) | 2017-05-24 |
Family
ID=57484005
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN201610993412.9A Pending CN106711045A (zh) | 2015-11-13 | 2016-11-11 | 切割金属栅极的方法 |
Country Status (3)
Country | Link |
---|---|
US (1) | US9520482B1 (zh) |
CN (1) | CN106711045A (zh) |
TW (1) | TWI710062B (zh) |
Cited By (6)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN109216354A (zh) * | 2017-06-29 | 2019-01-15 | 台湾积体电路制造股份有限公司 | 金属栅极结构切割工艺 |
CN109427777A (zh) * | 2017-08-30 | 2019-03-05 | 台湾积体电路制造股份有限公司 | 具有倾斜侧壁的切割金属栅极 |
CN109786463A (zh) * | 2017-11-15 | 2019-05-21 | 台湾积体电路制造股份有限公司 | 金属栅极结构及其制造方法 |
CN110197828A (zh) * | 2018-02-26 | 2019-09-03 | 台湾积体电路制造股份有限公司 | 半导体器件及其形成方法 |
CN110890276A (zh) * | 2018-09-11 | 2020-03-17 | Imec 非营利协会 | 栅极、触点和翅片切割方法 |
CN110892523A (zh) * | 2017-07-20 | 2020-03-17 | 国际商业机器公司 | 形成自对准触点 |
Families Citing this family (1289)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US8367498B2 (en) | 2010-10-18 | 2013-02-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | Fin-like field effect transistor (FinFET) device and method of manufacturing same |
US9773696B2 (en) | 2014-01-24 | 2017-09-26 | Taiwan Semiconductor Manufacturing Company Ltd. | Semiconductor structure and manufacturing method thereof |
US9853154B2 (en) | 2014-01-24 | 2017-12-26 | Taiwan Semiconductor Manufacturing Company Ltd. | Embedded source or drain region of transistor with downward tapered region under facet region |
US9281363B2 (en) | 2014-04-18 | 2016-03-08 | Taiwan Semiconductor Manufacturing Company Ltd. | Circuits using gate-all-around technology |
US9385197B2 (en) | 2014-08-29 | 2016-07-05 | Taiwan Semiconductor Manufacturing Co., Ltd | Semiconductor structure with contact over source/drain structure and method for forming the same |
US9818744B2 (en) | 2014-09-04 | 2017-11-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | Leakage current suppression methods and related structures |
US9991343B2 (en) | 2015-02-26 | 2018-06-05 | Taiwan Semiconductor Manufacturing Company Ltd. | LDD-free semiconductor structure and manufacturing method of the same |
US9768261B2 (en) | 2015-04-17 | 2017-09-19 | Taiwan Semiconductor Manufacturing Company Ltd. | Semiconductor structure and method of forming the same |
US9601567B1 (en) * | 2015-10-30 | 2017-03-21 | Taiwan Semiconductor Manufacturing Co., Ltd. | Multiple Fin FET structures having an insulating separation plug |
US9947592B2 (en) * | 2015-11-16 | 2018-04-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | FinFET devices and methods of forming the same |
US9786737B2 (en) * | 2015-12-03 | 2017-10-10 | International Business Machines Corporation | FinFET with reduced parasitic capacitance |
US9954081B2 (en) | 2015-12-15 | 2018-04-24 | Taiwan Semiconductor Manufacturing Co., Ltd. | Fin field effect transistor, semiconductor device and fabricating method thereof |
US9722081B1 (en) | 2016-01-29 | 2017-08-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | FinFET device and method of forming the same |
US9847330B2 (en) | 2016-02-05 | 2017-12-19 | Taiwan Semiconductor Manufacturing Co., Ltd. | Fin field effect transistor and method for fabricating the same |
US9768170B2 (en) | 2016-02-05 | 2017-09-19 | Taiwan Semiconductor Manufacturing Co., Ltd. | Fin field effect transistor and method for fabricating the same |
US10002867B2 (en) | 2016-03-07 | 2018-06-19 | Taiwan Semiconductor Manufacturing Co., Ltd. | Fin-type field effect transistor structure and manufacturing method thereof |
US9893120B2 (en) | 2016-04-15 | 2018-02-13 | Taiwan Semiconductor Manufacturing Company Ltd. | Semiconductor structure and method of forming the same |
US10079291B2 (en) | 2016-05-04 | 2018-09-18 | Taiwan Semiconductor Manufacturing Co., Ltd. | Fin-type field effect transistor structure and manufacturing method thereof |
US10032877B2 (en) | 2016-08-02 | 2018-07-24 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET and method of forming same |
US10157918B2 (en) | 2016-08-03 | 2018-12-18 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method |
US10840350B2 (en) | 2016-10-31 | 2020-11-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | Nanolaminate structure, semiconductor device and method of forming nanolaminate structure |
US10326003B2 (en) | 2016-11-28 | 2019-06-18 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET device and methods of forming |
US10276677B2 (en) | 2016-11-28 | 2019-04-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device structure and method for forming the same |
US10700181B2 (en) | 2016-11-28 | 2020-06-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Fin field effect transistor (finFET) device structure and method for forming the same |
US10879354B2 (en) | 2016-11-28 | 2020-12-29 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and forming method thereof |
US10515951B2 (en) | 2016-11-29 | 2019-12-24 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method |
US10453943B2 (en) | 2016-11-29 | 2019-10-22 | Taiwan Semiconductor Manufacturing Company, Ltd. | FETS and methods of forming FETS |
US10510851B2 (en) | 2016-11-29 | 2019-12-17 | Taiwan Semiconductor Manufacturing Company, Ltd. | Low resistance contact method and structure |
US10170378B2 (en) | 2016-11-29 | 2019-01-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gate all-around semiconductor device and manufacturing method thereof |
US10008497B2 (en) | 2016-11-29 | 2018-06-26 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of manufacturing a semiconductor device and a semiconductor device |
US10553720B2 (en) | 2016-11-29 | 2020-02-04 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of removing an etch mask |
US10510888B2 (en) | 2016-11-29 | 2019-12-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
US10164066B2 (en) | 2016-11-29 | 2018-12-25 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET devices and methods of forming |
US10115808B2 (en) | 2016-11-29 | 2018-10-30 | Taiwan Semiconductor Manufacturing Company, Ltd. | finFET device and methods of forming |
US9991165B1 (en) | 2016-11-29 | 2018-06-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | Asymmetric source/drain epitaxy |
US10388644B2 (en) * | 2016-11-29 | 2019-08-20 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of manufacturing conductors and semiconductor device which includes conductors |
US10269906B2 (en) | 2016-11-30 | 2019-04-23 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device having two spacers |
US10707328B2 (en) | 2016-11-30 | 2020-07-07 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of forming epitaxial fin structures of finFET |
US9953875B1 (en) | 2016-11-30 | 2018-04-24 | Taiwan Semiconductor Manufacturing Company | Contact resistance control in epitaxial structures of finFET |
US10672824B2 (en) | 2016-11-30 | 2020-06-02 | Taiwan Semiconductor Manufacturing Co., Ltd. | Image sensor |
US10453741B2 (en) | 2016-12-13 | 2019-10-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for forming semiconductor device contact |
US10522642B2 (en) | 2016-12-14 | 2019-12-31 | Taiwan Semiconductor Manufacturing Co. Ltd. | Semiconductor device with air-spacer |
US10037912B2 (en) | 2016-12-14 | 2018-07-31 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method of manufacturing the same |
DE102017113681A1 (de) | 2016-12-14 | 2018-06-14 | Taiwan Semiconductor Manufacturing Co. Ltd. | Halbleiter-bauelement mit luft-abstandshalter |
US10276691B2 (en) | 2016-12-15 | 2019-04-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Conformal transfer doping method for fin-like field effect transistor |
US10002796B1 (en) | 2016-12-15 | 2018-06-19 | Taiwan Semiconductor Manufacturing Co., Ltd. | Dual epitaxial growth process for semiconductor device |
US10879370B2 (en) | 2016-12-15 | 2020-12-29 | Taiwan Semiconductor Manufacturing Company, Ltd. | Etching back and selective deposition of metal gate |
US10510762B2 (en) | 2016-12-15 | 2019-12-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | Source and drain formation technique for fin-like field effect transistor |
US11476349B2 (en) | 2016-12-15 | 2022-10-18 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET structures and methods of forming the same |
DE102017127208A1 (de) | 2016-12-15 | 2018-06-21 | Taiwan Semiconductor Manufacturing Co., Ltd. | Finfet-strukturen und verfahren zu deren herstellung |
US10497811B2 (en) | 2016-12-15 | 2019-12-03 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET structures and methods of forming the same |
US10269646B2 (en) | 2016-12-15 | 2019-04-23 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method |
TWI746673B (zh) | 2016-12-15 | 2021-11-21 | 台灣積體電路製造股份有限公司 | 鰭式場效電晶體裝置及其共形傳遞摻雜方法 |
US10522694B2 (en) | 2016-12-15 | 2019-12-31 | Taiwan Semiconductor Manufacturing Co., Ltd. | Methods of manufacturing semiconductor device |
DE102017117794A1 (de) | 2016-12-15 | 2018-06-21 | Taiwan Semiconductor Manufacturing Co., Ltd. | Zurückätzen und selektive abscheidung eines metall-gates |
US10121675B2 (en) | 2016-12-29 | 2018-11-06 | Taiwan Semiconductor Manufacturing Co., Ltd | Semiconductor device and a method for fabricating the same |
US10325911B2 (en) | 2016-12-30 | 2019-06-18 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
US10516030B2 (en) | 2017-01-09 | 2019-12-24 | Taiwan Semiconductor Manufacturing Company, Ltd. | Contact plugs and methods forming same |
US10804375B2 (en) | 2017-03-23 | 2020-10-13 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method for manufacturing the same |
US10170374B2 (en) | 2017-03-23 | 2019-01-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method for manufacturing the same |
US11923252B2 (en) | 2017-03-23 | 2024-03-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method for manufacturing the same |
US10950605B2 (en) | 2017-03-24 | 2021-03-16 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device |
US10304945B2 (en) | 2017-03-24 | 2019-05-28 | Taiwan Semiconductor Manufacturing Co., Ltd. | High-speed semiconductor device and method for forming the same |
US10090325B1 (en) | 2017-03-31 | 2018-10-02 | Taiwan Semiconductor Manufacturing Co., Ltd. | Circuit cells having separated gate electrodes |
US10355095B2 (en) | 2017-03-31 | 2019-07-16 | Taiwan Semiconductor Manufacturing Co., Ltd. | FinFET structure with composite gate helmet |
US10090169B1 (en) | 2017-03-31 | 2018-10-02 | Globalfoundries Inc. | Methods of forming integrated circuit structures including opening filled with insulator in metal gate |
US10056473B1 (en) | 2017-04-07 | 2018-08-21 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
US10163731B2 (en) | 2017-04-12 | 2018-12-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | FinFET semiconductor structure having hybrid substrate and method of fabricating the same |
US10312332B2 (en) | 2017-04-18 | 2019-06-04 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method of forming the same |
US10269621B2 (en) | 2017-04-18 | 2019-04-23 | Taiwan Semiconductor Manufacturing Company, Ltd. | Contact plugs and methods forming same |
US10186456B2 (en) | 2017-04-20 | 2019-01-22 | Taiwan Semiconductor Manufacturing Company, Ltd. | Methods for forming contact plugs with reduced corrosion |
US10483380B2 (en) | 2017-04-20 | 2019-11-19 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method of manufacturing the same |
US10483266B2 (en) | 2017-04-20 | 2019-11-19 | Taiwan Semiconductor Manufacturing Company, Ltd. | Flexible merge scheme for source/drain epitaxy regions |
US10062784B1 (en) | 2017-04-20 | 2018-08-28 | Taiwan Semiconductor Manufacturing Company, Ltd. | Self-aligned gate hard mask and method forming same |
US10475908B2 (en) | 2017-04-25 | 2019-11-12 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method of fabricating the same |
US10872980B2 (en) | 2017-04-25 | 2020-12-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
US10373879B2 (en) | 2017-04-26 | 2019-08-06 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device with contracted isolation feature and formation method thereof |
US10332786B2 (en) | 2017-04-27 | 2019-06-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for manufacturing a semiconductor device |
US10157997B2 (en) | 2017-04-27 | 2018-12-18 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFETs and methods of forming the same |
US10115825B1 (en) | 2017-04-28 | 2018-10-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Structure and method for FinFET device with asymmetric contact |
US10141225B2 (en) | 2017-04-28 | 2018-11-27 | Taiwan Semiconductor Manufacturing Company, Ltd. | Metal gates of transistors having reduced resistivity |
US10170318B2 (en) | 2017-04-28 | 2019-01-01 | Taiwan Semiconductor Manufacturing Company, Ltd. | Self-aligned contact and manufacturing method thereof |
DE102017122702B4 (de) | 2017-04-28 | 2023-11-09 | Taiwan Semiconductor Manufacturing Co. Ltd. | Struktur und Verfahren für FinFET-Vorrichtung mit asymmetrischem Kontakt |
US10319832B2 (en) | 2017-04-28 | 2019-06-11 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET device and method of forming same |
US10157785B2 (en) | 2017-05-01 | 2018-12-18 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method |
US10332965B2 (en) | 2017-05-08 | 2019-06-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method of fabricating the same |
US10043712B1 (en) | 2017-05-17 | 2018-08-07 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor structure and manufacturing method thereof |
US10050149B1 (en) | 2017-05-18 | 2018-08-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gate structure for semiconductor device |
US10665569B2 (en) | 2017-05-25 | 2020-05-26 | Taiwan Semiconductor Manufacturing Co., Ltd. | Vertical transistor device and method for fabricating the same |
US10269800B2 (en) | 2017-05-26 | 2019-04-23 | Taiwan Semiconductor Manufacturing Co., Ltd. | Vertical gate semiconductor device with steep subthreshold slope |
US10269636B2 (en) | 2017-05-26 | 2019-04-23 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method of fabricating the same |
US10636910B2 (en) | 2017-05-30 | 2020-04-28 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device structure and method of forming the same |
US10163628B1 (en) | 2017-05-31 | 2018-12-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Lattice-mismatched semiconductor substrates with defect reduction |
US10163621B1 (en) | 2017-05-31 | 2018-12-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method and structure for FinFET devices |
US10522392B2 (en) | 2017-05-31 | 2019-12-31 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method of fabricating the same |
US10147787B1 (en) | 2017-05-31 | 2018-12-04 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor structure and manufacturing method thereof |
US9991268B1 (en) | 2017-06-08 | 2018-06-05 | Taiwan Semiconductor Manufacturing Co., Ltd. | SRAM cell structure |
US9911736B1 (en) * | 2017-06-14 | 2018-03-06 | Globalfoundries Inc. | Method of forming field effect transistors with replacement metal gates and contacts and resulting structure |
US9991262B1 (en) | 2017-06-15 | 2018-06-05 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device on hybrid substrate and method of manufacturing the same |
US10727131B2 (en) | 2017-06-16 | 2020-07-28 | Taiwan Semiconductor Manufacturing Co., Ltd. | Source and drain epitaxy re-shaping |
US10283414B2 (en) | 2017-06-20 | 2019-05-07 | Taiwan Semiconductor Manufacturing Co., Ltd. | Isolation manufacturing method for semiconductor structures |
US10510840B2 (en) | 2017-06-20 | 2019-12-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | GAA FET with u-shaped channel |
US11334703B2 (en) | 2017-06-29 | 2022-05-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | Integrated circuit layouts with fill feature shapes |
DE102018104944A1 (de) | 2017-06-30 | 2019-01-03 | Taiwan Semiconductor Manufacturing Co., Ltd. | Halbleiter-Bauelement mit einer Auskleidungsschicht mit einem konfigurierten Profil und Verfahren zu dessen Herstellung |
US10720358B2 (en) | 2017-06-30 | 2020-07-21 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device having a liner layer with a configured profile and method of fabricating thereof |
US10516037B2 (en) | 2017-06-30 | 2019-12-24 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of forming shaped source/drain epitaxial layers of a semiconductor device |
US10347764B2 (en) | 2017-06-30 | 2019-07-09 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device with multi-layered source/drain regions having different dopant concentrations and manufacturing method thereof |
US10483267B2 (en) | 2017-06-30 | 2019-11-19 | Taiwan Semiconductor Manufacturing Co., Ltd. | Eight-transistor static random-access memory, layout thereof, and method for manufacturing the same |
US10269940B2 (en) | 2017-06-30 | 2019-04-23 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method |
TWI743252B (zh) | 2017-06-30 | 2021-10-21 | 台灣積體電路製造股份有限公司 | 鰭狀場效電晶體裝置與其形成方法 |
US10396206B2 (en) * | 2017-07-07 | 2019-08-27 | Globalfoundries Inc. | Gate cut method |
US10468529B2 (en) | 2017-07-11 | 2019-11-05 | Taiwan Semiconductor Manufacturing Co., Ltd. | Structure and formation method of semiconductor device structure with etch stop layer |
US10181524B1 (en) | 2017-07-14 | 2019-01-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Vertical transistor device and method for fabricating the same |
US10157988B1 (en) | 2017-07-18 | 2018-12-18 | Taiwan Semiconductor Manufacturing Co., Ltd. | Fin field effect transistor (FinFET) device structure with dual spacers and method for forming the same |
US10134640B1 (en) | 2017-07-18 | 2018-11-20 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device structure with semiconductor wire |
US10727226B2 (en) | 2017-07-18 | 2020-07-28 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor structure and method for forming the same |
US10211307B2 (en) | 2017-07-18 | 2019-02-19 | Taiwan Semiconductor Manufacturing Co., Ltd. | Methods of manufacturing inner spacers in a gate-all-around (GAA) FET through multi-layer spacer replacement |
US10403734B2 (en) | 2017-07-21 | 2019-09-03 | Globalfoundries Inc. | Semiconductor device with reduced gate height budget |
US10290635B2 (en) | 2017-07-26 | 2019-05-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Buried interconnect conductor |
US10283623B2 (en) | 2017-07-27 | 2019-05-07 | Taiwan Semiconductor Manufacturing Co., Ltd. | Integrated circuits with gate stacks |
US10141430B1 (en) | 2017-07-27 | 2018-11-27 | Taiwan Semiconductor Manufacturing Co., Ltd. | Fin structures with uniform threshold voltage distribution and method of making the same |
US10685884B2 (en) | 2017-07-31 | 2020-06-16 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device including a Fin-FET and method of manufacturing the same |
US10510875B2 (en) | 2017-07-31 | 2019-12-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | Source and drain structure with reduced contact resistance and enhanced mobility |
US10283503B2 (en) | 2017-07-31 | 2019-05-07 | Taiwan Semiconductor Manufacturing Co., Ltd. | Metal gate structure and methods thereof |
DE102017126027B4 (de) | 2017-07-31 | 2022-02-24 | Taiwan Semiconductor Manufacturing Co., Ltd. | Metallgatestruktur und Verfahren |
US10269624B2 (en) | 2017-07-31 | 2019-04-23 | Taiwan Semiconductor Manufacturing Company, Ltd. | Contact plugs and methods of forming same |
US10515952B2 (en) | 2017-08-04 | 2019-12-24 | Taiwan Semiconductor Manufacturing Co., Ltd. | Fin field effect transistor (FinFET) device structure and method for forming the same |
US10833152B2 (en) | 2017-08-15 | 2020-11-10 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
US10515850B2 (en) | 2017-08-25 | 2019-12-24 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method and IC design with non-linear power rails |
US10504898B2 (en) | 2017-08-28 | 2019-12-10 | Taiwan Semiconductor Manufacturing Co., Ltd. | Fin field-effect transistor structure and method for forming the same |
US10529833B2 (en) | 2017-08-28 | 2020-01-07 | Taiwan Semiconductor Manufacturing Co., Ltd. | Integrated circuit with a fin and gate structure and method making the same |
US10403714B2 (en) | 2017-08-29 | 2019-09-03 | Taiwan Semiconductor Manufacturing Co., Ltd. | Fill fins for semiconductor devices |
US10403550B2 (en) | 2017-08-30 | 2019-09-03 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of manufacturing a semiconductor device and a semiconductor device |
US10685880B2 (en) | 2017-08-30 | 2020-06-16 | Taiwan Semiconductor Manufacturing Co., Ltd. | Methods for reducing contact depth variation in semiconductor fabrication |
US10699956B2 (en) | 2017-08-30 | 2020-06-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of manufacturing a semiconductor device and a semiconductor device |
US10522680B2 (en) | 2017-08-31 | 2019-12-31 | Taiwan Semiconductor Manufacturing Co., Ltd. | Finfet semiconductor device structure with capped source drain structures |
US10446555B2 (en) | 2017-08-31 | 2019-10-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Buried metal track and methods forming same |
US10164053B1 (en) | 2017-08-31 | 2018-12-25 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method |
US10236220B1 (en) | 2017-08-31 | 2019-03-19 | Taiwan Semiconductor Manufacturing Company, Ltd. | Fin field-effect transistor device and method |
US10297508B2 (en) | 2017-08-31 | 2019-05-21 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method |
US10276445B2 (en) | 2017-08-31 | 2019-04-30 | Taiwan Semiconductor Manfacturing Co., Ltd. | Leakage reduction methods and structures thereof |
US10276720B2 (en) | 2017-08-31 | 2019-04-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for forming fin field effect transistor (FINFET) device structure |
US10121870B1 (en) | 2017-08-31 | 2018-11-06 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device structure with strain-relaxed buffer |
US10475654B2 (en) | 2017-08-31 | 2019-11-12 | Taiwan Semiconductor Manufacturing Company, Ltd. | Wrap-around contact plug and method manufacturing same |
US10290548B2 (en) | 2017-08-31 | 2019-05-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device structure with semiconductor wire |
US10483378B2 (en) | 2017-08-31 | 2019-11-19 | Taiwan Semiconductor Manufacturing Co., Ltd. | Epitaxial features confined by dielectric fins and spacers |
US10629679B2 (en) | 2017-08-31 | 2020-04-21 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of manufacturing a semiconductor device and a semiconductor device |
US10515896B2 (en) | 2017-08-31 | 2019-12-24 | Taiwan Semiconductor Manufacturing Co., Ltd. | Interconnect structure for semiconductor device and methods of fabrication thereof |
US10276718B2 (en) | 2017-08-31 | 2019-04-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | FinFET having a relaxation prevention anchor |
US10497577B2 (en) | 2017-08-31 | 2019-12-03 | Taiwan Semiconductor Manufacturing Company, Ltd. | Fin field-effect transistor device and method |
US10374059B2 (en) | 2017-08-31 | 2019-08-06 | Taiwan Semiconductor Manufacturing Co., Ltd. | Structure and formation method of semiconductor device structure with nanowires |
US10332985B2 (en) | 2017-08-31 | 2019-06-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
US10535525B2 (en) | 2017-08-31 | 2020-01-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for forming semiconductor device structure |
US10163904B1 (en) | 2017-08-31 | 2018-12-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device structure |
US10374058B2 (en) | 2017-09-15 | 2019-08-06 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method for manufacturing the same |
US10679988B2 (en) | 2017-09-18 | 2020-06-09 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device including FinFETS having different channel heights and manufacturing method thereof |
US10361133B2 (en) | 2017-09-18 | 2019-07-23 | Taiwan Semiconductor Manufacturing Co., Ltd. | High-K metal gate and method for fabricating the same |
US10453752B2 (en) | 2017-09-18 | 2019-10-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of manufacturing a gate-all-around semiconductor device |
US10505040B2 (en) | 2017-09-25 | 2019-12-10 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of manufacturing a semiconductor device having a gate with ferroelectric layer |
US10868181B2 (en) | 2017-09-27 | 2020-12-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor structure with blocking layer and method for forming the same |
US10468275B2 (en) | 2017-09-27 | 2019-11-05 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor fabrication with electrochemical apparatus |
US10269914B2 (en) | 2017-09-27 | 2019-04-23 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
US10700177B2 (en) | 2017-09-27 | 2020-06-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device with low resistivity contact structure and method for forming the same |
US10636673B2 (en) | 2017-09-28 | 2020-04-28 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for forming semiconductor device structure |
US10403545B2 (en) | 2017-09-28 | 2019-09-03 | Taiwan Semiconductor Manufacturing Co., Ltd. | Power reduction in finFET structures |
US10763104B2 (en) | 2017-09-28 | 2020-09-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of forming differential etch stop layer using directional plasma to activate surface on device structure |
US10157790B1 (en) | 2017-09-28 | 2018-12-18 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method for manufacturing the same |
US10074558B1 (en) | 2017-09-28 | 2018-09-11 | Taiwan Semiconductor Manufacturing Co., Ltd. | FinFET structure with controlled air gaps |
US10153278B1 (en) | 2017-09-28 | 2018-12-11 | Taiwan Semiconductor Manufacturing Co., Ltd. | Fin-type field effect transistor structure and manufacturing method thereof |
US10297636B2 (en) | 2017-09-28 | 2019-05-21 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for fabricating complementary metal-oxide-semiconductor image sensor |
US10763114B2 (en) | 2017-09-28 | 2020-09-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of fabricating gate oxide of semiconductor device |
US10283639B2 (en) | 2017-09-28 | 2019-05-07 | Taiwan Semiconductor Manufacturing Company Ltd. | Semiconductor structure and method for forming the same |
US10516032B2 (en) | 2017-09-28 | 2019-12-24 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of manufacturing a semiconductor device |
US10325993B2 (en) | 2017-09-28 | 2019-06-18 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gate all around device and fabrication thereof |
US10686074B2 (en) | 2017-09-28 | 2020-06-16 | Taiwan Semiconductor Manufacturing Co., Ltd. | Fin field effect transistor (FinFET) device structure with doped region in source/drain structure and method for forming the same |
US10535736B2 (en) | 2017-09-28 | 2020-01-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Fully strained channel |
US10515687B2 (en) | 2017-09-28 | 2019-12-24 | Taiwan Semiconductor Manufacturing Co., Ltd. | Strap cell design for static random access memory (SRAM) array |
US10483372B2 (en) | 2017-09-29 | 2019-11-19 | Taiwan Semiconductor Manufacturing Co., Ltd. | Spacer structure with high plasma resistance for semiconductor devices |
US10509334B2 (en) | 2017-09-29 | 2019-12-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | Methods and apparatus for removing contamination from lithographic tool |
US10490458B2 (en) | 2017-09-29 | 2019-11-26 | Taiwan Semiconductor Manufacturing Company, Ltd. | Methods of cutting metal gates and structures formed thereof |
US10505021B2 (en) | 2017-09-29 | 2019-12-10 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFet device and method of forming the same |
US10804367B2 (en) | 2017-09-29 | 2020-10-13 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gate stacks for stack-fin channel I/O devices and nanowire channel core devices |
US10510580B2 (en) | 2017-09-29 | 2019-12-17 | Taiwan Semiconductor Manufacturing Company, Ltd. | Dummy fin structures and methods of forming same |
US10497624B2 (en) | 2017-09-29 | 2019-12-03 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of manufacturing a semiconductor device and a semiconductor device |
US10811320B2 (en) * | 2017-09-29 | 2020-10-20 | Taiwan Semiconductor Manufacturing Company, Ltd. | Footing removal in cut-metal process |
US10269965B1 (en) | 2017-10-25 | 2019-04-23 | Taiwan Semiconductor Manufacturing Company Ltd. | Multi-gate semiconductor device and method for forming the same |
US10672742B2 (en) | 2017-10-26 | 2020-06-02 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
US10535737B2 (en) | 2017-10-27 | 2020-01-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
US10522418B2 (en) | 2017-10-30 | 2019-12-31 | Taiwan Semiconductor Manufacturing Company Ltd. | Semiconductor device and method for manufacturing the same |
US10818777B2 (en) | 2017-10-30 | 2020-10-27 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of manufacturing a semiconductor device and a semiconductor device |
US10325912B2 (en) | 2017-10-30 | 2019-06-18 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor structure cutting process and structures formed thereby |
US10347720B2 (en) | 2017-10-30 | 2019-07-09 | Taiwan Semiconductor Manufacturing Co., Ltd. | Doping for semiconductor device with conductive feature |
US11380803B2 (en) | 2017-10-30 | 2022-07-05 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device structure and method for forming the same |
US10714592B2 (en) | 2017-10-30 | 2020-07-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of manufacturing a semiconductor device and a semiconductor device |
US10847634B2 (en) | 2017-10-30 | 2020-11-24 | Taiwan Semiconductor Manufacturing Company, Ltd. | Field effect transistor and method of forming the same |
US10217815B1 (en) | 2017-10-30 | 2019-02-26 | Taiwan Semiconductor Manufacturing Co., Ltd | Integrated circuit device with source/drain barrier |
US10867866B2 (en) | 2017-10-30 | 2020-12-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
US11444173B2 (en) | 2017-10-30 | 2022-09-13 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device structure with salicide layer and method for forming the same |
US10868127B2 (en) | 2017-10-30 | 2020-12-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gate-all-around structure and manufacturing method for the same |
US10355105B2 (en) | 2017-10-31 | 2019-07-16 | Taiwan Semiconductor Manufacturing Company, Ltd. | Fin field-effect transistors and methods of forming the same |
US10535738B2 (en) | 2017-10-31 | 2020-01-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor structure and manufacturing method of the same |
US10276693B1 (en) | 2017-10-31 | 2019-04-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
US10163623B1 (en) | 2017-10-31 | 2018-12-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Etch method with surface modification treatment for forming semiconductor structure |
US10629497B2 (en) | 2017-11-02 | 2020-04-21 | Taiwan Semiconductor Manufacturing Co., Ltd. | FinFET device structure and method for enlarging gap-fill window |
US10403551B2 (en) | 2017-11-08 | 2019-09-03 | Taiwan Semiconductor Manufacturing Co., Ltd. | Source/drain features with an etch stop layer |
US10431696B2 (en) | 2017-11-08 | 2019-10-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Structure and formation method of semiconductor device structure with nanowire |
US11404413B2 (en) | 2017-11-08 | 2022-08-02 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and manufacturing method thereof |
US10872762B2 (en) | 2017-11-08 | 2020-12-22 | Taiwan Semiconductor Manufacturing Company, Ltd. | Methods of forming silicon oxide layer and semiconductor structure |
DE102018107038B4 (de) | 2017-11-09 | 2022-06-09 | Taiwan Semiconductor Manufacturing Co., Ltd. | Verfahren zur herstellung einer durchkontaktierungsstruktur |
US10439135B2 (en) | 2017-11-09 | 2019-10-08 | Taiwan Semiconductor Manufacturing Co., Ltd. | VIA structure and methods of forming the same |
US10424588B2 (en) | 2017-11-09 | 2019-09-24 | Taiwan Semiconductor Manufacturing Co., Ltd. | Cutting metal gates in fin field effect transistors |
US10367078B2 (en) | 2017-11-09 | 2019-07-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor devices and FinFET devices having shielding layers |
US10680084B2 (en) | 2017-11-10 | 2020-06-09 | Taiwan Semiconductor Manufacturing Co., Ltd. | Epitaxial structures for fin-like field effect transistors |
US10847622B2 (en) | 2017-11-13 | 2020-11-24 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of forming source/drain structure with first and second epitaxial layers |
US10629708B2 (en) | 2017-11-14 | 2020-04-21 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device structure with barrier layer and method for forming the same |
US10727178B2 (en) | 2017-11-14 | 2020-07-28 | Taiwan Semiconductor Manufacturing Co., Ltd. | Via structure and methods thereof |
US10283624B1 (en) | 2017-11-14 | 2019-05-07 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor structure and method for forming the same |
US10840358B2 (en) | 2017-11-15 | 2020-11-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for manufacturing semiconductor structure with source/drain structure having modified shape |
US10355102B2 (en) | 2017-11-15 | 2019-07-16 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method of manufacturing the same |
US10515948B2 (en) | 2017-11-15 | 2019-12-24 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device including vertical routing structure and method for manufacturing the same |
US10269576B1 (en) | 2017-11-15 | 2019-04-23 | Taiwan Semiconductor Manufacturing Co., Ltd. | Etching and structures formed thereby |
US10468530B2 (en) | 2017-11-15 | 2019-11-05 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor structure with source/drain multi-layer structure and method for forming the same |
US10680106B2 (en) | 2017-11-15 | 2020-06-09 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of forming source/drain epitaxial stacks |
US10964590B2 (en) * | 2017-11-15 | 2021-03-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Contact metallization process |
US10483168B2 (en) | 2017-11-15 | 2019-11-19 | Taiwan Semiconductor Manufacturing Co., Ltd. | Low-k gate spacer and formation thereof |
US10515809B2 (en) | 2017-11-15 | 2019-12-24 | Taiwan Semiconductor Manufacturing Company, Ltd. | Selective high-K formation in gate-last process |
US10707318B2 (en) | 2017-11-15 | 2020-07-07 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method of manufacturing the same |
US10396184B2 (en) | 2017-11-15 | 2019-08-27 | Taiwan Semiconductor Manufacturing Co., Ltd. | Integrated circuit device fins |
US10468527B2 (en) | 2017-11-15 | 2019-11-05 | Taiwan Semiconductor Manufacturing Co., Ltd. | Metal gate structure and methods of fabricating thereof |
US10170322B1 (en) | 2017-11-16 | 2019-01-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Atomic layer deposition based process for contact barrier layer |
US10269648B1 (en) | 2017-11-17 | 2019-04-23 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of fabricating a semiconductor device structure |
US10978351B2 (en) * | 2017-11-17 | 2021-04-13 | Taiwan Semiconductor Manufacturing Co., Ltd. | Etch stop layer between substrate and isolation structure |
US10867859B2 (en) | 2017-11-17 | 2020-12-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Methods of fabricating semiconductor devices having isolation structures with liners |
US10629693B2 (en) | 2017-11-17 | 2020-04-21 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor structure with barrier layer and method for forming the same |
US10658508B2 (en) | 2017-11-17 | 2020-05-19 | Taiwan Semiconductor Manufacturing Co., Ltd. | Structure and formation method of semiconductor device with low resistance contact |
US10510619B2 (en) | 2017-11-17 | 2019-12-17 | Taiwan Semiconductor Manufacturing Company Ltd. | Semiconductor structure and method for manufacturing the same |
US10699940B2 (en) | 2017-11-20 | 2020-06-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gate dielectric preserving gate cut process |
US10727117B2 (en) | 2017-11-20 | 2020-07-28 | Taiwan Semiconductor Manufacturing Company Ltd. | Method for manufacturing semiconductor structure |
DE102018124812B4 (de) | 2017-11-20 | 2024-06-20 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gate-dielektrium wahrender gate-schneidprozess |
US11037924B2 (en) | 2017-11-21 | 2021-06-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for forming source/drain contacts |
US10504990B2 (en) | 2017-11-21 | 2019-12-10 | Taiwan Semiconductor Manufacturing Co., Ltd. | Isolation features and methods of fabricating the same |
US10418453B2 (en) | 2017-11-22 | 2019-09-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | Forming metal contacts on metal gates |
US10497628B2 (en) | 2017-11-22 | 2019-12-03 | Taiwan Semiconductor Manufacturing Co., Ltd. | Methods of forming epitaxial structures in fin-like field effect transistors |
US10867809B2 (en) | 2017-11-22 | 2020-12-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Laser anneal process |
US10672613B2 (en) | 2017-11-22 | 2020-06-02 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of forming semiconductor structure and semiconductor device |
US10867986B2 (en) | 2017-11-24 | 2020-12-15 | Taiwan Semiconductor Manufacturing Company Ltd. | Semiconductor device having fin structure |
US10340190B2 (en) | 2017-11-24 | 2019-07-02 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device structure and method for forming the same |
US10361279B2 (en) | 2017-11-24 | 2019-07-23 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for manufacturing FinFET structure with doped region |
US10374038B2 (en) | 2017-11-24 | 2019-08-06 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device source/drain region with arsenic-containing barrier region |
US10276449B1 (en) | 2017-11-24 | 2019-04-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for forming fin field effect transistor (FinFET) device structure |
US10658362B2 (en) | 2017-11-27 | 2020-05-19 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor component and fabricating method thereof |
US10971493B2 (en) | 2017-11-27 | 2021-04-06 | Taiwan Semiconductor Manufacturing Company Ltd. | Integrated circuit device with high mobility and system of forming the integrated circuit |
US10714475B2 (en) | 2017-11-27 | 2020-07-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
US10332789B2 (en) | 2017-11-27 | 2019-06-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device with TiN adhesion layer for forming a contact plug |
US10790142B2 (en) | 2017-11-28 | 2020-09-29 | Taiwan Semiconductor Manufacturing Co., Ltd. | Selective capping processes and structures formed thereby |
US10777466B2 (en) | 2017-11-28 | 2020-09-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor Fin cutting process and structures formed thereby |
US10714334B2 (en) | 2017-11-28 | 2020-07-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Conductive feature formation and structure |
US10840154B2 (en) | 2017-11-28 | 2020-11-17 | Taiwan Semiconductor Manufacturing Co.. Ltd. | Method for forming semiconductor structure with high aspect ratio |
US10867998B1 (en) | 2017-11-29 | 2020-12-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor structure cutting process and structures formed thereby |
DE102018104004B4 (de) | 2017-11-29 | 2021-06-02 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gate-Struktur und Verfahren mit verbessertem Gate-Kontakt und verbesserter Schwellenspannung |
US10510889B2 (en) | 2017-11-29 | 2019-12-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | P-type strained channel in a fin field effect transistor (FinFET) device |
US10804378B2 (en) | 2017-11-29 | 2020-10-13 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for semiconductor device fabrication with improved epitaxial source/drain proximity control |
US10840376B2 (en) | 2017-11-29 | 2020-11-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gate structure and method with enhanced gate contact and threshold voltage |
US11114549B2 (en) | 2017-11-29 | 2021-09-07 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor structure cutting process and structures formed thereby |
US10312089B1 (en) | 2017-11-29 | 2019-06-04 | Taiwan Semiconductor Manufacturing Co., Ltd. | Methods for controlling an end-to-end distance in semiconductor device |
US10164048B1 (en) | 2017-11-29 | 2018-12-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for forming source/drain contacts |
US10510838B2 (en) | 2017-11-29 | 2019-12-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | High surface dopant concentration formation processes and structures formed thereby |
US10515849B2 (en) | 2017-11-30 | 2019-12-24 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device, interconnection structure and method for forming the same |
US10319581B1 (en) | 2017-11-30 | 2019-06-11 | Taiwan Semiconductor Manufacturing Co., Ltd. | Cut metal gate process for reducing transistor spacing |
US10475929B2 (en) | 2017-11-30 | 2019-11-12 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
DE102018106581B4 (de) | 2017-11-30 | 2020-07-09 | Taiwan Semiconductor Manufacturing Co. Ltd. | Halbleiter-Bauelement und Verfahren zu dessen Herstellung |
US10497778B2 (en) | 2017-11-30 | 2019-12-03 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
US10847413B2 (en) | 2017-11-30 | 2020-11-24 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of forming contact plugs for semiconductor device |
US10516039B2 (en) | 2017-11-30 | 2019-12-24 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
US10510874B2 (en) * | 2017-11-30 | 2019-12-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device |
US10460994B2 (en) | 2017-11-30 | 2019-10-29 | Taiwan Semiconductor Manufacturing Co., Ltd. | Residue-free metal gate cutting for fin-like field effect transistor |
US10700066B2 (en) | 2017-11-30 | 2020-06-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
DE102018102448B4 (de) | 2017-11-30 | 2023-06-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Bildung und Struktur leitfähiger Merkmale |
US10446669B2 (en) | 2017-11-30 | 2019-10-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Source and drain surface treatment for multi-gate field effect transistors |
US10867833B2 (en) | 2017-11-30 | 2020-12-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Buried metal for FinFET device and method |
US10177038B1 (en) | 2017-11-30 | 2019-01-08 | Taiwan Semiconductor Manufacturing Co., Ltd. | Prevention of contact bottom void in semiconductor fabrication |
US10804180B2 (en) | 2017-11-30 | 2020-10-13 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method for manufacturing the same |
US10629749B2 (en) | 2017-11-30 | 2020-04-21 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of treating interfacial layer on silicon germanium |
US11011618B2 (en) | 2017-11-30 | 2021-05-18 | Taiwan Semiconductor Manufacturing Co., Ltd. | Circuit devices with gate seals |
US10366982B2 (en) | 2017-11-30 | 2019-07-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Structure with embedded memory device and contact isolation scheme |
US10361120B2 (en) | 2017-11-30 | 2019-07-23 | Taiwan Semiconductor Manufacturing Co., Ltd. | Conductive feature formation and structure |
US10672889B2 (en) | 2017-11-30 | 2020-06-02 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
DE102018128925B4 (de) | 2017-11-30 | 2024-04-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Verfahren zur Herstellung einer Halbleitervorrichtung und Halbleitervorrichtung |
US10861745B2 (en) | 2017-11-30 | 2020-12-08 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method of manufacture |
US10748774B2 (en) | 2017-11-30 | 2020-08-18 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
US10923595B2 (en) | 2017-11-30 | 2021-02-16 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device having a SiGe epitaxial layer containing Ga |
US10504899B2 (en) | 2017-11-30 | 2019-12-10 | Taiwan Semiconductor Manufacturing Co., Ltd. | Transistors with various threshold voltages and method for manufacturing the same |
US10756114B2 (en) | 2017-12-28 | 2020-08-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor circuit with metal structure and manufacturing method |
US10461171B2 (en) | 2018-01-12 | 2019-10-29 | Taiwan Semiconductor Manufacturing Co., Ltd. | Structure and formation method of semiconductor device with metal gate stacks |
US10658225B2 (en) | 2018-01-19 | 2020-05-19 | Taiwan Semiconductor Manufacturing Co., Ltd. | FinFET devices and methods of forming the same |
US10608094B2 (en) | 2018-01-23 | 2020-03-31 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method of forming the same |
US10651292B2 (en) | 2018-02-19 | 2020-05-12 | Taiwan Semiconductor Manufacturing Co., Ltd. | Dual metal via for contact resistance reduction |
US10867851B2 (en) | 2018-02-26 | 2020-12-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Contact structure and semiconductor device and method of forming the same |
US10522656B2 (en) | 2018-02-28 | 2019-12-31 | Taiwan Semiconductor Manufacturing Co., Ltd | Forming epitaxial structures in fin field effect transistors |
US10535748B2 (en) | 2018-03-01 | 2020-01-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of forming a contact with a silicide region |
US11031286B2 (en) | 2018-03-01 | 2021-06-08 | Taiwan Semiconductor Manufacturing Co., Ltd. | Conductive feature formation and structure |
US10475702B2 (en) | 2018-03-14 | 2019-11-12 | Taiwan Semiconductor Manufacturing Co., Ltd. | Conductive feature formation and structure using bottom-up filling deposition |
US10468409B2 (en) | 2018-03-14 | 2019-11-05 | Taiwan Semiconductor Manufacturing Co., Ltd. | FinFET device with oxidation-resist STI liner structure |
US10943822B2 (en) * | 2018-03-15 | 2021-03-09 | Taiwan Semiconductor Manufacturing Co., Ltd. | Forming gate line-end of semiconductor structures |
US10290535B1 (en) | 2018-03-22 | 2019-05-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Integrated circuit fabrication with a passivation agent |
US10867844B2 (en) | 2018-03-28 | 2020-12-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Wet cleaning with tunable metal recess for VIA plugs |
US10804140B2 (en) | 2018-03-29 | 2020-10-13 | Taiwan Semiconductor Manufacturing Co., Ltd. | Interconnect formation and structure |
US11056392B2 (en) | 2018-03-29 | 2021-07-06 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET devices having gate stacks with protruding parts and method of forming the same |
US10510776B2 (en) | 2018-03-29 | 2019-12-17 | Taiwan Semiconductor Manufacturing Company Ltd. | Semiconductor device with common active area and method for manufacturing the same |
US10763363B2 (en) | 2018-04-10 | 2020-09-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gradient doped region of recessed fin forming a FinFET device |
US10854715B2 (en) | 2018-04-13 | 2020-12-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Supportive layer in source/drains of FinFET devices |
US10522546B2 (en) | 2018-04-20 | 2019-12-31 | Taiwan Semiconductor Manufacturing Co., Ltd | FinFET devices with dummy fins having multiple dielectric layers |
US11270994B2 (en) | 2018-04-20 | 2022-03-08 | Taiwan Semiconductor Manufacturing Company, Ltd. | Gate structure, fin field-effect transistor, and method of manufacturing fin-field effect transistor |
US10522410B2 (en) * | 2018-04-20 | 2019-12-31 | Globalfoundries Inc. | Performing concurrent diffusion break, gate and source/drain contact cut etch processes |
US10854714B2 (en) | 2018-04-20 | 2020-12-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Germanium containing nanowires and methods for forming the same |
US10276676B1 (en) | 2018-04-27 | 2019-04-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Methods of forming metal gate isolation |
US10629492B2 (en) | 2018-04-27 | 2020-04-21 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gate structure having a dielectric gate and methods thereof |
US10276719B1 (en) | 2018-04-30 | 2019-04-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of manufacturing a semiconductor device and a semiconductor device |
US10867848B2 (en) | 2018-04-30 | 2020-12-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method |
US10699943B2 (en) | 2018-04-30 | 2020-06-30 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of forming contacts in a semiconductor device |
US11245005B2 (en) | 2018-05-14 | 2022-02-08 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for manufacturing semiconductor structure with extended contact structure |
US10522622B2 (en) | 2018-05-14 | 2019-12-31 | Taiwan Semiconductor Manufacturing Co., Ltd. | Multi-gate semiconductor device and method for forming the same |
US11398476B2 (en) | 2018-05-16 | 2022-07-26 | Taiwan Semiconductor Manufacturing Co., Ltd. | Structure and formation method of semiconductor device with hybrid fins |
US10756089B2 (en) | 2018-05-16 | 2020-08-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Hybrid semiconductor transistor structure and manufacturing method for the same |
US10685966B2 (en) | 2018-05-16 | 2020-06-16 | Taiwan Semiconductor Manufacturing Co., Ltd. | Integrated circuits with contacting gate structures |
US10636878B2 (en) | 2018-05-18 | 2020-04-28 | Taiwan Semiconductor Manufacturing Co., Ltd. | Steep sloped vertical tunnel field-effect transistor |
US10269655B1 (en) | 2018-05-30 | 2019-04-23 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method |
US10529860B2 (en) | 2018-05-31 | 2020-01-07 | Taiwan Semiconductor Manufacturing Co., Ltd. | Structure and method for FinFET device with contact over dielectric gate |
US10529414B2 (en) | 2018-05-31 | 2020-01-07 | Taiwan Semiconductor Manufacturing Co., Ltd. | SRAM cell having SiGe PMOS fin lines |
US10504775B1 (en) | 2018-05-31 | 2019-12-10 | Taiwan Semiconductor Manufacturing Co., Ltd. | Methods of forming metal layer structures in semiconductor devices |
US10825933B2 (en) | 2018-06-11 | 2020-11-03 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gate-all-around structure and manufacturing method for the same |
US10644125B2 (en) | 2018-06-14 | 2020-05-05 | Taiwan Semiconductor Manufacturing Co., Ltd. | Metal gates and manufacturing methods thereof |
US10522390B1 (en) | 2018-06-21 | 2019-12-31 | Taiwan Semiconductor Manufacturing Co., Ltd. | Shallow trench isolation for integrated circuits |
US10522662B1 (en) | 2018-06-22 | 2019-12-31 | Taiwan Semiconductor Manufacturing Co., Ltd. | FinFET device with T-shaped fin and method for forming the same |
US11107902B2 (en) | 2018-06-25 | 2021-08-31 | Taiwan Semiconductor Manufacturing Company, Ltd. | Dielectric spacer to prevent contacting shorting |
US11043556B2 (en) | 2018-06-26 | 2021-06-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Local epitaxy nanofilms for nanowire stack GAA device |
US10651314B2 (en) | 2018-06-26 | 2020-05-12 | Taiwan Semiconductor Manufacturing Co., Ltd. | Nanowire stack GAA device with inner spacer and methods for producing the same |
US10950434B2 (en) | 2018-06-27 | 2021-03-16 | Taiwan Semiconductor Manufacturing Co., Ltd. | Methods of reducing gate spacer loss during semiconductor manufacturing |
US10840153B2 (en) | 2018-06-27 | 2020-11-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | Notched gate structure fabrication |
US10790391B2 (en) | 2018-06-27 | 2020-09-29 | Taiwan Semiconductor Manufacturing Co., Ltd. | Source/drain epitaxial layer profile |
US10665673B2 (en) | 2018-06-28 | 2020-05-26 | Taiwan Semiconductor Manufacturing Co., Ltd. | Integrated circuit structure with non-gated well tap cell |
US11694933B2 (en) | 2018-06-28 | 2023-07-04 | Taiwan Semiconductor Manufacturing Co., Ltd. | Methods of forming metal gate spacer |
US11410890B2 (en) | 2018-06-28 | 2022-08-09 | Taiwan Semiconductor Manufacturing Co., Ltd. | Epitaxial layers in source/drain contacts and methods of forming the same |
US11011623B2 (en) | 2018-06-29 | 2021-05-18 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for increasing germanium concentration of FIN and resulting semiconductor device |
US11244898B2 (en) | 2018-06-29 | 2022-02-08 | Taiwan Semiconductor Manufacturing Co., Ltd | Integrated circuit interconnect structures with air gaps |
US11355339B2 (en) | 2018-06-29 | 2022-06-07 | Taiwan Semiconductor Manufacturing Company, Ltd. | Forming nitrogen-containing layers as oxidation blocking layers |
US11081403B2 (en) | 2018-06-29 | 2021-08-03 | Taiwan Semiconductor Manufacturing Co., Ltd. | Methods of forming contact features in field-effect transistors |
US10672899B2 (en) | 2018-06-29 | 2020-06-02 | Taiwan Semiconductor Manufacturing Co., Ltd. | Tunnel field-effect transistor with reduced trap-assisted tunneling leakage |
US11081356B2 (en) | 2018-06-29 | 2021-08-03 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for metal gate cut and structure thereof |
US10755917B2 (en) | 2018-06-29 | 2020-08-25 | Taiwan Semiconductor Manufacturing Company, Ltd. | Treatment for adhesion improvement |
US10868128B2 (en) | 2018-06-29 | 2020-12-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Ohmic contact structure, semiconductor device including an ohmic contact structure, and method for forming the same |
US11437497B2 (en) | 2018-06-29 | 2022-09-06 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method |
US11018053B2 (en) | 2018-06-29 | 2021-05-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor structure with material modification and low resistance plug |
US11031239B2 (en) | 2018-06-29 | 2021-06-08 | Taiwan Semiconductor Manufacturing Co., Ltd. | Germanium nanosheets and methods of forming the same |
US10840375B2 (en) * | 2018-06-29 | 2020-11-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | Integrated circuits with channel-strain liner |
US10468500B1 (en) | 2018-06-29 | 2019-11-05 | Taiwan Semiconductor Manufacturing Co., Ltd. | FinFET fabrication methods |
US11315933B2 (en) | 2018-06-29 | 2022-04-26 | Taiwan Semiconductor Manufacturing Co., Ltd. | SRAM structure and method for forming the same |
US11296225B2 (en) | 2018-06-29 | 2022-04-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET device and method of forming same |
US10861750B2 (en) | 2018-07-02 | 2020-12-08 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of manufacturing a semiconductor device and a semiconductor device |
US10872825B2 (en) | 2018-07-02 | 2020-12-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of manufacturing a semiconductor device and a semiconductor device |
US10998310B2 (en) | 2018-07-09 | 2021-05-04 | Taiwan Semiconductor Manufacturing Company Ltd. | Fins with wide base in a FINFET |
US11114566B2 (en) | 2018-07-12 | 2021-09-07 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method of manufacturing the same |
US10541175B1 (en) | 2018-07-13 | 2020-01-21 | Taiwan Semiconductor Manufacturing Co., Ltd. | Structure and formation method of semiconductor device with fin structures |
US11127631B2 (en) | 2018-07-13 | 2021-09-21 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device with contact structures |
US10854503B2 (en) | 2018-07-16 | 2020-12-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor structure with air gap and method sealing the air gap |
US11205700B2 (en) | 2018-07-16 | 2021-12-21 | Taiwan Semiconductor Manufacturing Co., Ltd. | Air gap spacer and related methods |
US10861969B2 (en) | 2018-07-16 | 2020-12-08 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of forming FinFET structure with reduced Fin buckling |
US11276695B2 (en) | 2018-07-16 | 2022-03-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Multi-gate device and related methods |
US10672870B2 (en) | 2018-07-16 | 2020-06-02 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
US10949597B2 (en) | 2018-07-16 | 2021-03-16 | Taiwan Semiconductor Manufacturing Co., Ltd. | Through-silicon vias in integrated circuit packaging |
US10755945B2 (en) | 2018-07-16 | 2020-08-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Metal contacts on metal gates and methods thereof |
US11271111B2 (en) | 2018-07-26 | 2022-03-08 | Taiwan Semiconductor Manufacturing Co., Ltd. | Source/drain structure with barrier in FinFET device and method for forming the same |
US10700180B2 (en) | 2018-07-27 | 2020-06-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor structure and manufacturing method thereof |
US10580685B2 (en) | 2018-07-27 | 2020-03-03 | Globalfoundries Inc. | Integrated single diffusion break |
US11171053B2 (en) | 2018-07-27 | 2021-11-09 | Taiwan Semiconductor Manufacturing Co., Ltd. | Transistor device and related methods |
US10707333B2 (en) | 2018-07-30 | 2020-07-07 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
US10854716B2 (en) | 2018-07-30 | 2020-12-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device with source/drain contact formed using bottom-up deposition |
US10535667B1 (en) | 2018-07-30 | 2020-01-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Memory array and semiconductor chip |
US11296236B2 (en) | 2018-07-30 | 2022-04-05 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
US10734474B2 (en) | 2018-07-30 | 2020-08-04 | Taiwan Semiconductor Manufacturing Co., Ltd. | Metal-insulator-metal structure and methods of fabrication thereof |
US10672879B2 (en) | 2018-07-30 | 2020-06-02 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for forming FinFET and gate-all-around FET with selective high-K oxide deposition |
US10840189B2 (en) | 2018-07-30 | 2020-11-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | Integrated circuit devices having raised via contacts and methods of fabricating the same |
US10784278B2 (en) | 2018-07-30 | 2020-09-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Memory device and manufacturing method thereof |
US10868182B2 (en) | 2018-07-31 | 2020-12-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Field effect transistor and manufacturing method thereof |
US10868184B2 (en) | 2018-07-31 | 2020-12-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Fin field effect transistor (FinFET) device structure with hard mask layer over gate structure and method for forming the same |
US10629490B2 (en) | 2018-07-31 | 2020-04-21 | Taiwan Semiconductor Manufacturing Co., Ltd. | Fin-type field-effect transistor device and method of fabricating the same |
US10658237B2 (en) | 2018-07-31 | 2020-05-19 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor devices |
US10879394B2 (en) | 2018-07-31 | 2020-12-29 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method of forming the same |
US11121129B2 (en) | 2018-07-31 | 2021-09-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device |
US11031300B2 (en) | 2018-07-31 | 2021-06-08 | Taiwan Semiconductor Manufacturing Company Ltd. | Semiconductor structure and method for manufacturing the same |
US10714342B2 (en) * | 2018-07-31 | 2020-07-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method of forming the same |
US11049775B2 (en) | 2018-07-31 | 2021-06-29 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device having FinFET with work function layers and method of manufacturing the same |
US11114303B2 (en) | 2018-07-31 | 2021-09-07 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gate all around device, method for manufacturing FinFET device, and method for manufacturing gate all around device |
US10790195B2 (en) | 2018-07-31 | 2020-09-29 | Taiwan Semiconductor Manufacturing Co., Ltd. | Elongated pattern and formation thereof |
US11038043B2 (en) | 2018-07-31 | 2021-06-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
US10679995B2 (en) | 2018-07-31 | 2020-06-09 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method |
US11227918B2 (en) | 2018-07-31 | 2022-01-18 | Taiwan Semiconductor Manufacturing Company, Ltd. | Melt anneal source and drain regions |
US11158727B2 (en) | 2018-07-31 | 2021-10-26 | Taiwan Semiconductor Manufacturing Co., Ltd. | Structure and method for gate-all-around device with extended channel |
US11217479B2 (en) | 2018-07-31 | 2022-01-04 | Taiwan Semiconductor Manufacturing Company, Ltd. | Multiple metallization scheme |
US11069692B2 (en) | 2018-07-31 | 2021-07-20 | Taiwan Semiconductor Manufacturing Co., Ltd. | FinFET SRAM cells with dielectric fins |
US11081395B2 (en) | 2018-07-31 | 2021-08-03 | Taiwan Semiconductor Manufacturing Company, Ltd. | Fin field effect transistor having air gap and method for manufacturing the same |
US11038059B2 (en) | 2018-07-31 | 2021-06-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method of forming the same |
US10886182B2 (en) | 2018-07-31 | 2021-01-05 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of manufacturing a semiconductor device and a semiconductor device |
US11158644B2 (en) | 2018-07-31 | 2021-10-26 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device with field effect transistors of differing gate dielectric thickness on the same substrate and method of manufacturing the same |
US11978802B2 (en) | 2018-07-31 | 2024-05-07 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET devices and methods of forming the same |
US11211293B2 (en) | 2018-07-31 | 2021-12-28 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET device and methods of forming the same |
KR102647231B1 (ko) | 2018-08-02 | 2024-03-13 | 삼성전자주식회사 | 반도체 소자 및 이의 제조방법 |
US10840342B2 (en) | 2018-08-14 | 2020-11-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | Methods of forming source/drain contacts in field-effect transistors |
US10741558B2 (en) | 2018-08-14 | 2020-08-11 | Taiwan Semiconductor Manufacturing Co., Ltd. | Nanosheet CMOS device and method of forming |
US10679856B2 (en) | 2018-08-14 | 2020-06-09 | Taiwan Semiconductor Manufacturing Co., Ltd. | Fin field effect transistor (FinFET) device structure with insulating structure over fin isolation structure and method for forming the same |
US11018226B2 (en) | 2018-08-14 | 2021-05-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
US11362001B2 (en) | 2018-08-14 | 2022-06-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for manufacturing nanostructures with various widths |
US10879393B2 (en) | 2018-08-14 | 2020-12-29 | Taiwan Semiconductor Manufacturing Co., Ltd. | Methods of fabricating semiconductor devices having gate structure with bent sidewalls |
US10797161B2 (en) | 2018-08-14 | 2020-10-06 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for manufacturing semiconductor structure using selective forming process |
US11031383B2 (en) | 2018-08-14 | 2021-06-08 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device |
US11211479B2 (en) | 2018-08-14 | 2021-12-28 | Taiwan Semiconductor Manufaciuring Co., Ltd. | Method of fabricating trimmed fin and fin structure |
US10693004B2 (en) | 2018-08-14 | 2020-06-23 | Taiwan Semiconductor Manufactruing Co., Ltd. | Via structure with low resistivity and method for forming the same |
US10763255B2 (en) | 2018-08-14 | 2020-09-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
US10720503B2 (en) | 2018-08-14 | 2020-07-21 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for manufacturing semiconductor device |
US11062963B2 (en) | 2018-08-15 | 2021-07-13 | Taiwan Semiconductor Manufacturing Co., Ltd. | Structure and process of integrated circuit having latch-up suppression |
US11037837B2 (en) | 2018-08-15 | 2021-06-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Epitaxial source/drain and methods of forming same |
DE102019120821A1 (de) | 2018-08-15 | 2020-02-20 | Taiwan Semiconductor Manufacturing Co., Ltd. | Struktur und prozess einer integrierten schaltung mit einer latch-up-unterdrückung |
US10522623B1 (en) | 2018-08-15 | 2019-12-31 | Taiwan Semiconductor Manufacturing Co., Ltd. | Germanium nitride layers on semiconductor structures, and methods for forming the same |
US11024550B2 (en) | 2018-08-16 | 2021-06-01 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method |
US11152491B2 (en) | 2018-08-23 | 2021-10-19 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for forming semiconductor device structure with inner spacer layer |
US11264380B2 (en) | 2018-08-27 | 2022-03-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method of manufacturing the same |
US11018011B2 (en) | 2018-08-29 | 2021-05-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Methods of forming contact features in semiconductor devices |
US10868020B2 (en) | 2018-08-29 | 2020-12-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Well strap structures and methods of forming the same |
US11043578B2 (en) | 2018-08-30 | 2021-06-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Nanowire stack GAA device with inner spacer |
US10930564B2 (en) | 2018-08-31 | 2021-02-23 | Taiwan Semiconductor Manufacturing Co., Ltd. | Metal gate structure cutting process |
US10868118B2 (en) | 2018-08-31 | 2020-12-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Methods of forming epitaxial source/drain features in semiconductor devices |
US11043425B2 (en) | 2018-08-31 | 2021-06-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Methods of reducing parasitic capacitance in semiconductor devices |
US11222951B2 (en) | 2018-08-31 | 2022-01-11 | Taiwan Semiconductor Manufacturing Co., Ltd. | Epitaxial source/drain structure and method |
US10867862B2 (en) | 2018-08-31 | 2020-12-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor method and device |
US10714395B2 (en) | 2018-09-18 | 2020-07-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Fin isolation structure for FinFET and method of forming the same |
US10886269B2 (en) | 2018-09-18 | 2021-01-05 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
US10861928B2 (en) | 2018-09-18 | 2020-12-08 | Taiwan Semiconductor Manufacturing Co., Ltd. | Integrated circuits with capacitors |
US11101385B2 (en) | 2018-09-19 | 2021-08-24 | Taiwan Semiconductor Manufacturing Co., Ltd. | Fin field effect transistor (FinFET) device structure with air gap and method for forming the same |
US11024721B2 (en) | 2018-09-20 | 2021-06-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
US11018012B2 (en) | 2018-09-21 | 2021-05-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Contact structures with deposited silicide layers |
US11437385B2 (en) | 2018-09-24 | 2022-09-06 | Taiwan Semiconductor Manufacturing Co., Ltd. | FinFET SRAM cells with reduced fin pitch |
US10923393B2 (en) | 2018-09-24 | 2021-02-16 | Taiwan Semiconductor Manufacturing Co., Ltd. | Contacts and interconnect structures in field-effect transistors |
US11245011B2 (en) | 2018-09-25 | 2022-02-08 | Taiwan Semiconductor Manufacturing Co., Ltd. | Vertical tunnel field-effect transistor with U-shaped gate and band aligner |
US10872891B2 (en) | 2018-09-25 | 2020-12-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Integrated circuits with gate cut features |
US11158545B2 (en) | 2018-09-25 | 2021-10-26 | Taiwan Semiconductor Manufacturing Co., Ltd. | Methods of forming isolation features in metal gates |
US11217585B2 (en) | 2018-09-25 | 2022-01-04 | Taiwan Semiconductor Manufacturing Co., Ltd. | Forming dielectric dummy fins with different heights in different regions of a semiconductor device |
US11626507B2 (en) | 2018-09-26 | 2023-04-11 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of manufacturing FinFETs having barrier layers with specified SiGe doping concentration |
US11038036B2 (en) | 2018-09-26 | 2021-06-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Separate epitaxy layers for nanowire stack GAA device |
US11563167B2 (en) | 2018-09-26 | 2023-01-24 | Taiwan Semiconductor Manufacturing Co., Ltd. | Structure and method for an MRAM device with a multi-layer top electrode |
US11508827B2 (en) | 2018-09-26 | 2022-11-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Air spacer for a gate structure of a transistor |
US10991630B2 (en) | 2018-09-27 | 2021-04-27 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method |
US10937691B2 (en) | 2018-09-27 | 2021-03-02 | Taiwan Semiconductor Manufacturing Company, Ltd. | Methods of forming an abrasive slurry and methods for chemical-mechanical polishing |
US10804162B2 (en) | 2018-09-27 | 2020-10-13 | Taiwan Semiconductor Manufacturing Co., Ltd. | Dual channel gate all around transistor device and fabrication methods thereof |
US10840133B2 (en) | 2018-09-27 | 2020-11-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor structure with staggered selective growth |
US11374126B2 (en) | 2018-09-27 | 2022-06-28 | Taiwan Semiconductor Manufacturing Co., Ltd. | FinFET structure with fin top hard mask and method of forming the same |
US11004740B2 (en) | 2018-09-27 | 2021-05-11 | Taiwan Semicondctor Manufacturing Co., Ltd. | Structure and method for interconnection with self-alignment |
US11121025B2 (en) | 2018-09-27 | 2021-09-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | Layer for side wall passivation |
US11411090B2 (en) | 2018-09-27 | 2022-08-09 | Taiwan Semiconductor Manufacturing Co., Ltd. | Contact structures for gate-all-around devices and methods of forming the same |
US10840152B2 (en) | 2018-09-27 | 2020-11-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
US10879355B2 (en) | 2018-09-27 | 2020-12-29 | Taiwan Semiconductor Manufacturing Company, Ltd. | Profile design for improved device performance |
US10854506B2 (en) | 2018-09-27 | 2020-12-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
US10964816B2 (en) | 2018-09-27 | 2021-03-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method and device for boosting performance of FinFETs via strained spacer |
US11349008B2 (en) | 2018-09-27 | 2022-05-31 | Taiwan Semiconductor Manufacturing Co., Ltd. | Negative capacitance transistor having a multilayer ferroelectric structure or a ferroelectric layer with a gradient doping profile |
US11011636B2 (en) | 2018-09-27 | 2021-05-18 | Taiwan Semiconductor Manufacturing Co., Ltd. | Fin field effect transistor (FinFET) device structure with hard mask layer over gate structure and method for forming the same |
US11171209B2 (en) | 2018-09-27 | 2021-11-09 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method of manufacture |
US11450571B2 (en) | 2018-09-27 | 2022-09-20 | Taiwan Semiconductor Manufacturing Company Ltd. | Method for manufacturing semiconductor structure |
US11024729B2 (en) | 2018-09-27 | 2021-06-01 | Taiwan Semiconductor Manufacturing Co., Ltd | Method for manufacturing semiconductor device |
US10923659B2 (en) | 2018-09-27 | 2021-02-16 | Taiwan Semiconductor Manufacturing Co., Ltd. | Wafers for use in aligning nanotubes and methods of making and using the same |
US10636702B2 (en) | 2018-09-27 | 2020-04-28 | Taiwan Semiconductor Manufacturing Company, Ltd. | Conductive interconnect structures in integrated circuits |
US11094826B2 (en) | 2018-09-27 | 2021-08-17 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET device and method of forming same |
US11031397B2 (en) | 2018-09-27 | 2021-06-08 | Taiwan Semiconductor Manufacturing Co., Ltd. | Multi-gate device integration with separated Fin-like field effect transistor cells and gate-all-around transistor cells |
DE102019101555B4 (de) | 2018-09-28 | 2023-01-12 | Taiwan Semiconductor Manufacturing Company, Ltd. | Metall-gate-schneideform |
US11069812B2 (en) | 2018-09-28 | 2021-07-20 | Taiwan Semiconductor Manufacturing Company, Ltd. | Fin field-effect transistor device and method of forming the same |
US11289583B2 (en) | 2018-09-28 | 2022-03-29 | Taiwan Semiconductor Manufacturing Co., Ltd. | High aspect ratio gate structure formation |
US10680075B2 (en) | 2018-09-28 | 2020-06-09 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device including source/drain epitaxial layer having facets and manufacturing method thereof |
US11257671B2 (en) | 2018-09-28 | 2022-02-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method and system of control of epitaxial growth |
US10672665B2 (en) | 2018-09-28 | 2020-06-02 | Taiwan Semiconductor Manufacturing Co., Ltd. | Fin field effect transistor device structure and method for forming the same |
US10923474B2 (en) | 2018-09-28 | 2021-02-16 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor structure having gate-all-around devices |
US11107925B2 (en) | 2018-09-28 | 2021-08-31 | Taiwan Semiconductor Manufacturing Co., Ltd. | Methods of forming contact features in field-effect transistors |
US11264237B2 (en) | 2018-09-28 | 2022-03-01 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of epitaxy and semiconductor device |
US10867861B2 (en) | 2018-09-28 | 2020-12-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Fin field-effect transistor device and method of forming the same |
US11024549B2 (en) | 2018-09-28 | 2021-06-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
US10916477B2 (en) * | 2018-09-28 | 2021-02-09 | Taiwan Semiconductor Manufacturing Company, Ltd. | Fin field-effect transistor devices and methods of forming the same |
US11222958B2 (en) | 2018-09-28 | 2022-01-11 | Taiwan Semiconductor Manufacturing Co., Ltd. | Negative capacitance transistor with external ferroelectric structure |
US10790184B2 (en) | 2018-09-28 | 2020-09-29 | Taiwan Semiconductor Manufacturing Co., Ltd. | Isolation with multi-step structure for FinFET device and method of forming the same |
US10872805B2 (en) | 2018-09-28 | 2020-12-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
US10910375B2 (en) | 2018-09-28 | 2021-02-02 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method of fabrication thereof |
US11094597B2 (en) | 2018-09-28 | 2021-08-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | Structure and formation method of semiconductor device with fin structures |
US10950725B2 (en) | 2018-09-28 | 2021-03-16 | Taiwan Semiconductor Manufacturing Company, Ltd. | Epitaxial source/drain structure and method of forming same |
US11205714B2 (en) | 2018-09-28 | 2021-12-21 | Taiwan Semiconductor Manufacturing Co., Ltd. | Dummy structure at fin cut |
US10763863B2 (en) | 2018-09-28 | 2020-09-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device for logic and memory co-optimization |
US11088262B2 (en) | 2018-09-28 | 2021-08-10 | Taiwan Semiconductor Manufacturing Co., Ltd. | Radical etching in gate formation |
DE102019117897B4 (de) | 2018-09-28 | 2024-03-21 | Taiwan Semiconductor Manufacturing Co., Ltd. | Halbleitervorrichtung zur logik- und speicher-co-optimierung sowie schaltung |
US11121036B2 (en) | 2018-10-16 | 2021-09-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Multi-gate device and related methods |
US11069579B2 (en) | 2018-10-19 | 2021-07-20 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method |
US10825721B2 (en) | 2018-10-23 | 2020-11-03 | Taiwan Semiconductor Manufacturing Co., Ltd. | Insulating cap on contact structure and method for forming the same |
US10847373B2 (en) | 2018-10-23 | 2020-11-24 | Taiwan Semiconductor Manufacturing Co., Ltd. | Methods of forming silicide contact in field-effect transistors |
US11380682B2 (en) | 2018-10-23 | 2022-07-05 | Taiwan Semiconductor Manufacturing Co., Ltd. | Integrated circuits with FinFET gate structures |
US11107904B2 (en) | 2018-10-23 | 2021-08-31 | Taiwan Semiconductor Manufacturing Co., Ltd. | Inner spacer formation in multi-gate transistors |
US10872906B2 (en) | 2018-10-25 | 2020-12-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
US10868018B2 (en) | 2018-10-25 | 2020-12-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | SRAM structure and connection |
US10840251B2 (en) | 2018-10-25 | 2020-11-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | Memory device and manufacturing method |
US11133222B2 (en) | 2018-10-26 | 2021-09-28 | Taiwan Semiconductor Manufacturing Company Ltd. | Method for manufacturing semiconductor structure |
US10937876B2 (en) | 2018-10-26 | 2021-03-02 | Taiwan Semiconductor Manufacturing Co., Ltd. | Source/drain feature to contact interfaces |
US10950729B2 (en) | 2018-10-26 | 2021-03-16 | Taiwan Semiconductor Manufacturing Co., Ltd. | Contact structure with insulating cap |
US10833167B2 (en) | 2018-10-26 | 2020-11-10 | Taiwan Semiconductor Manufacturing Co., Ltd. | Fin field effect transistor (finFET) device structure and method for forming the same |
US10985022B2 (en) | 2018-10-26 | 2021-04-20 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gate structures having interfacial layers |
US10847426B2 (en) | 2018-10-28 | 2020-11-24 | Taiwan Semicondutor Manufacturing Company, Ltd. | FinFET devices and methods of forming the same |
US10943983B2 (en) | 2018-10-29 | 2021-03-09 | Taiwan Semiconductor Manufacturing Co., Ltd. | Integrated circuits having protruding interconnect conductors |
US10868114B2 (en) | 2018-10-30 | 2020-12-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Isolation structures of semiconductor devices |
US11145544B2 (en) | 2018-10-30 | 2021-10-12 | Taiwan Semiconductor Manufacturing Co., Ltd. | Contact etchback in room temperature ionic liquid |
US10916550B2 (en) | 2018-10-30 | 2021-02-09 | Taiwan Semiconductor Manufacturing Co., Ltd. | Memory devices with gate all around transistors |
US10811255B2 (en) | 2018-10-30 | 2020-10-20 | Taiwan Semiconductor Manufacturing Company, Ltd. | Methods of forming semiconductor devices |
US10727134B2 (en) | 2018-10-30 | 2020-07-28 | Taiwan Semiconductor Manufacturing Co., Ltd. | Methods of fabricating semiconductor devices with gate-all-around structure |
US10944009B2 (en) | 2018-10-31 | 2021-03-09 | Taiwan Semiconductor Manufacturing Co., Ltd. | Methods of fabricating a FinFET device with wrap-around silicide source/drain structure |
US11069791B2 (en) | 2018-10-31 | 2021-07-20 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of manufacturing semiconductor devices and semiconductor devices |
US10867842B2 (en) | 2018-10-31 | 2020-12-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for shrinking openings in forming integrated circuits |
US10957604B2 (en) | 2018-10-31 | 2021-03-23 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method |
US11087987B2 (en) | 2018-10-31 | 2021-08-10 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method |
US10998238B2 (en) | 2018-10-31 | 2021-05-04 | Taiwan Semiconductor Manufacturing Co., Ltd. | Integrated circuits with buried interconnect conductors |
US10950730B2 (en) | 2018-10-31 | 2021-03-16 | Taiwan Semiconductor Manufacturing Co., Ltd. | Merged source/drain features |
US10868183B2 (en) | 2018-10-31 | 2020-12-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET device and methods of forming the same |
US11043558B2 (en) | 2018-10-31 | 2021-06-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Source/drain metal contact and formation thereof |
US11335604B2 (en) | 2018-10-31 | 2022-05-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of manufacturing a semiconductor device and a semiconductor device |
US10971408B2 (en) | 2018-10-31 | 2021-04-06 | Taiwan Semiconductor Manufacturing Co., Ltd. | Contact air gap formation and structures thereof |
US11217486B2 (en) | 2018-10-31 | 2022-01-04 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method |
US10943818B2 (en) | 2018-10-31 | 2021-03-09 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method |
US10872826B2 (en) * | 2018-10-31 | 2020-12-22 | Taiwan Semiconductor Manufacturing Company, Ltd. | Fin field-effect transistor device and method |
US11038029B2 (en) | 2018-11-08 | 2021-06-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device structure and method for forming the same |
EP3651189A1 (en) * | 2018-11-08 | 2020-05-13 | IMEC vzw | A method for producing a gate cut structure on an array of semiconductor fins |
US11296077B2 (en) | 2018-11-19 | 2022-04-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | Transistors with recessed silicon cap and method forming same |
US10868185B2 (en) | 2018-11-27 | 2020-12-15 | Taiwan Semiconductor Manufacturing Company Ltd. | Semiconductor structure and method of forming the same |
US10923598B2 (en) | 2018-11-27 | 2021-02-16 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gate-all-around structure and methods of forming the same |
US11195951B2 (en) | 2018-11-27 | 2021-12-07 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device with self-aligned wavy contact profile and method of forming the same |
US11257928B2 (en) | 2018-11-27 | 2022-02-22 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for epitaxial growth and device |
US11476196B2 (en) | 2018-11-27 | 2022-10-18 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device with multi-layer dielectric |
US11276832B2 (en) | 2018-11-28 | 2022-03-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor structure with diffusion break and method |
US11031291B2 (en) | 2018-11-28 | 2021-06-08 | Taiwan Semiconductor Manufacturing Company Ltd. | Semiconductor structure and method of forming the same |
US11101360B2 (en) | 2018-11-29 | 2021-08-24 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of manufacturing a semiconductor device and a semiconductor device |
US11264268B2 (en) | 2018-11-29 | 2022-03-01 | Taiwan Semiconductor Mtaiwananufacturing Co., Ltd. | FinFET circuit devices with well isolation |
US11271094B2 (en) | 2018-11-29 | 2022-03-08 | Taiwan Semiconductor Manufacturing Company Ltd. | Semiconductor structure and method of manufacturing the same |
US11107690B2 (en) | 2018-11-30 | 2021-08-31 | Taiwan Semiconductor Manufacturing Company, Ltd. | Fin field-effect transistor device and method of forming the same |
DE102019115490B4 (de) | 2018-11-30 | 2022-10-20 | Taiwan Semiconductor Manufacturing Co. Ltd. | Halbleiter-bauelement und verfahren zu dessen herstellung |
US11164944B2 (en) | 2018-11-30 | 2021-11-02 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of manufacturing a semiconductor device |
US11387362B2 (en) | 2018-11-30 | 2022-07-12 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
US10879400B2 (en) | 2018-12-24 | 2020-12-29 | Taiwan Semiconductor Manufacturing Company, Ltd. | Field effect transistor and method of manufacturing the same |
US10868000B2 (en) | 2019-01-25 | 2020-12-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device structure with epitaxial structure and method for forming the same |
US10720431B1 (en) | 2019-01-25 | 2020-07-21 | Taiwan Semiconductor Manufacturing Co., Ltd. | Methods of fabricating semiconductor devices having gate-all-around structure with oxygen blocking layers |
US11088150B2 (en) | 2019-01-28 | 2021-08-10 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
US11685015B2 (en) | 2019-01-28 | 2023-06-27 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method and system for performing chemical mechanical polishing |
US11211381B2 (en) | 2019-01-29 | 2021-12-28 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device structure and method for forming the same |
US10777455B2 (en) | 2019-01-29 | 2020-09-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Multi-etching process for forming via opening in semiconductor device structure |
US10825918B2 (en) | 2019-01-29 | 2020-11-03 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device structure and method for forming the same |
US11164866B2 (en) | 2019-02-20 | 2021-11-02 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor structure and method for manufacturing the same |
US10825919B2 (en) | 2019-02-21 | 2020-11-03 | Taiwan Semiconductor Manufacturing Co., Ltd. | Methods of fabricating semiconductor devices having gate-all-around structure with inner spacer last process |
US11823896B2 (en) | 2019-02-22 | 2023-11-21 | Taiwan Semiconductor Manufacturing Co., Ltd. | Conductive structure formed by cyclic chemical vapor deposition |
US10868171B2 (en) | 2019-02-26 | 2020-12-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device structure with gate dielectric layer and method for forming the same |
US11217526B2 (en) | 2019-02-28 | 2022-01-04 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device with source resistor and manufacturing method thereof |
US10535524B1 (en) | 2019-03-11 | 2020-01-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | Tuning threshold voltage through meta stable plasma treatment |
US11164796B2 (en) | 2019-03-14 | 2021-11-02 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for forming semiconductor device structure |
US10872810B2 (en) | 2019-03-14 | 2020-12-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Fin field effect transistor device structure and method for forming the same |
US10867867B2 (en) | 2019-03-14 | 2020-12-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Methods of fabricating semiconductor devices with mixed threshold voltages boundary isolation of multiple gates and structures formed thereby |
US11469109B2 (en) | 2019-03-14 | 2022-10-11 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor structure having metal contact features and method for forming the same |
US10978354B2 (en) | 2019-03-15 | 2021-04-13 | Taiwan Semiconductor Manufacturing Co., Ltd. | Selective dual silicide formation |
US11043594B2 (en) | 2019-03-26 | 2021-06-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Low parasitic resistance contact structure |
US11201060B2 (en) | 2019-04-17 | 2021-12-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Structure and formation method of semiconductor device with metal gate stack |
US11101353B2 (en) | 2019-04-17 | 2021-08-24 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method of manufacture |
US10971630B2 (en) | 2019-04-24 | 2021-04-06 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor structure having both gate-all-around devices and planar devices |
US11121234B2 (en) | 2019-04-24 | 2021-09-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Stacked gate spacers |
US11232943B2 (en) | 2019-04-24 | 2022-01-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method and structure for semiconductor interconnect |
US11031336B2 (en) | 2019-04-25 | 2021-06-08 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor memory device having contact element of rectangular shape |
US11038058B2 (en) | 2019-04-26 | 2021-06-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device structure and method for forming the same |
US11239339B2 (en) | 2019-04-29 | 2022-02-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gate structure and method |
US11088255B2 (en) | 2019-05-17 | 2021-08-10 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor devices |
US11069784B2 (en) * | 2019-05-17 | 2021-07-20 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method of manufacture |
US11094695B2 (en) * | 2019-05-17 | 2021-08-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | Integrated circuit device and method of forming the same |
US11088034B2 (en) | 2019-05-22 | 2021-08-10 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gate structures for semiconductor devices |
US11183574B2 (en) * | 2019-05-24 | 2021-11-23 | Taiwan Semiconductor Manufacturing Co., Ltd. | Work function layers for transistor gate electrodes |
US10707325B1 (en) | 2019-05-29 | 2020-07-07 | International Business Machines Corporation | Fin field effect transistor devices with robust gate isolation |
US11183580B2 (en) | 2019-05-30 | 2021-11-23 | Taiwan Semiconductor Manufacturing Co., Ltd. | Structure and formation method of semiconductor device with metal gate stack |
US10818768B1 (en) | 2019-05-30 | 2020-10-27 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for forming metal cap layers to improve performance of semiconductor structure |
US10879379B2 (en) | 2019-05-30 | 2020-12-29 | Taiwan Semiconductor Manufacturing Co., Ltd. | Multi-gate device and related methods |
US11069578B2 (en) | 2019-05-31 | 2021-07-20 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of manufacturing a semiconductor device |
US10755964B1 (en) | 2019-05-31 | 2020-08-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Source/drain isolation structure and methods thereof |
US11342229B2 (en) | 2019-06-13 | 2022-05-24 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for forming a semiconductor device structure having an electrical connection structure |
US11107923B2 (en) | 2019-06-14 | 2021-08-31 | Taiwan Semiconductor Manufacturing Company, Ltd. | Source/drain regions of FinFET devices and methods of forming same |
US11056573B2 (en) | 2019-06-14 | 2021-07-06 | Taiwan Semiconductor Manufacturing Company, Ltd. | Implantation and annealing for semiconductor device |
US11004725B2 (en) | 2019-06-14 | 2021-05-11 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of forming a FinFET device with gaps in the source/drain region |
US11043595B2 (en) | 2019-06-14 | 2021-06-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Cut metal gate in memory macro edge and middle strap |
US10868174B1 (en) | 2019-06-14 | 2020-12-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Devices with strained isolation features |
US10971402B2 (en) | 2019-06-17 | 2021-04-06 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device including interface layer and method of fabricating thereof |
US11682665B2 (en) | 2019-06-19 | 2023-06-20 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor layout with different row heights |
US11264284B2 (en) | 2019-06-20 | 2022-03-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method of fabricating the same |
US10872821B1 (en) | 2019-06-24 | 2020-12-22 | Taiwan Semiconductor Manufacturing Company Ltd. | Semiconductor structure and manufacturing method thereof |
US11245071B2 (en) | 2019-06-25 | 2022-02-08 | Taiwan Semiconductor Manufacturing Company, Ltd. | Memory cell, method of forming the same, and semiconductor device having the same |
US11244871B2 (en) | 2019-06-27 | 2022-02-08 | Taiwan Semiconductor Manufacturing Co., Ltd. | Methods of fabricating semiconductor devices for tightening spacing between nanosheets in GAA structures and structures formed thereby |
US11373870B2 (en) | 2019-06-27 | 2022-06-28 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for manufacturing semiconductor device including performing thermal treatment on germanium layer |
US10879469B1 (en) | 2019-06-28 | 2020-12-29 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of manufacturing a field effect transistor using nanotube structures and a field effect transistor |
US11515197B2 (en) | 2019-07-11 | 2022-11-29 | Taiwan Semiconductor Manufacturing Company Ltd. | Semiconductor device and method of forming the semiconductor device |
US11152486B2 (en) | 2019-07-15 | 2021-10-19 | Taiwan Semiconductor Manufacturing Co., Ltd. | FinFET semiconductor device having source/drain contact(s) separated by airgap spacer(s) from the gate stack(s) to reduce parasitic capacitance |
US11133223B2 (en) | 2019-07-16 | 2021-09-28 | Taiwan Semiconductor Manufacturing Co., Ltd. | Selective epitaxy |
US11049774B2 (en) | 2019-07-18 | 2021-06-29 | Taiwan Semiconductor Manufacturing Company, Ltd. | Hybrid source drain regions formed based on same Fin and methods forming same |
US11476166B2 (en) | 2019-07-30 | 2022-10-18 | Taiwan Semiconductor Manufacturing Co., Ltd. | Nano-sheet-based complementary metal-oxide-semiconductor devices with asymmetric inner spacers |
US11532550B2 (en) | 2019-07-31 | 2022-12-20 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device structure having a multi-layer conductive feature and method making the same |
US11348839B2 (en) | 2019-07-31 | 2022-05-31 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of manufacturing semiconductor devices with multiple silicide regions |
US11145660B2 (en) | 2019-07-31 | 2021-10-12 | Taiwan Semiconductor Manufacturing Co., Ltd. | Dual-port SRAM cell structure |
US11411112B2 (en) | 2019-07-31 | 2022-08-09 | Taiwan Semiconductor Manufacturing Company, Ltd. | Gate structure, method of forming the same, and semiconductor device having the same |
US11342225B2 (en) | 2019-07-31 | 2022-05-24 | Taiwan Semiconductor Manufacturing Company, Ltd. | Barrier-free approach for forming contact plugs |
US11335817B2 (en) | 2019-08-15 | 2022-05-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | Composite etch stop layers for sensor devices |
US10985266B2 (en) | 2019-08-20 | 2021-04-20 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of gap filling for semiconductor device |
US11094788B2 (en) | 2019-08-21 | 2021-08-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
US11152488B2 (en) | 2019-08-21 | 2021-10-19 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gate-all-around structure with dummy pattern top in channel region and methods of forming the same |
US10985265B2 (en) | 2019-08-22 | 2021-04-20 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for forming semiconductor device structure |
US11114345B2 (en) | 2019-08-22 | 2021-09-07 | Taiwan Semiconductor Manufacturing Co., Ltd. | IC including standard cells and SRAM cells |
US11456368B2 (en) | 2019-08-22 | 2022-09-27 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device structure with hard mask layer over fin structure and method for forming the same |
US20210057273A1 (en) | 2019-08-22 | 2021-02-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Barrier-Less Structures |
US11127639B2 (en) | 2019-08-22 | 2021-09-21 | Taiwan Semiconductor Manufacturing Co., Ltd. | Structure and formation method of semiconductor device with fin structures |
US11069811B2 (en) | 2019-08-22 | 2021-07-20 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device structure and method for forming the same |
US11245029B2 (en) | 2019-08-22 | 2022-02-08 | Taiwan Semiconductor Manufacturing Co., Ltd. | Structure and formation method of semiconductor device with metal gate stack |
US11189531B2 (en) | 2019-08-23 | 2021-11-30 | Taiwan Semiconductor Manufacturing Company, Ltd. | Fin field-effect transistor device and method |
US11133416B2 (en) | 2019-08-23 | 2021-09-28 | Taiwan Semiconductor Manufacturing Company, Ltd. | Methods of forming semiconductor devices having plural epitaxial layers |
US11101180B2 (en) | 2019-08-23 | 2021-08-24 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method of manufacture |
US10978344B2 (en) | 2019-08-23 | 2021-04-13 | Taiwan Semiconductor Manufacturing Company, Ltd. | Melting laser anneal of epitaxy regions |
US11189727B2 (en) | 2019-08-23 | 2021-11-30 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET contacts and method forming same |
US11011372B2 (en) | 2019-08-23 | 2021-05-18 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor devices and methods of manufacture |
US11515199B2 (en) | 2019-08-26 | 2022-11-29 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor structures including standard cells and tap cells |
US11133386B2 (en) | 2019-08-27 | 2021-09-28 | Taiwan Semiconductor Manufacturing Co., Ltd. | Multi-layer fin structure |
US11710667B2 (en) | 2019-08-27 | 2023-07-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gate-all-around device with trimmed channel and dipoled dielectric layer and methods of forming the same |
US11315925B2 (en) | 2019-08-28 | 2022-04-26 | Taiwan Semiconductor Manufacturing Co., Ltd. | Uniform gate width for nanostructure devices |
US11315936B2 (en) | 2019-08-29 | 2022-04-26 | Taiwan Semiconductor Manufacturing Company, Ltd. | Memory device and manufacturing method thereof |
US11195934B2 (en) | 2019-08-29 | 2021-12-07 | Taiwan Semiconductor Manufacturing Co., Ltd. | Structure and method for bi-layer self-aligned contact |
US11239368B2 (en) | 2019-08-30 | 2022-02-01 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method |
US11355363B2 (en) | 2019-08-30 | 2022-06-07 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor devices and methods of manufacturing |
US11282942B2 (en) | 2019-08-30 | 2022-03-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device structure with uniform threshold voltage distribution and method of forming the same |
US11367782B2 (en) | 2019-08-30 | 2022-06-21 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor manufacturing |
US11456383B2 (en) | 2019-08-30 | 2022-09-27 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device having a contact plug with an air gap spacer |
US11158721B2 (en) | 2019-08-30 | 2021-10-26 | Taiwan Semiconductor Manufacturing Co., Ltd. | Metal oxide interlayer structure for nFET and pFET |
US11462614B2 (en) | 2019-08-30 | 2022-10-04 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor devices and methods of manufacturing |
US11489063B2 (en) | 2019-08-30 | 2022-11-01 | Taiwan Semiconductor Manufacturing Co., Ltd | Method of manufacturing a source/drain feature in a multi-gate semiconductor structure |
DE102020114875B4 (de) | 2019-08-30 | 2024-02-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Finfet-vorrichtung und verfahren |
US11201243B2 (en) | 2019-09-03 | 2021-12-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Nanowire stack GAA device and methods for producing the same |
US11545573B2 (en) | 2019-09-10 | 2023-01-03 | Taiwan Semiconductor Manufacturing Co., Ltd. | Hybrid nanostructure and fin structure device |
US11282920B2 (en) | 2019-09-16 | 2022-03-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device with air gap on gate structure and method for forming the same |
US10937884B1 (en) | 2019-09-16 | 2021-03-02 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gate spacer with air gap for semiconductor device structure and method for forming the same |
US10867863B1 (en) | 2019-09-16 | 2020-12-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device structure and method for forming the same |
US11227950B2 (en) | 2019-09-16 | 2022-01-18 | Taiwan Semiconductor Manufacturing Co., Ltd. | Methods of forming air spacers in semiconductor devices |
US11302818B2 (en) | 2019-09-16 | 2022-04-12 | Taiwan Semiconductor Manufacturing Company, Ltd. | Gate resistance reduction through low-resistivity conductive layer |
US11107836B2 (en) | 2019-09-16 | 2021-08-31 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device structure and method for forming the same |
US11227828B2 (en) | 2019-09-16 | 2022-01-18 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and manufacturing method thereof |
US11430891B2 (en) | 2019-09-16 | 2022-08-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gate all around structure with additional silicon layer and method for forming the same |
US10937652B1 (en) | 2019-09-16 | 2021-03-02 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method and structure of cut end with self-aligned double patterning |
US11239114B2 (en) | 2019-09-16 | 2022-02-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device with reduced contact resistance and methods of forming the same |
US11342231B2 (en) | 2019-09-17 | 2022-05-24 | Taiwan Semiconductor Manufacturing Co., Ltd. | Integrated circuit device with low threshold voltage |
US11094821B2 (en) | 2019-09-17 | 2021-08-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | Transistor structure and method with strain effect |
US11315785B2 (en) | 2019-09-17 | 2022-04-26 | Taiwan Semiconductor Manufacturing Co., Ltd. | Epitaxial blocking layer for multi-gate devices and fabrication methods thereof |
US11088249B2 (en) | 2019-09-17 | 2021-08-10 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device with implant and method of manufacturing same |
US11362212B2 (en) | 2019-09-17 | 2022-06-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | Contact interface engineering for reducing contact resistance |
US11114547B2 (en) | 2019-09-17 | 2021-09-07 | Taiwan Semiconductor Manufacturing Co., Ltd. | Field effect transistor with negative capacitance dieletric structures |
US11335592B2 (en) | 2019-09-17 | 2022-05-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | Contact resistance between via and conductive line |
US11469139B2 (en) | 2019-09-20 | 2022-10-11 | Taiwan Semiconductor Manufacturing Company, Ltd. | Bottom-up formation of contact plugs |
US11646311B2 (en) | 2019-09-23 | 2023-05-09 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method of fabricating the same |
US11164868B2 (en) | 2019-09-24 | 2021-11-02 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device |
US11282935B2 (en) | 2019-09-26 | 2022-03-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gate-all-around device with protective dielectric layer and method of forming the same |
US11387146B2 (en) | 2019-09-26 | 2022-07-12 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device with air gaps between metal gates and method of forming the same |
US11342222B2 (en) | 2019-09-26 | 2022-05-24 | Taiwan Semiconductor Manufacturing Co., Ltd. | Self-aligned scheme for semiconductor device and method of forming the same |
US11239121B2 (en) | 2019-09-26 | 2022-02-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Metal gate contacts and methods of forming the same |
US11508624B2 (en) | 2019-09-26 | 2022-11-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gate-all-around device with different channel semiconductor materials and method of forming the same |
US11282748B2 (en) | 2019-09-26 | 2022-03-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method of forming the same |
US11621224B2 (en) | 2019-09-26 | 2023-04-04 | Taiwan Semiconductor Manufacturing Co. Ltd. | Contact features and methods of fabricating the same in semiconductor devices |
US11670551B2 (en) | 2019-09-26 | 2023-06-06 | Taiwan Semiconductor Manufacturing Co., Ltd. | Interface trap charge density reduction |
US11322409B2 (en) | 2019-09-26 | 2022-05-03 | Taiwan Semiconductor Manufacturing Company, Ltd. | Multi-gate devices and method of fabricating the same |
US11145765B2 (en) | 2019-09-26 | 2021-10-12 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gate-all-around structure with self substrate isolation and methods of forming the same |
US11482610B2 (en) | 2019-09-26 | 2022-10-25 | Taiwan Semiconductor Manufacturing Co. | Method of forming a gate structure |
US11211116B2 (en) | 2019-09-27 | 2021-12-28 | Taiwan Semiconductor Manufacturing Co., Ltd. | Embedded SRAM write assist circuit |
US11581226B2 (en) | 2019-09-27 | 2023-02-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device with tunable epitaxy structures and method of forming the same |
US11222948B2 (en) | 2019-09-27 | 2022-01-11 | Taiwan Semiconductor Manufacturing Company Ltd. | Semiconductor structure and method of fabricating the semiconductor structure |
US11328990B2 (en) | 2019-09-27 | 2022-05-10 | Taiwan Semiconductor Manufacturing Co., Ltd. | Via structure having a metal hump for low interface resistance |
CN112582347A (zh) | 2019-09-27 | 2021-03-30 | 台湾积体电路制造股份有限公司 | 半导体装置的形成方法 |
US11271083B2 (en) | 2019-09-27 | 2022-03-08 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device, FinFET device and methods of forming the same |
US11587927B2 (en) | 2019-09-27 | 2023-02-21 | Taiwan Semiconductor Manufacturing Co., Ltd. | Crown bulk for FinFET device |
US11443980B2 (en) | 2019-09-27 | 2022-09-13 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of fabricating semiconductor device with metal pad extending into top metal layer |
US11121037B2 (en) | 2019-09-27 | 2021-09-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device structure and method for forming the same |
US11728405B2 (en) | 2019-09-28 | 2023-08-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Stress-inducing silicon liner in semiconductor devices |
US11031292B2 (en) | 2019-09-29 | 2021-06-08 | Taiwan Semiconductor Manufacturing Co., Ltd. | Multi-gate device and related methods |
US11296084B2 (en) | 2019-09-29 | 2022-04-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | Deposition method, semiconductor device and method of fabricating the same |
US11094796B2 (en) | 2019-09-30 | 2021-08-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | Transistor spacer structures |
US11374104B2 (en) | 2019-09-30 | 2022-06-28 | Taiwan Semiconductor Manufacturing Co., Ltd. | Methods of reducing capacitance in field-effect transistors |
US11417653B2 (en) | 2019-09-30 | 2022-08-16 | Taiwan Semiconductor Manufacturing Company Ltd. | Semiconductor structure and method for forming the same |
US11289417B2 (en) | 2019-09-30 | 2022-03-29 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and methods of forming the same |
US11264393B2 (en) | 2019-09-30 | 2022-03-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Source/drain contact having a protruding segment |
US11127832B2 (en) | 2019-10-01 | 2021-09-21 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor structure and method for forming the same |
US10937704B1 (en) | 2019-10-01 | 2021-03-02 | Taiwan Semiconductor Manufacturing Co., Ltd. | Mixed workfunction metal for nanosheet device |
US11088251B2 (en) | 2019-10-01 | 2021-08-10 | Taiwan Semiconductor Manufacturing Company, Ltd. | Source/drain contacts for semiconductor devices and methods of forming |
US11158539B2 (en) | 2019-10-01 | 2021-10-26 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method and structure for barrier-less plug |
US11296227B2 (en) | 2019-10-16 | 2022-04-05 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of manufacturing semiconductor devices and semiconductor devices |
US11189708B2 (en) | 2019-10-17 | 2021-11-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device structure with gate stack and method for forming the same |
US11502197B2 (en) | 2019-10-18 | 2022-11-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Source and drain epitaxial layers |
US11335774B2 (en) | 2019-10-18 | 2022-05-17 | Taiwan Semiconductor Manufacturing Company, Ltd. | Contact structure for semiconductor device and method |
US11201229B2 (en) | 2019-10-18 | 2021-12-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Structure and formation method of semiconductor device with metal gate stack |
US11018257B2 (en) | 2019-10-18 | 2021-05-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device structure having a plurality of threshold voltages and method of forming the same |
US11037925B2 (en) | 2019-10-18 | 2021-06-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Structure and method of integrated circuit having decouple capacitance |
US11145650B2 (en) | 2019-10-18 | 2021-10-12 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gate cut dielectric feature and method of forming the same |
US11522085B2 (en) | 2019-10-18 | 2022-12-06 | Taiwan Semiconductor Manufacturing Company, Ltd. | Ferroelectric semiconductor device and method |
US11251305B2 (en) | 2019-10-25 | 2022-02-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Fin field effect transistor device structure and method for forming the same |
US11233130B2 (en) | 2019-10-25 | 2022-01-25 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method of forming the same |
US11322495B2 (en) | 2019-10-28 | 2022-05-03 | Taiwan Semiconductor Manufacturing Company, Ltd. | Complementary metal-oxide-semiconductor device and method of manufacturing the same |
US11450754B2 (en) | 2019-10-29 | 2022-09-20 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor devices and methods of manufacture |
US11296199B2 (en) | 2019-10-29 | 2022-04-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor devices and methods |
US11658245B2 (en) | 2019-10-29 | 2023-05-23 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method of manufacturing |
US11251284B2 (en) | 2019-10-29 | 2022-02-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Dummy gate cutting process and resulting gate structures |
US11264270B2 (en) | 2019-10-29 | 2022-03-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Air-replaced spacer for self-aligned contact scheme |
US10977409B1 (en) | 2019-10-29 | 2021-04-13 | Taiwan Semiconductor Manufacturing Company, Ltd. | Apparatus and method of generating a layout for a semiconductor device |
US11264327B2 (en) | 2019-10-30 | 2022-03-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Backside power rail structure and methods of forming same |
US11355605B2 (en) | 2019-10-30 | 2022-06-07 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device structure and method for forming the same |
US11621195B2 (en) | 2019-10-30 | 2023-04-04 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method of manufacturing the same |
US11515212B2 (en) | 2019-10-30 | 2022-11-29 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of manufacturing semiconductor devices having controlled S/D epitaxial shape |
US11145728B2 (en) | 2019-10-30 | 2021-10-12 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method of forming same |
US11322619B2 (en) | 2019-10-30 | 2022-05-03 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device structure and method for forming the same |
US11417748B2 (en) | 2019-10-30 | 2022-08-16 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method of fabricating a semiconductor device |
DE102020114813A1 (de) | 2019-10-31 | 2021-05-06 | Taiwan Semiconductor Manufacturing Co., Ltd. | Struktur und bildungsverfahren für halbleitervorrichtung mit isolierungsstruktur |
US11756997B2 (en) | 2019-10-31 | 2023-09-12 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor structure and method for forming the same |
US11374090B2 (en) | 2019-10-31 | 2022-06-28 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gate structures for semiconductor devices |
DE102020110789A1 (de) | 2019-10-31 | 2021-05-06 | Taiwan Semiconductor Manufacturing Co. Ltd. | Gate-strukturen für halbleitervorrichtungen |
US11424242B2 (en) | 2019-10-31 | 2022-08-23 | Taiwan Semiconductor Manufacturing Co., Ltd. | Structure and formation method of semiconductor device with isolation structure |
CN112750761A (zh) | 2019-10-31 | 2021-05-04 | 台湾积体电路制造股份有限公司 | 半导体装置及其制造方法 |
US11201225B2 (en) | 2019-10-31 | 2021-12-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Structure and formation method of semiconductor device with stressor |
US11631770B2 (en) | 2019-10-31 | 2023-04-18 | Taiwan Semiconductor Manufacturing Co., Ltd. | Structure and formation method of semiconductor device with stressor |
US11488857B2 (en) | 2019-10-31 | 2022-11-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method of manufacture using a contact etch stop layer (CESL) breakthrough process |
US10964792B1 (en) | 2019-11-22 | 2021-03-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Dual metal capped via contact structures for semiconductor devices |
US11081401B2 (en) | 2019-11-29 | 2021-08-03 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method for manufacturing the same |
US11545490B2 (en) | 2019-12-17 | 2023-01-03 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor structure and method for forming the same |
US11380781B2 (en) | 2019-12-17 | 2022-07-05 | Taiwan Semiconductor Manufacturing Co., Ltd. | Contact and via structures for semiconductor devices |
US11133221B2 (en) | 2019-12-17 | 2021-09-28 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for forming semiconductor device structure with gate electrode layer |
US11233134B2 (en) | 2019-12-19 | 2022-01-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Field effect transistors with dual silicide contact structures |
US11227794B2 (en) | 2019-12-19 | 2022-01-18 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for making self-aligned barrier for metal vias In-Situ during a metal halide pre-clean and associated interconnect structure |
US11502166B2 (en) | 2019-12-20 | 2022-11-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Seal material for air gaps in semiconductor devices |
US11901220B2 (en) | 2019-12-20 | 2024-02-13 | Taiwan Semiconductor Manufacturing Co., Ltd. | Bilayer seal material for air gaps in semiconductor devices |
US11728223B2 (en) | 2019-12-20 | 2023-08-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and methods of manufacture |
US11296187B2 (en) | 2019-12-20 | 2022-04-05 | Taiwan Semiconductor Manufacturing Co., Ltd. | Seal material for air gaps in semiconductor devices |
US11276571B2 (en) | 2019-12-26 | 2022-03-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of breaking through etch stop layer |
US11444200B2 (en) | 2019-12-26 | 2022-09-13 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor structure with isolating feature and method for forming the same |
US11075195B2 (en) | 2019-12-26 | 2021-07-27 | Taiwan Semiconductor Manufacturing Co., Ltd. | Integrated hybrid standard cell structure with gate-all-around device |
DE102020129004A1 (de) | 2019-12-26 | 2021-07-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Halbleiterstruktur mit isolierendem element und verfahren zum bilden derselben |
US11664420B2 (en) | 2019-12-26 | 2023-05-30 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method |
DE102020110792B4 (de) | 2019-12-27 | 2022-08-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Halbleitervorrichtungsstruktur mit Finnenstruktur und mehreren Nanostrukturen und Verfahren zum Bilden derselben |
US11488859B2 (en) | 2019-12-27 | 2022-11-01 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method |
US11362096B2 (en) | 2019-12-27 | 2022-06-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device structure and method for forming the same |
US11380548B2 (en) | 2019-12-30 | 2022-07-05 | Taiwan Semiconductor Manufacturing Company Ltd. | Method of manufacturing semiconductor structure through multi-implantation to fin structures |
US11282944B2 (en) | 2019-12-30 | 2022-03-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of manufacturing a semiconductor device and a semiconductor device |
US11508623B2 (en) | 2019-12-31 | 2022-11-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Local gate height tuning by CMP and dummy gate design |
DE102020120432B4 (de) | 2019-12-31 | 2024-10-02 | Taiwan Semiconductor Manufacturing Co., Ltd. | Halbleitervorrichtungsstruktur und deren Herstellungsverfahren |
US11393925B2 (en) | 2019-12-31 | 2022-07-19 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device structure with nanostructure |
US11107886B2 (en) | 2020-01-10 | 2021-08-31 | Taiwan Semiconductor Manufacturing Company Ltd. | Memory device and method of fabricating the memory device |
US11233156B2 (en) | 2020-01-15 | 2022-01-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Memory device and manufacturing method thereof |
US11855619B2 (en) | 2020-01-15 | 2023-12-26 | Taiwan Semiconductor Manufacturing Company Ltd. | Power switch circuit, IC structure of power switch circuit, and method of forming IC structure |
US11476365B2 (en) | 2020-01-16 | 2022-10-18 | Taiwan Semiconductor Manufacturing Co., Ltd. | Fin field effect transistor device structure and method for forming the same |
US11495491B2 (en) | 2020-01-16 | 2022-11-08 | Taiwan Semiconductor Manufacturing Co., Ltd. | Structure and formation method of semiconductor device with stacked conductive structures |
US11302692B2 (en) | 2020-01-16 | 2022-04-12 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor devices having gate dielectric layers of varying thicknesses and methods of forming the same |
US11139379B2 (en) | 2020-01-16 | 2021-10-05 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor structure and method for forming the same |
US11183584B2 (en) | 2020-01-17 | 2021-11-23 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
US11302577B2 (en) | 2020-01-17 | 2022-04-12 | Taiwan Semiconductor Manufacturing Company, Ltd. | Self aligned contact scheme |
US11302784B2 (en) | 2020-01-17 | 2022-04-12 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device having contact feature and method of fabricating the same |
US11444202B2 (en) | 2020-01-17 | 2022-09-13 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method of forming the same |
US11355615B2 (en) | 2020-01-17 | 2022-06-07 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET having fluorine-doped gate sidewall spacers |
US11244899B2 (en) | 2020-01-17 | 2022-02-08 | Taiwan Semiconductor Manufacturing Co., Ltd. | Butted contacts and methods of fabricating the same in semiconductor devices |
US11309419B2 (en) | 2020-01-17 | 2022-04-19 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
US11322603B2 (en) | 2020-01-21 | 2022-05-03 | Taiwan Semiconductor Manufacturing Co., Ltd. | Anti-punch-through doping on source/drain region |
US11393910B2 (en) | 2020-01-21 | 2022-07-19 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and formation method thereof |
US11201106B2 (en) | 2020-01-24 | 2021-12-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device with conductors embedded in a substrate |
US11251268B2 (en) | 2020-01-28 | 2022-02-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device with doped structure |
US11264273B2 (en) | 2020-01-29 | 2022-03-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Electron migration control in interconnect structures |
DE102020120099B4 (de) | 2020-01-29 | 2024-07-25 | Taiwan Semiconductor Manufacturing Co. Ltd. | Verfahren zur herstellung von isolationsstrukturen für halbleitervorrichtungen |
US11417764B2 (en) | 2020-01-29 | 2022-08-16 | Taiwan Semiconductor Manufacturing Co., Ltd. | Interface profile control in epitaxial structures for semiconductor devices |
US11929327B2 (en) | 2020-01-29 | 2024-03-12 | Taiwan Semiconductor Manufacturing Co., Inc. | Liner-free conductive structures with anchor points |
US11521969B2 (en) | 2020-01-29 | 2022-12-06 | Taiwan Semiconductor Manufacturing Co., Ltd. | Isolation structures for semiconductor devices |
DE102020119831A1 (de) | 2020-01-29 | 2021-07-29 | Taiwan Semiconductor Manufacturing Co., Ltd. | Überzugfreie leitfähige strukturen mit ankerpunkten |
DE102020119963A1 (de) | 2020-01-30 | 2021-08-05 | Taiwan Semiconductor Manufacturing Co., Ltd. | Halbleitervorrichtung und verfahren |
US11245028B2 (en) | 2020-01-30 | 2022-02-08 | Taiwan Semiconductor Manufacturing Co., Ltd. | Isolation structures of semiconductor devices |
US11444177B2 (en) | 2020-01-30 | 2022-09-13 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method |
US11563110B2 (en) | 2020-01-30 | 2023-01-24 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor structure and method for forming the same |
US11522050B2 (en) | 2020-01-30 | 2022-12-06 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of manufacturing a semiconductor device and a semiconductor device |
DE102020132562B4 (de) | 2020-01-30 | 2024-02-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Verfahren zur herstellung einer halbleitervorrichtung und halbleitervorrichtung |
US11217586B2 (en) | 2020-01-31 | 2022-01-04 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device having dummy fin physically separating the first and second gate stacks |
DE102020114860A1 (de) | 2020-01-31 | 2021-08-05 | Taiwan Semiconductor Manufacturing Co., Ltd. | Transistor-gates und verfahren zum bilden davon |
US11437287B2 (en) | 2020-01-31 | 2022-09-06 | Taiwan Semiconductor Manufacturing Co., Ltd. | Transistor gates and methods of forming thereof |
US11610822B2 (en) | 2020-01-31 | 2023-03-21 | Taiwan Semiconductor Manufacturing Co., Ltd. | Structures for tuning threshold voltage |
US11444197B2 (en) | 2020-02-07 | 2022-09-13 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method |
US11177383B2 (en) | 2020-02-10 | 2021-11-16 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device structure and method for forming the same |
US11094702B1 (en) | 2020-02-10 | 2021-08-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | One-time programmable memory device including anti-fuse element and manufacturing method thereof |
US11189706B2 (en) | 2020-02-11 | 2021-11-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | FinFET structure with airgap and method of forming the same |
US11158741B2 (en) | 2020-02-11 | 2021-10-26 | Taiwan Semiconductor Manufacturing Company, Ltd. | Nanostructure device and method |
US11177180B2 (en) | 2020-02-11 | 2021-11-16 | Taiwan Semiconductor Manufacturing Co., Ltd. | Profile control of a gap fill structure |
US11335776B2 (en) | 2020-02-11 | 2022-05-17 | Taiwan Semiconductor Manufacturing Company, Ltd. | Hybrid channel semiconductor device and method |
US11264287B2 (en) | 2020-02-11 | 2022-03-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device with cut metal gate and method of manufacture |
US11705372B2 (en) | 2020-02-11 | 2023-07-18 | Taiwan Semiconductor Manufacturing Co., Ltd. | Fin loss prevention |
US11862712B2 (en) | 2020-02-19 | 2024-01-02 | Taiwan Semiconductor Manufacturing Co., Ltd. | Methods of semiconductor device fabrication including growing epitaxial features using different carrier gases |
US11830948B2 (en) | 2020-02-19 | 2023-11-28 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and manufacturing method thereof |
US11664279B2 (en) | 2020-02-19 | 2023-05-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Multiple threshold voltage implementation through lanthanum incorporation |
US11854688B2 (en) | 2020-02-19 | 2023-12-26 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method |
US11211472B2 (en) | 2020-02-24 | 2021-12-28 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method of forming the same |
US11257950B2 (en) | 2020-02-24 | 2022-02-22 | Taiwan Semiconductor Manufacturing Company Ltd. | Semiconductor structure and manufacturing method for the semiconductor structure |
US11201085B2 (en) | 2020-02-25 | 2021-12-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device structure having air gap and method for forming the same |
US11211256B2 (en) | 2020-02-26 | 2021-12-28 | Taiwan Semiconductor Manufacturing Co., Ltd | Method with CMP for metal ion prevention |
US11373947B2 (en) | 2020-02-26 | 2022-06-28 | Taiwan Semiconductor Manufacturing Company, Ltd. | Methods of forming interconnect structures of semiconductor device |
US11715781B2 (en) | 2020-02-26 | 2023-08-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor devices with improved capacitors |
US11329165B2 (en) | 2020-02-26 | 2022-05-10 | Taiwan Semiconductor Manufacturing Co., Ltd. | Structure and formation method of semiconductor device with isolation structure |
US11133230B2 (en) | 2020-02-26 | 2021-09-28 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device with dual isolation liner and method of forming the same |
US11515216B2 (en) | 2020-02-27 | 2022-11-29 | Taiwan Semiconductor Manufacturing Co., Ltd. | Dual silicide structure and methods thereof |
US11393898B2 (en) | 2020-02-27 | 2022-07-19 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of manufacturing a semiconductor device and a semiconductor device |
US11404570B2 (en) | 2020-02-27 | 2022-08-02 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor devices with embedded ferroelectric field effect transistors |
US11495682B2 (en) | 2020-02-27 | 2022-11-08 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method |
CN113130483A (zh) | 2020-02-27 | 2021-07-16 | 台湾积体电路制造股份有限公司 | 半导体结构 |
US11264502B2 (en) | 2020-02-27 | 2022-03-01 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method |
TW202139270A (zh) | 2020-02-27 | 2021-10-16 | 台灣積體電路製造股份有限公司 | 半導體裝置的形成方法 |
CN113113359A (zh) | 2020-02-27 | 2021-07-13 | 台湾积体电路制造股份有限公司 | 半导体装置的制造方法 |
US11545432B2 (en) | 2020-02-27 | 2023-01-03 | Taiwan Semiconductor Manufacturing Co., Ltd | Semiconductor device with source and drain vias having different sizes |
US11152475B2 (en) | 2020-02-27 | 2021-10-19 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for forming source/drain contacts utilizing an inhibitor |
US11769820B2 (en) | 2020-02-27 | 2023-09-26 | Taiwan Semiconductor Manufacturing Co., Ltd. | Methods of manufacturing a FinFET by forming a hollow area in the epitaxial source/drain region |
TW202145443A (zh) | 2020-02-27 | 2021-12-01 | 台灣積體電路製造股份有限公司 | 半導體裝置的形成方法 |
US11799019B2 (en) | 2020-02-27 | 2023-10-24 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gate isolation feature and manufacturing method thereof |
US11316046B2 (en) | 2020-02-27 | 2022-04-26 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of manufacturing a semiconductor device and a semiconductor device |
CN113113311A (zh) | 2020-02-27 | 2021-07-13 | 台湾积体电路制造股份有限公司 | 半导体装置的形成方法 |
US11374128B2 (en) | 2020-02-27 | 2022-06-28 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method and structure for air gap inner spacer in gate-all-around devices |
US11328963B2 (en) | 2020-02-27 | 2022-05-10 | Taiwan Semiconductor Manufacturing Co., Ltd. | Multi-gate device and related methods |
US11515211B2 (en) | 2020-02-27 | 2022-11-29 | Taiwan Semiconductor Manufacturing Co., Ltd. | Cut EPI process and structures |
US11233119B2 (en) | 2020-03-02 | 2022-01-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Core-shell nanostructures for semiconductor devices |
US11695055B2 (en) | 2020-03-03 | 2023-07-04 | Taiwan Semiconductor Manufacturing Co., Ltd. | Passivation layers for semiconductor devices |
US11233149B2 (en) | 2020-03-03 | 2022-01-25 | Taiwan Semiconductor Manufacturing Co., . Ltd. | Spacer structures for semiconductor devices |
DE102021104484A1 (de) | 2020-03-30 | 2021-09-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Schaltungsstruktur mit gatekonfiguration |
US11563001B2 (en) | 2020-03-30 | 2023-01-24 | Taiwan Semiconductor Manufacturing Co., Ltd. | Air spacer and capping structures in semiconductor devices |
US11677013B2 (en) | 2020-03-30 | 2023-06-13 | Taiwan Semiconductor Manufacturing Co., Ltd. | Source/drain epitaxial layers for transistors |
US11588038B2 (en) | 2020-03-30 | 2023-02-21 | Taiwan Semiconductor Manufacturing Co., Ltd. | Circuit structure with gate configuration |
US11374105B2 (en) | 2020-03-31 | 2022-06-28 | Taiwan Semiconductor Manufacturing Co., Ltd. | Nanosheet device with dipole dielectric layer and methods of forming the same |
US11107736B1 (en) | 2020-03-31 | 2021-08-31 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gate structures for semiconductor devices |
DE102020126060A1 (de) | 2020-03-31 | 2021-09-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Mehrschichtige high-k-gatedielektrikumstruktur |
US12022643B2 (en) | 2020-03-31 | 2024-06-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Multi-layer high-k gate dielectric structure |
US11251073B2 (en) | 2020-04-01 | 2022-02-15 | Taiwan Semiconductor Manufacturing Co. | Selective deposition of barrier layer |
US11271096B2 (en) | 2020-04-01 | 2022-03-08 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for forming fin field effect transistor device structure |
US11302796B2 (en) | 2020-04-01 | 2022-04-12 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of forming self-aligned source/drain metal contacts |
US11296202B2 (en) | 2020-04-01 | 2022-04-05 | Taiwan Semiconductor Manufacturing Co., Ltd. | Memory chip structure having GAA transistors with different threshold voltages and work functions for improving performances in multiple applications |
US11443979B2 (en) | 2020-04-01 | 2022-09-13 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device |
US11309398B2 (en) | 2020-04-01 | 2022-04-19 | Taiwan Semiconductor Manufacturing Company Ltd. | Semiconductor device and manufacturing method for the semiconductor device |
US11508572B2 (en) | 2020-04-01 | 2022-11-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
US11189697B2 (en) | 2020-04-01 | 2021-11-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Ultra-thin fin structure and method of fabricating the same |
US11158632B1 (en) | 2020-04-01 | 2021-10-26 | Taiwan Semiconductor Manufacturing Co., Ltd | Fin-based strap cell structure for improving memory performance |
US11450602B2 (en) | 2020-04-01 | 2022-09-20 | Taiwan Semiconductor Manufacturing Co., Ltd. | Hybrid method for forming semiconductor interconnect structure |
US11257911B2 (en) | 2020-04-01 | 2022-02-22 | Taiwan Semiconductor Manufacturing Company, Ltd. | Sacrificial layer for semiconductor process |
US11139432B1 (en) | 2020-04-01 | 2021-10-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | Methods of forming a FinFET device |
US11387365B2 (en) | 2020-04-01 | 2022-07-12 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of manufacturing a semiconductor device for recessed fin structure having rounded corners |
US11417751B2 (en) | 2020-04-01 | 2022-08-16 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device structure and method for forming the same |
US11495661B2 (en) | 2020-04-07 | 2022-11-08 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device including gate barrier layer |
CN113053878A (zh) | 2020-04-09 | 2021-06-29 | 台湾积体电路制造股份有限公司 | 半导体器件及其制造方法 |
US11489053B2 (en) | 2020-04-09 | 2022-11-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method |
US11309424B2 (en) | 2020-04-13 | 2022-04-19 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
US11177212B2 (en) | 2020-04-13 | 2021-11-16 | Taiwan Semiconductor Manufacturing Co., Ltd. | Contact formation method and related structure |
US11335638B2 (en) | 2020-04-15 | 2022-05-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | Reducing RC delay in semiconductor devices |
US11342501B2 (en) | 2020-04-17 | 2022-05-24 | Taiwan Semiconductor Manufacturing Company, Ltd. | Memory cell, method of forming the same, and semiconductor device having the same |
US11164789B1 (en) | 2020-04-17 | 2021-11-02 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for forming semiconductor device that includes covering metal gate with multilayer dielectric |
US11335552B2 (en) | 2020-04-17 | 2022-05-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | Structure and formation method of semiconductor device with oxide semiconductor channel |
US11342413B2 (en) | 2020-04-24 | 2022-05-24 | Taiwan Semiconductor Manufacturing Co., Ltd. | Selective liner on backside via and method thereof |
US11121138B1 (en) | 2020-04-24 | 2021-09-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Low resistance pickup cells for SRAM |
DE102020121223A1 (de) | 2020-04-24 | 2021-10-28 | Taiwan Semiconductor Manufacturing Co., Ltd. | Selektive Auskleidung auf Rückseitendurchkontaktierung und deren Verfahren |
US11450660B2 (en) | 2020-04-27 | 2022-09-20 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method of fabricating the same |
US11410930B2 (en) | 2020-04-28 | 2022-08-09 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method |
US11521929B2 (en) | 2020-04-28 | 2022-12-06 | Taiwan Semiconductor Manufacturing Co., Ltd. | Capping layer for liner-free conductive structures |
TWI741935B (zh) | 2020-04-28 | 2021-10-01 | 台灣積體電路製造股份有限公司 | 半導體元件與其製作方法 |
US11342326B2 (en) | 2020-04-28 | 2022-05-24 | Taiwan Semiconductor Manufacturing Co., Ltd. | Self-aligned etch in semiconductor devices |
US11355410B2 (en) | 2020-04-28 | 2022-06-07 | Taiwan Semiconductor Manufacturing Co., Ltd. | Thermal dissipation in semiconductor devices |
CN113161321B (zh) | 2020-04-28 | 2024-10-15 | 台湾积体电路制造股份有限公司 | 半导体结构和形成半导体结构的方法 |
US11955370B2 (en) | 2020-04-28 | 2024-04-09 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor devices and methods of manufacture |
US11251308B2 (en) | 2020-04-28 | 2022-02-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method |
CN113140565A (zh) | 2020-04-28 | 2021-07-20 | 台湾积体电路制造股份有限公司 | 半导体器件和制造方法 |
US11349004B2 (en) | 2020-04-28 | 2022-05-31 | Taiwan Semiconductor Manufacturing Co., Ltd. | Backside vias in semiconductor device |
DE102020119859A1 (de) | 2020-04-29 | 2021-11-04 | Taiwan Semiconductor Manufacturing Co., Ltd. | Bildung von hybrid-isolationsregionen durch aussparen und erneutes abscheiden |
US11404323B2 (en) * | 2020-04-29 | 2022-08-02 | Taiwan Semiconductor Manufacturing Co., Ltd. | Formation of hybrid isolation regions through recess and re-deposition |
US11398385B2 (en) | 2020-05-08 | 2022-07-26 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method |
DE102020122823B4 (de) | 2020-05-12 | 2022-06-02 | Taiwan Semiconductor Manufacturing Co., Ltd. | Halbleitervorrichtungen mit entkopplungskondensatoren |
US11450600B2 (en) | 2020-05-12 | 2022-09-20 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor devices including decoupling capacitors |
US11239208B2 (en) | 2020-05-12 | 2022-02-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Packaged semiconductor devices including backside power rails and methods of forming the same |
DE102021109275A1 (de) | 2020-05-13 | 2021-11-18 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gate-all-around-vorrichtungen mit selbstausgerichteter abdeckung zwischen kanal und rückseitiger leistungsschiene |
US11257712B2 (en) | 2020-05-13 | 2022-02-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Source/drain contact formation methods and devices |
US11670692B2 (en) | 2020-05-13 | 2023-06-06 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gate-all-around devices having self-aligned capping between channel and backside power rail |
US11631745B2 (en) | 2020-05-15 | 2023-04-18 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device structure with uneven gate profile |
US11393924B2 (en) | 2020-05-18 | 2022-07-19 | Taiwan Semiconductor Manufacturing Co., Ltd. | Structure and formation method of semiconductor device with high contact area |
US11217629B2 (en) | 2020-05-19 | 2022-01-04 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and manufacturing method thereof |
US11996409B2 (en) | 2020-05-20 | 2024-05-28 | Taiwan Semiconductor Manufacturing Co., Ltd. | Stacking CMOS structure |
DE102020127567A1 (de) | 2020-05-20 | 2021-11-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Halbleitervorrichtung und verfahren zu ihrer herstellung |
US11791218B2 (en) | 2020-05-20 | 2023-10-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | Dipole patterning for CMOS devices |
US11437492B2 (en) | 2020-05-20 | 2022-09-06 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method of manufacture |
US11527527B2 (en) | 2020-05-21 | 2022-12-13 | Taiwan Semiconductor Manufacturing Company, Ltd. | Tap cell, integrated circuit structure and forming method thereof |
US11450572B2 (en) | 2020-05-22 | 2022-09-20 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method |
US11282843B2 (en) | 2020-05-22 | 2022-03-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Memory device, SRAM cell, and manufacturing method thereof |
US11349005B2 (en) | 2020-05-22 | 2022-05-31 | Taiwan Semiconductor Manufacturing Company, Ltd. | Silicide structures in transistors and methods of forming |
US11374089B2 (en) | 2020-05-22 | 2022-06-28 | Taiwan Semiconductor Manufacturing Co., Ltd. | Shallow trench isolation (STI) contact structures and methods of forming same |
US11637101B2 (en) | 2020-05-26 | 2023-04-25 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and manufacturing method thereof |
US11295989B2 (en) | 2020-05-26 | 2022-04-05 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gate structures for semiconductor devices |
TWI762196B (zh) | 2020-05-26 | 2022-04-21 | 台灣積體電路製造股份有限公司 | 半導體裝置與其製造方法 |
US11417767B2 (en) | 2020-05-27 | 2022-08-16 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor devices including backside vias and methods of forming the same |
US11289383B2 (en) | 2020-05-27 | 2022-03-29 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method |
US11532703B2 (en) | 2020-05-27 | 2022-12-20 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method |
DE102020122828B4 (de) | 2020-05-27 | 2022-08-11 | Taiwan Semiconductor Manufacturing Co., Ltd. | Halbleitervorrichtungen, aufweisend rückseitige durchkontaktierungen und verfahren zu deren bildung |
US11929329B2 (en) | 2020-05-28 | 2024-03-12 | Taiwan Semiconductor Manufacturing Company, Ltd. | Damascene process using cap layer |
US11862561B2 (en) | 2020-05-28 | 2024-01-02 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor devices with backside routing and method of forming same |
US11532731B2 (en) | 2020-05-28 | 2022-12-20 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor devices and methods of manufacture |
US11600528B2 (en) | 2020-05-28 | 2023-03-07 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor structure and method for forming the same |
US11502199B2 (en) | 2020-05-28 | 2022-11-15 | Taiwan Semiconductor Manufacturing Co, Ltd. | Independent control of stacked semiconductor device |
DE102020131611A1 (de) | 2020-05-28 | 2021-12-02 | Taiwan Semiconductor Manufacturing Co., Ltd. | Halbleitervorrichtung mit luftspalten und verfahren zu deren herstellung |
US11380768B2 (en) | 2020-05-28 | 2022-07-05 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
US11410876B2 (en) | 2020-05-28 | 2022-08-09 | Taiwan Semiconductor Manufacturing Co., Ltd | Semiconductor device with air gaps and method of fabrication thereof |
US11682711B2 (en) | 2020-05-28 | 2023-06-20 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device having multi-layered gate spacers |
DE102021102939A1 (de) | 2020-05-28 | 2021-12-02 | Taiwan Semiconductor Manufacturing Co., Ltd. | Halbleiterbauelemente und herstellungsverfahren |
US11444198B2 (en) | 2020-05-29 | 2022-09-13 | Taiwan Semiconductor Manufacturing Co., Ltd. | Work function control in gate structures |
US11715777B2 (en) | 2020-05-29 | 2023-08-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method |
US11443987B2 (en) | 2020-05-29 | 2022-09-13 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor devices with backside air gap dielectric |
US11527539B2 (en) | 2020-05-29 | 2022-12-13 | Taiwan Semiconductor Manufacturing Co., Ltd. | Four-poly-pitch SRAM cell with backside metal tracks |
US11935793B2 (en) | 2020-05-29 | 2024-03-19 | Taiwan Semiconductor Manufacturing Co., Ltd. | Dual dopant source/drain regions and methods of forming same |
US11527533B2 (en) * | 2020-05-29 | 2022-12-13 | Taiwan Semiconductor Manufacturing Co., Ltd. | FinFET pitch scaling |
US11637126B2 (en) | 2020-05-29 | 2023-04-25 | Taiwan Semiconductor Manufacturing Company, Ltd. | Memory device and method of forming the same |
US11723209B2 (en) | 2020-05-29 | 2023-08-08 | Taiwan Semiconductor Manufacturing Company, Ltd. | Three-dimensional memory device and manufacturing method thereof |
US11302798B2 (en) | 2020-05-29 | 2022-04-12 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor devices with air gate spacer and air gate cap |
US11302580B2 (en) | 2020-05-29 | 2022-04-12 | Taiwan Semiconductor Manufacturing Co., Ltd. | Nanosheet thickness |
US11195752B1 (en) | 2020-05-29 | 2021-12-07 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method of forming same |
US11664374B2 (en) | 2020-05-29 | 2023-05-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Backside interconnect structures for semiconductor devices and methods of forming the same |
US11799002B2 (en) | 2020-05-29 | 2023-10-24 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor devices and methods of forming the same |
US11699742B2 (en) | 2020-05-29 | 2023-07-11 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device with varying numbers of channel layers and method of fabrication thereof |
US11264283B2 (en) | 2020-05-29 | 2022-03-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Multi-channel devices and methods of manufacture |
US11888064B2 (en) | 2020-06-01 | 2024-01-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method |
DE102021106285A1 (de) | 2020-06-05 | 2021-12-09 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gate-struktur und verfahren |
US11699735B2 (en) | 2020-06-05 | 2023-07-11 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gate structure and method |
CN113299648A (zh) | 2020-06-05 | 2021-08-24 | 台湾积体电路制造股份有限公司 | 半导体器件及其制造方法 |
US11361994B2 (en) | 2020-06-08 | 2022-06-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Fully self-aligned interconnect structure |
US11424347B2 (en) | 2020-06-11 | 2022-08-23 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method |
US11515165B2 (en) | 2020-06-11 | 2022-11-29 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method |
US11417777B2 (en) | 2020-06-11 | 2022-08-16 | Taiwan Semiconductor Manufacturing Co., Ltd. | Enlargement of GAA nanostructure |
US11374006B2 (en) | 2020-06-12 | 2022-06-28 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method of forming the same |
US11417571B2 (en) | 2020-06-12 | 2022-08-16 | Taiwan Semiconductor Manufacturing Co., Ltd. | Dopant profile control in gate structures for semiconductor devices |
US11316033B2 (en) | 2020-06-12 | 2022-04-26 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
US11296095B2 (en) | 2020-06-12 | 2022-04-05 | Taiwan Semiconductor Manufacturing Co., Ltd. | Memory device and method for forming the same |
US11271113B2 (en) | 2020-06-12 | 2022-03-08 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device structure and method for forming the same |
US11296080B2 (en) | 2020-06-15 | 2022-04-05 | Taiwan Semiconductor Manufacturing Co., Ltd. | Source/drain regions of semiconductor devices and methods of forming the same |
US11257755B2 (en) | 2020-06-15 | 2022-02-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Metal loss prevention in conductive structures |
US11398550B2 (en) | 2020-06-15 | 2022-07-26 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device with facet S/D feature and methods of forming the same |
US11316023B2 (en) | 2020-06-15 | 2022-04-26 | Taiwan Semiconductor Manufacturing Co., Ltd. | Dumbbell shaped self-aligned capping layer over source/drain contacts and method thereof |
US11631736B2 (en) | 2020-06-15 | 2023-04-18 | Taiwan Semiconductor Manufacturing Co., Ltd. | Epitaxial source/drain feature with enlarged lower section interfacing with backside via |
US11600728B2 (en) | 2020-06-15 | 2023-03-07 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of manufacturing a facet-free source/drain epitaxial structure having an amorphous or polycrystalline layer |
US11367621B2 (en) | 2020-06-15 | 2022-06-21 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and manufacturing method thereof |
US20210391470A1 (en) | 2020-06-15 | 2021-12-16 | Taiwan Semiconductor Manufacturing Company, Ltd. | Layered structure, semiconductor device including the same, and manufacturing method thereof |
US11282943B2 (en) | 2020-06-15 | 2022-03-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Multi-gate devices and fabricating the same with etch rate modulation |
US11342334B2 (en) | 2020-06-15 | 2022-05-24 | Taiwan Semiconductor Manufacturing Co., Ltd. | Memory cell and method |
US11367784B2 (en) | 2020-06-15 | 2022-06-21 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of manufacturing a semiconductor device and a semiconductor device |
US11637099B2 (en) | 2020-06-15 | 2023-04-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Forming ESD devices using multi-gate compatible processes |
US11444025B2 (en) | 2020-06-18 | 2022-09-13 | Taiwan Semiconductor Manufacturing Company, Ltd. | Transistor and fabrication method thereof |
US12058867B2 (en) | 2020-06-18 | 2024-08-06 | Taiwan Semiconductor Manufacturing Company, Ltd. | Memory device |
US11527630B2 (en) | 2020-06-24 | 2022-12-13 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method for fabricating the same |
US11563006B2 (en) | 2020-06-24 | 2023-01-24 | Taiwan Semiconductor Manufacturing Company Ltd. | Semiconductor structure and method for manufacturing thereof |
US11728171B2 (en) | 2020-06-25 | 2023-08-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device with metal gate fill structure |
US11699736B2 (en) | 2020-06-25 | 2023-07-11 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gate structure and method |
US11532714B2 (en) | 2020-06-25 | 2022-12-20 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method of forming thereof |
US11430700B2 (en) | 2020-06-26 | 2022-08-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Trench isolation with conductive structures |
US11145734B1 (en) | 2020-06-29 | 2021-10-12 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device with dummy fin and liner and method of forming the same |
US11387233B2 (en) | 2020-06-29 | 2022-07-12 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device structure and methods of forming the same |
US11489075B2 (en) | 2020-06-29 | 2022-11-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of manufacturing a semiconductor device and a semiconductor device |
US11848238B2 (en) | 2020-06-30 | 2023-12-19 | Taiwan Semiconductor Manufacturing Co., Ltd. | Methods for manufacturing semiconductor devices with tunable low-k inner air spacers |
US11545546B2 (en) | 2020-06-30 | 2023-01-03 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method |
US11264513B2 (en) | 2020-06-30 | 2022-03-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Isolation structures for transistors |
US11315924B2 (en) | 2020-06-30 | 2022-04-26 | Taiwan Semiconductor Manufacturing Co., Ltd. | Isolation structure for preventing unintentional merging of epitaxially grown source/drain |
US11462549B2 (en) | 2020-06-30 | 2022-10-04 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method of fabricating the same |
US11729967B2 (en) | 2020-07-08 | 2023-08-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Capacitor, memory device, and method |
US11903189B2 (en) | 2020-07-09 | 2024-02-13 | Taiwan Semiconductor Manufacturing Co., Ltd. | Three-dimensional memory and fabricating method thereof |
US11848239B2 (en) | 2020-07-10 | 2023-12-19 | Taiwan Semiconductor Manufacturing Co., Ltd. | Patterning method and structures resulting therefrom |
US11437371B2 (en) | 2020-07-10 | 2022-09-06 | Taiwan Semiconductor Manufacturing Co., Ltd. | Field effect transistors with negative capacitance layers |
US11233005B1 (en) | 2020-07-10 | 2022-01-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for manufacturing an anchor-shaped backside via |
US11855185B2 (en) | 2020-07-16 | 2023-12-26 | Taiwan Semiconductor Manufacturing Co., Ltd. | Multilayer masking layer and method of forming same |
US11728244B2 (en) | 2020-07-17 | 2023-08-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method for forming the same |
US11195930B1 (en) | 2020-07-22 | 2021-12-07 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor devices with backside power rail and methods of fabrication thereof |
US11664278B2 (en) | 2020-07-22 | 2023-05-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device with L-shape conductive feature and methods of forming the same |
US11276643B2 (en) | 2020-07-22 | 2022-03-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device with backside spacer and methods of forming the same |
US11804531B2 (en) | 2020-07-23 | 2023-10-31 | Taiwan Semiconductor Manufacturing Co., Ltd. | Thin film transfer using substrate with etch stop layer and diffusion barrier layer |
US11329163B2 (en) | 2020-07-27 | 2022-05-10 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of manufacturing a semiconductor device and a semiconductor device |
US11903213B2 (en) | 2020-07-29 | 2024-02-13 | Taiwan Semiconductor Manufacturing Company, Ltd. | Memory device and method for making same |
US11417745B2 (en) | 2020-07-30 | 2022-08-16 | Taiwan Semiconductor Manufacturing Co., Ltd. | Structure and formation method of semiconductor device with metal gate stack |
US11532718B2 (en) | 2020-07-30 | 2022-12-20 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET having a gate dielectric comprising a multi-layer structure including an oxide layer with different thicknesses on side and top surfaces of the fins |
US11296082B2 (en) | 2020-07-30 | 2022-04-05 | Taiwan Semiconductor Manufacturing Co., Ltd. | Multi-gate device and related methods |
US11791401B2 (en) | 2020-07-30 | 2023-10-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | Multi-gate device and related methods |
US11456211B2 (en) | 2020-07-30 | 2022-09-27 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of forming interconnect structure |
US11728413B2 (en) | 2020-07-30 | 2023-08-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gate capping structures in semiconductor devices |
US11557510B2 (en) | 2020-07-30 | 2023-01-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | Spacers for semiconductor devices including backside power rails |
US11456209B2 (en) | 2020-07-31 | 2022-09-27 | Taiwan Semiconductor Manufacturing Co., Ltd. | Spacers for semiconductor devices including a backside power rails |
US11810960B2 (en) | 2020-07-31 | 2023-11-07 | Taiwan Semiconductor Manufacturing Co., Ltd. | Contact structures in semiconductor devices |
US11217494B1 (en) | 2020-07-31 | 2022-01-04 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor devices and methods of manufacture |
US11348921B2 (en) | 2020-07-31 | 2022-05-31 | Taiwan Semiconductor Manufacturing Company Ltd. | Semiconductor structure and method of manufacturing the same |
US11862701B2 (en) | 2020-07-31 | 2024-01-02 | Taiwan Semiconductor Manufacturing Co., Ltd. | Stacked multi-gate structure and methods of fabricating the same |
US11245023B1 (en) | 2020-07-31 | 2022-02-08 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
US11444199B2 (en) | 2020-08-03 | 2022-09-13 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of manufacturing a semiconductor device and a semiconductor device |
US11527621B2 (en) | 2020-08-05 | 2022-12-13 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gate electrode deposition and structure formed thereby |
US11437240B2 (en) | 2020-08-05 | 2022-09-06 | Taiwan Semiconductor Manufacturing Co., Ltd. | Transistor gate structure and method of forming |
US11355587B2 (en) | 2020-08-06 | 2022-06-07 | Taiwan Semiconductor Manufacturing Co., Ltd. | Source/drain EPI structure for device boost |
US11728391B2 (en) | 2020-08-07 | 2023-08-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | 2d-channel transistor structure with source-drain engineering |
US11923436B2 (en) | 2020-08-07 | 2024-03-05 | Taiwan Semiconductor Manufacturing Co., Ltd. | Source/drain structure for semiconductor device |
US11489057B2 (en) | 2020-08-07 | 2022-11-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Contact structures in semiconductor devices |
US11335806B2 (en) | 2020-08-11 | 2022-05-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device structure and method for forming the same |
US11302816B2 (en) | 2020-08-11 | 2022-04-12 | Taiwan Semiconductor Manufacturing Company Ltd. | Semiconductor structure and method for forming the same |
US11557518B2 (en) | 2020-08-12 | 2023-01-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gapfill structure and manufacturing methods thereof |
US11610979B2 (en) | 2020-08-13 | 2023-03-21 | Taiwan Semiconductor Manufacturing Co., Ltd. | Profile control in forming epitaxy regions for transistors |
US11653581B2 (en) | 2020-08-13 | 2023-05-16 | Taiwan Semiconductor Manufacturing Co., Ltd. | RRAM device structure and manufacturing method |
US11610890B2 (en) | 2020-08-13 | 2023-03-21 | Taiwan Semiconductor Manufacturing Co., Ltd. | Epitaxy regions extending below STI regions and profiles thereof |
US11974441B2 (en) | 2020-08-13 | 2024-04-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Memory array including epitaxial source lines and bit lines |
US11315834B2 (en) | 2020-08-13 | 2022-04-26 | Taiwan Semiconductor Manufacturing Co., Ltd. | FinFETs with epitaxy regions having mixed wavy and non-wavy portions |
US11563083B2 (en) | 2020-08-14 | 2023-01-24 | Taiwan Semiconductor Manufacturing Co., Ltd. | Dual side contact structures in semiconductor devices |
US11374088B2 (en) | 2020-08-14 | 2022-06-28 | Taiwan Semiconductor Manufacturing Co., Ltd. | Leakage reduction in gate-all-around devices |
US11935941B2 (en) | 2020-08-14 | 2024-03-19 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor structure and method for manufacturing thereof |
US11430790B2 (en) | 2020-08-14 | 2022-08-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method |
US11437474B2 (en) | 2020-08-17 | 2022-09-06 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gate structures in transistors and method of forming same |
US11757021B2 (en) | 2020-08-18 | 2023-09-12 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor devices with fin-top hard mask and methods for fabrication thereof |
US12002766B2 (en) | 2020-08-18 | 2024-06-04 | Taiwan Semiconductor Manufacturing Company Ltd. | Semiconductor structure having isolations between fins and comprising materials with different thermal expansion coefficients (CTE) |
US11335606B2 (en) | 2020-08-19 | 2022-05-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | Power rails for stacked semiconductor device |
US11532607B2 (en) | 2020-08-19 | 2022-12-20 | Taiwan Semiconductor Manufacturing Co., Ltd. | ESD structure and semiconductor structure |
US11646377B2 (en) | 2020-08-21 | 2023-05-09 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method of manufacture |
US11508621B2 (en) | 2020-08-21 | 2022-11-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of manufacturing a semiconductor device and a semiconductor device |
US11810857B2 (en) | 2020-08-25 | 2023-11-07 | Taiwan Semiconductor Manufacturing Co., Ltd. | Via for semiconductor device and method |
US11616143B2 (en) | 2020-08-27 | 2023-03-28 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor devices with backside power rail and methods of fabrication thereof |
US11676864B2 (en) | 2020-08-27 | 2023-06-13 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device structure and methods of forming the same |
US11482594B2 (en) | 2020-08-27 | 2022-10-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor devices with backside power rail and method thereof |
US11302693B2 (en) | 2020-08-31 | 2022-04-12 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device structure and methods of forming the same |
US11404321B2 (en) | 2020-08-31 | 2022-08-02 | Taiwan Semiconductor Manufacturing Company Ltd. | Semiconductor structure and method of manufacturing the same |
US11615962B2 (en) | 2020-09-11 | 2023-03-28 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor structures and methods thereof |
US11450569B2 (en) | 2020-09-18 | 2022-09-20 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and forming method thereof |
US11469326B2 (en) | 2020-09-18 | 2022-10-11 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor devices and methods of fabrication thereof |
US11600533B2 (en) | 2020-09-18 | 2023-03-07 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device fabrication methods and structures thereof |
US11387322B2 (en) | 2020-09-21 | 2022-07-12 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device having nanosheet transistor and methods of fabrication thereof |
US11355398B2 (en) | 2020-09-21 | 2022-06-07 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device structure and methods of forming the same |
US11502034B2 (en) | 2020-09-21 | 2022-11-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor devices with backside power rail and methods of fabrication thereof |
US11569234B2 (en) | 2020-09-21 | 2023-01-31 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device structure and methods of forming the same |
US11862694B2 (en) | 2020-09-23 | 2024-01-02 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method |
US11551969B2 (en) | 2020-09-23 | 2023-01-10 | Taiwan Semiconductor Manufacturing Co., Ltd. | Integrated circuit structure with backside interconnection structure having air gap |
US11349002B2 (en) | 2020-09-25 | 2022-05-31 | Taiwan Semiconductor Manufacturing Company, Ltd. | Isolation structure for for isolating epitaxially grown source/drain regions and method of fabrication thereof |
US11430701B2 (en) | 2020-09-25 | 2022-08-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gate oxide structures in semiconductor devices |
US11348929B2 (en) | 2020-09-28 | 2022-05-31 | Taiwan Semiconductor Manufacturing Co., Ltd. | Memory device and method for forming the same |
US11967526B2 (en) | 2020-09-29 | 2024-04-23 | Taiwan Semiconductor Manufacturing Co., Ltd. | Integrated circuit structure and manufacturing method thereof |
US11728212B2 (en) | 2020-09-29 | 2023-08-15 | Taiwan Semicondcutor Manufacturing Company, Ltd. | Integrated circuit structure and manufacturing method thereof |
US11664272B2 (en) | 2020-09-29 | 2023-05-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Etch profile control of gate contact opening |
US11942371B2 (en) | 2020-09-29 | 2024-03-26 | Taiwan Semiconductor Manufacturing Co., Ltd. | Etch profile control of via opening |
US11749732B2 (en) | 2020-09-29 | 2023-09-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | Etch profile control of via opening |
US11581218B2 (en) | 2020-09-29 | 2023-02-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Etch profile control of gate contact opening |
US11705491B2 (en) | 2020-09-29 | 2023-07-18 | Taiwan Semiconductor Manufacturing Co., Ltd. | Etch profile control of gate contact opening |
US11728173B2 (en) | 2020-09-30 | 2023-08-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Masking layer with post treatment |
US11721593B2 (en) | 2020-09-30 | 2023-08-08 | Tiawan Semiconductor Manufacturing Co., Ltd. | Source/drain epitaxial structures for semiconductor devices |
US11404548B2 (en) | 2020-10-13 | 2022-08-02 | Taiwan Semiconductor Manufacturing Co., Ltd. | Capacitance reduction for backside power rail device |
US11626485B2 (en) | 2020-10-14 | 2023-04-11 | Taiwan Semiconductor Manufacturing Co., Ltd. | Field effect transistor and method |
US11894435B2 (en) | 2020-10-15 | 2024-02-06 | Taiwan Semiconductor Manufacturing Co., Ltd. | Contact plug structure of semiconductor device and method of forming same |
US11594610B2 (en) | 2020-10-15 | 2023-02-28 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method |
US11765892B2 (en) | 2020-10-21 | 2023-09-19 | Taiwan Semiconductor Manufacturing Company, Ltd. | Three-dimensional memory device and method of manufacture |
US11737254B2 (en) | 2020-10-21 | 2023-08-22 | Taiwan Semiconductor Manufacturing Company, Ltd. | Memory device and layout, manufacturing method of the same |
US11450743B2 (en) | 2020-10-21 | 2022-09-20 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of forming a semiconductor device with implantation of impurities at high temperature |
US11502201B2 (en) | 2020-10-27 | 2022-11-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device with backside power rail and methods of fabrication thereof |
US11276604B1 (en) | 2020-10-27 | 2022-03-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Radical-activated etching of metal oxides |
US11688807B2 (en) | 2020-10-27 | 2023-06-27 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and methods of forming |
US11495463B2 (en) | 2020-10-27 | 2022-11-08 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
US11658119B2 (en) | 2020-10-27 | 2023-05-23 | Taiwan Semiconductor Manufacturing Company, Ltd. | Backside signal interconnection |
US11637018B2 (en) | 2020-10-27 | 2023-04-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Barrier layer for contact structures of semiconductor devices |
US12107087B2 (en) | 2020-10-30 | 2024-10-01 | Taiwan Semiconductor Manufacturing Company, Ltd | Semiconductor device with gate isolation structure and method for forming the same |
US11569223B2 (en) | 2020-10-30 | 2023-01-31 | Taiwan Semiconductor Manufacturing Co., Ltd. | Integrated circuit and method for fabricating the same |
US11521971B2 (en) | 2020-11-13 | 2022-12-06 | Taiwan Semiconductor Manufacturing Company, Ltd. | Gate dielectric having a non-uniform thickness profile |
CN114284267A (zh) | 2020-11-13 | 2022-04-05 | 台湾积体电路制造股份有限公司 | 集成电路及其制造方法 |
US11735470B2 (en) | 2020-11-13 | 2023-08-22 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for forming semiconductor device structure with source/drain contact |
US11482451B2 (en) | 2020-11-20 | 2022-10-25 | Taiwan Semiconductor Manufacturing Company, Ltd. | Interconnect structures |
US11854831B2 (en) | 2020-11-24 | 2023-12-26 | Taiwan Semiconductor Manufacturing Co., Ltd. | Cleaning process for source/drain epitaxial structures |
US11450664B2 (en) | 2020-11-25 | 2022-09-20 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device having nanosheet transistor and methods of fabrication thereof |
US11508807B2 (en) | 2020-11-25 | 2022-11-22 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device having nanosheet transistor and methods of fabrication thereof |
US11374093B2 (en) | 2020-11-25 | 2022-06-28 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device structure and methods of forming the same |
US11450663B2 (en) | 2020-11-25 | 2022-09-20 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device structure and methods of forming the same |
US11450666B2 (en) | 2020-11-25 | 2022-09-20 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor devices including two-dimensional material and methods of fabrication thereof |
US11777014B2 (en) | 2021-01-04 | 2023-10-03 | Taiwan Semiconductor Manufacturing Co., Ltd. | Controlled doping in a gate dielectric layer |
US11594455B2 (en) | 2021-01-05 | 2023-02-28 | Taiwan Semiconductor Manufacturing Company Ltd. | Semiconductor device and manufacturing method for the same |
US11784218B2 (en) | 2021-01-08 | 2023-10-10 | Taiwan Semiconductor Manufacturing Company, Ltd. | Gate air spacer protection during source/drain via hole etching |
US11527622B2 (en) | 2021-01-08 | 2022-12-13 | Taiwan Semiconductor Manufacturing Company, Ltd. | Effective work function tuning via silicide induced interface dipole modulation for metal gates |
US11557511B2 (en) | 2021-01-12 | 2023-01-17 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device structure and methods of forming the same |
US11948939B2 (en) | 2021-01-13 | 2024-04-02 | Taiwan Semiconductor Manufacturing Company, Ltd | Profile control of gate structures in semiconductor devices |
US11658216B2 (en) | 2021-01-14 | 2023-05-23 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method and structure for metal gate boundary isolation |
US11502081B2 (en) | 2021-01-14 | 2022-11-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method |
US11670681B2 (en) | 2021-01-14 | 2023-06-06 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of forming fully strained channels |
US11749566B2 (en) | 2021-01-15 | 2023-09-05 | Taiwan Semiconductor Manufacturing Co., Ltd. | Inner filler layer for multi-patterned metal gate for nanostructure transistor |
US12089414B2 (en) | 2021-01-15 | 2024-09-10 | Taiwan Semiconductor Manufacturing Company, Ltd. | Memory device and method of forming the same |
US12035532B2 (en) | 2021-01-15 | 2024-07-09 | Taiwan Semiconductor Manufacturing Company, Ltd. | Memory array and memory device |
US11996317B2 (en) | 2021-01-15 | 2024-05-28 | Taiwan Semiconductor Manufacturing Co., Ltd. | Methods for forming isolation regions by depositing and oxidizing a silicon liner |
US11923357B2 (en) | 2021-01-18 | 2024-03-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device structure and methods of forming the same |
US11532522B2 (en) | 2021-01-19 | 2022-12-20 | Taiwan Semiconductor Manufacturing Company, Ltd. | Source/drain EPI structure for improving contact quality |
US11411079B1 (en) | 2021-01-21 | 2022-08-09 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method |
US11688786B2 (en) | 2021-01-22 | 2023-06-27 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method |
US12057341B2 (en) | 2021-01-27 | 2024-08-06 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device with gate cut structure and method of forming the same |
US11588018B2 (en) | 2021-01-28 | 2023-02-21 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device structure with nanostructure and method for forming the same |
US11810961B2 (en) | 2021-01-28 | 2023-11-07 | Taiwan Semiconductor Manufacturing Co., Ltd. | Transistor gate structures and methods of forming the same |
US11538927B2 (en) | 2021-01-28 | 2022-12-27 | Taiwan Semiconductor Manufacturing Company, Ltd. | Nanostructures and method for manufacturing the same |
US11715762B2 (en) | 2021-01-28 | 2023-08-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Transistor gate structures and methods of forming the same |
US11637180B2 (en) | 2021-01-28 | 2023-04-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Transistor gate structures and methods of forming the same |
US12068322B2 (en) | 2021-01-29 | 2024-08-20 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of forming a multi-layer epitaxial source/drain region having varying concentrations of boron and germanium therein |
US11600703B2 (en) | 2021-01-29 | 2023-03-07 | Taiwan Semiconductor Manufacturing Co., Ltd. | Germanium tin gate-all-around device |
US11581411B2 (en) | 2021-02-09 | 2023-02-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device structure and methods of forming the same |
US11984356B2 (en) | 2021-02-11 | 2024-05-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Contact structures in semiconductor devices |
US12002885B2 (en) | 2021-02-11 | 2024-06-04 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gate contact and via structures in semiconductor devices |
US12040382B2 (en) | 2021-02-12 | 2024-07-16 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of forming a nano-FET semiconductor device |
US11581410B2 (en) | 2021-02-12 | 2023-02-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method |
US11621197B2 (en) | 2021-02-15 | 2023-04-04 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device with gate cut feature and method for forming the same |
US11798943B2 (en) | 2021-02-18 | 2023-10-24 | Taiwan Semiconductor Manufacturing Co., Ltd. | Transistor source/drain contacts and methods of forming the same |
US11563109B2 (en) | 2021-02-19 | 2023-01-24 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device structure and method for forming the same |
US11652171B2 (en) | 2021-02-22 | 2023-05-16 | Taiwan Semiconductor Manufacturing Co., Ltd. | Contact for semiconductor device and method of forming thereof |
US11652140B2 (en) | 2021-02-25 | 2023-05-16 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device structure and methods of forming the same |
US11640941B2 (en) | 2021-02-25 | 2023-05-02 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor devices including metal gate protection and methods of fabrication thereof |
US11670595B2 (en) | 2021-02-25 | 2023-06-06 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device structure and methods of forming the same |
US11688767B2 (en) | 2021-02-25 | 2023-06-27 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device structure and method for forming the same |
US11532628B2 (en) | 2021-02-26 | 2022-12-20 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method |
US11569348B2 (en) | 2021-02-26 | 2023-01-31 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor devices and methods of fabrication thereof |
US11626495B2 (en) | 2021-02-26 | 2023-04-11 | Taiwan Semiconductor Manufacturing Company, Ltd. | Protective liner for source/drain contact to prevent electrical bridging while minimizing resistance |
US11848209B2 (en) | 2021-02-26 | 2023-12-19 | Taiwan Semiconductor Manufacturing Co., Ltd. | Patterning semiconductor devices and structures resulting therefrom |
US11735483B2 (en) | 2021-02-26 | 2023-08-22 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for forming epitaxial source/drain features using a self-aligned mask and semiconductor devices fabricated thereof |
US11610805B2 (en) | 2021-02-26 | 2023-03-21 | Taiwan Semiconductor Manufacturing Company, Ltd. | Replacement material for backside gate cut feature |
US11676862B2 (en) | 2021-02-26 | 2023-06-13 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device structure and methods of forming the same |
US11855143B2 (en) | 2021-02-26 | 2023-12-26 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor structures and methods thereof |
US11854963B2 (en) | 2021-03-03 | 2023-12-26 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor interconnection structure and methods of forming the same |
US11887985B2 (en) | 2021-03-04 | 2024-01-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method |
US11626482B2 (en) | 2021-03-04 | 2023-04-11 | Taiwan Semiconductor Manufacturing Co., Ltd. | Air spacer formation with a spin-on dielectric material |
US12087587B2 (en) | 2021-03-04 | 2024-09-10 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gate structures in transistors and method of forming same |
US11996324B2 (en) | 2021-03-05 | 2024-05-28 | Taiwan Semiconductor Manufacturing Co., Ltd. | Conductive feature of a semiconductor device and method of forming same |
US11876119B2 (en) | 2021-03-05 | 2024-01-16 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device with gate isolation features and fabrication method of the same |
US11387109B1 (en) | 2021-03-05 | 2022-07-12 | Taiwan Semiconductor Manufacturing Co., Ltd. | CMP process and methods thereof |
US12087837B2 (en) | 2021-03-05 | 2024-09-10 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device with backside contact and methods of forming such |
US11538858B2 (en) | 2021-03-05 | 2022-12-27 | Taiwan Semiconductor Manufacturing Company, Ltd. | Memory device, method of forming the same, and memory array |
US12051594B2 (en) | 2021-03-05 | 2024-07-30 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for forming semiconductor device structure with gate |
US11688768B2 (en) | 2021-03-05 | 2023-06-27 | Taiwan Semiconductor Manufacturing Company, Ltd. | Integrated circuit structure with source/drain spacers |
US12040383B2 (en) | 2021-03-05 | 2024-07-16 | Taiwan Semiconductor Manufacturing Company, Ltd. | Multi-gate device and related methods |
US11527614B2 (en) | 2021-03-09 | 2022-12-13 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor structure with conductive structure and method for manufacturing the same |
US11810948B2 (en) | 2021-03-10 | 2023-11-07 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method |
US11855153B2 (en) | 2021-03-10 | 2023-12-26 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method |
US12040233B2 (en) | 2021-03-10 | 2024-07-16 | Taiwan Semiconductor Manufacturing Co., Ltd. | Fin field-effect transistor device and method |
US11581437B2 (en) | 2021-03-11 | 2023-02-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device structure and methods of forming the same |
US11532725B2 (en) | 2021-03-11 | 2022-12-20 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for forming sidewall spacers and semiconductor devices fabricated thereof |
US11942358B2 (en) | 2021-03-12 | 2024-03-26 | Taiwan Semiconductor Manufacturing Co., Ltd. | Low thermal budget dielectric for semiconductor devices |
US11830912B2 (en) | 2021-03-18 | 2023-11-28 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device structure and methods of forming the same |
US11670499B2 (en) | 2021-03-18 | 2023-06-06 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of forming conductive feature including cleaning step |
US11575026B2 (en) | 2021-03-19 | 2023-02-07 | Taiwan Semiconductor Manufacturing Co., Ltd. | Source/drain structure for semiconductor device |
US11862700B2 (en) | 2021-03-19 | 2024-01-02 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device structure including forksheet transistors and methods of forming the same |
US12040219B2 (en) | 2021-03-19 | 2024-07-16 | Taiwan Semiconductor Manufacturing Company, Ltd. | Etch profile control of isolation trench |
US11978773B2 (en) | 2021-03-25 | 2024-05-07 | Taiwan Semiconductor Manufacturing Company, Ltd. | Formation method of semiconductor device structure with semiconductor nanostructures |
US12034054B2 (en) | 2021-03-25 | 2024-07-09 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method for forming the same |
US11984483B2 (en) | 2021-03-26 | 2024-05-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method of manufacturing thereof |
US11605558B2 (en) | 2021-03-26 | 2023-03-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Integrated circuit interconnect structure having discontinuous barrier layer and air gap |
US11482518B2 (en) | 2021-03-26 | 2022-10-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor structures having wells with protruding sections for pickup cells |
US12119259B2 (en) | 2021-03-26 | 2024-10-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Transistor gate contacts and methods of forming the same |
US11942479B2 (en) | 2021-03-26 | 2024-03-26 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and manufacturing method thereof |
US11894460B2 (en) | 2021-03-30 | 2024-02-06 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device having nanosheet transistor and methods of fabrication thereof |
US11843032B2 (en) | 2021-03-30 | 2023-12-12 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device structure with channel and method for forming the same |
US12068248B2 (en) | 2021-03-30 | 2024-08-20 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor interconnection structure and methods of forming the same |
US11682675B2 (en) | 2021-03-30 | 2023-06-20 | Taiwan Semiconductor Manufacturing Co., Ltd. | Fin field-effect transistor device and method |
US11605591B2 (en) | 2021-03-30 | 2023-03-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device structure and methods of forming the same |
US11749729B2 (en) | 2021-03-31 | 2023-09-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device, integrated circuit component and manufacturing methods thereof |
US11961884B2 (en) | 2021-03-31 | 2024-04-16 | Taiwan Semiconductor Manufacturing Company, Ltd. | Fill structures with air gaps |
US12087771B2 (en) | 2021-03-31 | 2024-09-10 | Taiwan Semiconductor Manufacturing Co., Ltd. | Multiple patterning gate scheme for nanosheet rule scaling |
US11901228B2 (en) | 2021-03-31 | 2024-02-13 | Taiwan Semiconductor Manufacturing Co., Ltd. | Self-aligned scheme for semiconductor device and method of forming the same |
US11600534B2 (en) | 2021-03-31 | 2023-03-07 | Taiwan Semiconductor Manufacturing Co., Ltd. | Source/drain structures and method of forming |
US12062658B2 (en) | 2021-03-31 | 2024-08-13 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for forming an integrated circuit having transistor gates over an interconnection structure |
US11515393B2 (en) | 2021-03-31 | 2022-11-29 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device having nanosheet transistor and methods of fabrication thereof |
US12087772B2 (en) | 2021-03-31 | 2024-09-10 | Taiwan Semiconductor Manufacturing Co., Ltd. | Nanosheet device architecture for cell-height scaling |
US11942556B2 (en) | 2021-04-08 | 2024-03-26 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and manufacturing method thereof |
US11695042B2 (en) | 2021-04-08 | 2023-07-04 | Taiwan Semiconductor Manufacturing Co., Ltd. | Transistor contacts and methods of forming the same |
US11664378B2 (en) | 2021-04-08 | 2023-05-30 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device structure and methods of forming the same |
US11658074B2 (en) | 2021-04-08 | 2023-05-23 | Taiwan Semiconductor Manufacturing Company, Ltd. | Structure and method for FinFET device with source/drain modulation |
US11688793B2 (en) | 2021-04-08 | 2023-06-27 | Taiwan Semiconductor Manufacturing Company, Ltd. | Integrated circuit structure and manufacturing method thereof |
US11646346B2 (en) | 2021-04-08 | 2023-05-09 | Taiwan Semiconductor Manufacturing Company, Ltd. | Contact structure with air spacer for semiconductor device and method for forming the same |
US11784228B2 (en) | 2021-04-09 | 2023-10-10 | Taiwan Semiconductor Manufacturing Company, Ltd. | Process and structure for source/drain contacts |
US11545559B2 (en) | 2021-04-14 | 2023-01-03 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method |
US11742353B2 (en) | 2021-04-14 | 2023-08-29 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and manufacturing method thereof |
US11710664B2 (en) | 2021-04-15 | 2023-07-25 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor structure with backside via contact and a protection liner layer |
US11728218B2 (en) | 2021-04-16 | 2023-08-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method |
US11996468B2 (en) | 2021-04-16 | 2024-05-28 | Taiwan Semiconductor Manufacturing Company, Ltd. | Multi-gate device fabrication and structures thereof |
US11855092B2 (en) | 2021-04-16 | 2023-12-26 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method of forming same |
US11848372B2 (en) | 2021-04-21 | 2023-12-19 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method and structure for reducing source/drain contact resistance at wafer backside |
KR20220145195A (ko) | 2021-04-21 | 2022-10-28 | 삼성전자주식회사 | 반도체 장치 및 그 제조 방법 |
US12087845B2 (en) | 2021-04-21 | 2024-09-10 | Taiwan Semiconductor Manufacturing Co., Ltd. | System and methods of manufacturing semiconductor devices |
US11908701B2 (en) | 2021-04-22 | 2024-02-20 | Taiwan Semiconductor Manufacturing Company, Ltd. | Patterning method and manufacturing method of semiconductor device |
US11996327B2 (en) | 2021-04-22 | 2024-05-28 | Taiwan Semiconductor Manufacturing Company, Ltd. | Interconnect structure and methods of forming the same |
US12087641B2 (en) | 2021-04-22 | 2024-09-10 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for forming semiconductor structure with fins using a multilayer mask structure for etching to form nanostructures |
US11737287B2 (en) | 2021-04-23 | 2023-08-22 | Taiwan Semiconductor Manufacturing Company, Ltd. | Memory device, method of forming the same, and semiconductor device having the same |
US11652152B2 (en) | 2021-04-23 | 2023-05-16 | Taiwan Semiconductor Manufacturing Co., Ltd. | Capping structures in semiconductor devices |
US12010928B2 (en) | 2021-04-23 | 2024-06-11 | Taiwan Semiconductor Manufacturing Company, Ltd. | Memory cell, semiconductor device having the same, and methods of manufacturing the same |
US11482595B1 (en) | 2021-04-23 | 2022-10-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Dual side contact structures in semiconductor devices |
US11710774B2 (en) | 2021-04-23 | 2023-07-25 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for forming epitaxial source/drain features and semiconductor devices fabricated thereof |
US11929287B2 (en) | 2021-04-23 | 2024-03-12 | Taiwan Semiconductor Manufacturing Co., Ltd. | Dielectric liner for field effect transistors |
US11996363B2 (en) | 2021-04-28 | 2024-05-28 | Taiwan Semiconductor Manufacturing Company, Ltd. | Interconnect structure including a heat dissipation layer and methods of forming the same |
US11855186B2 (en) | 2021-04-28 | 2023-12-26 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and manufacturing method thereof |
US11915937B2 (en) | 2021-04-29 | 2024-02-27 | Taiwan Semiconductor Manufacturing Co., Ltd. | Fluorine incorporation method for nanosheet |
US11869892B2 (en) | 2021-04-29 | 2024-01-09 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device structure and methods of forming the same |
US11855079B2 (en) | 2021-04-30 | 2023-12-26 | Taiwan Semiconductor Manufacturing Co., Ltd. | Integrated circuit with backside trench for metal gate definition |
US11967622B2 (en) | 2021-05-05 | 2024-04-23 | Taiwan Semiconductor Manufacturing Co., Ltd. | Inter block for recessed contacts and methods forming same |
US11705371B2 (en) | 2021-05-05 | 2023-07-18 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor devices having merged source/drain features and methods of fabrication thereof |
US11978674B2 (en) | 2021-05-05 | 2024-05-07 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device structure and methods of forming the same |
US11600699B2 (en) | 2021-05-05 | 2023-03-07 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device structure integrating air gaps and methods of forming the same |
US11990404B2 (en) | 2021-05-05 | 2024-05-21 | Taiwan Semiconductor Manufacturing Co., Ltd. | Heat dissipation for semiconductor devices and methods of manufacture |
US12107007B2 (en) | 2021-05-05 | 2024-10-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Recessed contacts at line end and methods forming same |
US11476342B1 (en) | 2021-05-05 | 2022-10-18 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device with improved source and drain contact area and methods of fabrication thereof |
US11991887B2 (en) | 2021-05-06 | 2024-05-21 | Taiwan Semiconductor Manufacturing Company, Ltd. | Three-dimensional memory |
US11942478B2 (en) | 2021-05-06 | 2024-03-26 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device structure and methods of forming the same |
US11776895B2 (en) | 2021-05-06 | 2023-10-03 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor structure and method for manufacturing the same |
US11756884B2 (en) | 2021-05-06 | 2023-09-12 | Taiwan Semiconductor Manufacturing Company, Ltd. | Interconnection structure and methods of forming the same |
US20220359545A1 (en) * | 2021-05-07 | 2022-11-10 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor memory devices with dielectric fin structures |
US11640940B2 (en) | 2021-05-07 | 2023-05-02 | Taiwan Semiconductor Manufacturing Company, Ltd. | Methods of forming interconnection structure including conductive graphene layers |
US12094823B2 (en) | 2021-05-07 | 2024-09-17 | Taiwan Semiconductor Manufacturing Company, Ltd. | Interconnection structure and methods of forming the same |
US11575047B2 (en) | 2021-05-12 | 2023-02-07 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device active region profile and method of forming the same |
US12027583B2 (en) | 2021-05-13 | 2024-07-02 | Taiwan Semiconductor Manufacturing Company, Ltd. | Gate structures for semiconductor devices |
US11996484B2 (en) | 2021-05-13 | 2024-05-28 | Taiwan Semiconductor Manufacturing Company, Ltd. | Nano-sheet-based complementary metal-oxide-semiconductor devices with asymmetric inner spacers |
US11792977B2 (en) | 2021-05-13 | 2023-10-17 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor memory structure |
US12080759B2 (en) * | 2021-05-13 | 2024-09-03 | Taiwan Semiconductor Manufacturing Co., Ltd. | Transistor source/drain regions and methods of forming the same |
US11742416B2 (en) | 2021-05-27 | 2023-08-29 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor structure and method for manufacturing the same |
US11757018B2 (en) | 2021-05-27 | 2023-09-12 | Taiwan Semiconductor Manufacturing Company, Ltd. | Formation method of semiconductor device with gate all around structure |
US11869954B2 (en) | 2021-05-28 | 2024-01-09 | Taiwan Semiconductor Manufacturing Co., Ltd. | Nanostructured channel regions for semiconductor devices |
US11901415B2 (en) | 2021-05-28 | 2024-02-13 | Taiwan Semiconductor Manufacturing Co., Ltd. | Transistor isolation structures |
US12021116B2 (en) | 2021-06-04 | 2024-06-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor gates and methods of forming the same |
US11764277B2 (en) | 2021-06-04 | 2023-09-19 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor structure and method for manufacturing the same |
US12009208B2 (en) | 2021-06-07 | 2024-06-11 | Taiwan Semiconductor Manufacturing Company, Ltd. | Deposition equipment with adjustable temperature source |
US12107006B2 (en) | 2021-06-15 | 2024-10-01 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for manufacturing semiconductor structure with dielectric feature |
US11688645B2 (en) | 2021-06-17 | 2023-06-27 | Taiwan Semiconductor Manufacturing Company, Ltd. | Structure and formation method of semiconductor device with fin structures |
US11996321B2 (en) | 2021-06-17 | 2024-05-28 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor structure and method for forming the same |
US11810919B2 (en) | 2021-06-17 | 2023-11-07 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device structure with conductive via structure and method for forming the same |
US12015060B2 (en) | 2021-06-24 | 2024-06-18 | Taiwan Semiconductor Manufacturing Company, Ltd. | Structure and formation method of semiconductor device with backside contact |
US11916151B2 (en) | 2021-06-25 | 2024-02-27 | Taiwan Semiconductor Manufacturing Company Ltd. | Semiconductor structure having fin with all around gate |
US12087775B2 (en) | 2021-07-08 | 2024-09-10 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gate structures in transistor devices and methods of forming same |
US11855167B2 (en) | 2021-07-08 | 2023-12-26 | Taiwan Semiconductor Manufacturing Company, Ltd. | Structure and formation method of semiconductor device with nanosheet structure |
US11916122B2 (en) | 2021-07-08 | 2024-02-27 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gate all around transistor with dual inner spacers |
US12057506B2 (en) | 2021-07-08 | 2024-08-06 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and manufacturing method thereof |
US12002663B2 (en) | 2021-07-16 | 2024-06-04 | Taiwan Semiconductor Manufacturing Company, Ltd. | Processing apparatus and method for forming semiconductor structure |
US12062576B2 (en) | 2021-07-16 | 2024-08-13 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor devices with a rare earth metal oxide layer |
US12021125B2 (en) | 2021-07-16 | 2024-06-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | High selectivity etching with germanium-containing gases |
US11626400B2 (en) | 2021-07-16 | 2023-04-11 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device structure incorporating air gap |
US12075608B2 (en) | 2021-07-16 | 2024-08-27 | Taiwan Semiconductor Manufacturing Company Ltd. | Multi-gate semiconductor device for memory and method for forming the same |
US12100770B2 (en) | 2021-07-19 | 2024-09-24 | Taiwan Semiconductor Manufacturing Company, Ltd. | Field effect transistor with gate isolation structure and method |
US12002845B2 (en) | 2021-07-23 | 2024-06-04 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of manufacturing a semiconductor device and a semiconductor device |
US12074204B2 (en) | 2021-07-23 | 2024-08-27 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor structure and method for forming the same |
US11942329B2 (en) | 2021-07-23 | 2024-03-26 | Taiwan Semiconductor Manufacturing Company, Ltd. | Formation method of semiconductor device with dielectric isolation structure |
US12113113B2 (en) | 2021-07-29 | 2024-10-08 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device with a core-shell feature and method for forming the same |
US11935954B2 (en) | 2021-07-30 | 2024-03-19 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device structure and method for forming the same |
US11948843B2 (en) | 2021-08-06 | 2024-04-02 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for forming hardmask formation by hybrid materials in semiconductor device |
US12119345B2 (en) | 2021-08-06 | 2024-10-15 | Taiwan Semiconductor Manufacturing Company Ltd. | Semiconductor structure and method for forming the same |
US11957070B2 (en) | 2021-08-06 | 2024-04-09 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device, memory cell and method of forming the same |
US11670590B2 (en) | 2021-08-12 | 2023-06-06 | Taiwan Semiconductor Manufacturing Company, Ltd. | Chip structure with etch stop layer and method for forming the same |
US12051732B2 (en) | 2021-08-12 | 2024-07-30 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor structure and method for forming the same |
US12080597B2 (en) | 2021-08-13 | 2024-09-03 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor devices and methods of manufacture |
US11908702B2 (en) * | 2021-08-19 | 2024-02-20 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gate structures in semiconductor devices |
US12107163B2 (en) | 2021-08-19 | 2024-10-01 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device structure having dislocation stress memorization and methods of forming the same |
US12074061B2 (en) | 2021-08-19 | 2024-08-27 | Taiwan Semiconductor Manufacturing Co., Ltd. | Field effect transistor with multi-metal gate via and method |
US11581416B1 (en) | 2021-08-19 | 2023-02-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gate structures in semiconductor devices |
US11908921B2 (en) | 2021-08-26 | 2024-02-20 | Taiwan Semiconductor Manufacturing Co., Ltd. | Transistor isolation structures |
US12020991B2 (en) | 2021-08-26 | 2024-06-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | High-k gate dielectric and method forming same |
US12040384B2 (en) | 2021-08-27 | 2024-07-16 | Taiwan Semiconductor Manufacturing Co., Ltd. | Source/drain structure for semiconductor device |
US11901364B2 (en) | 2021-08-27 | 2024-02-13 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device structure and methods of forming the same |
US12062693B2 (en) | 2021-08-27 | 2024-08-13 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device structure and methods of forming the same |
US11804532B2 (en) | 2021-08-27 | 2023-10-31 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gate-all-around devices with superlattice channel |
US11990511B2 (en) | 2021-08-27 | 2024-05-21 | Taiwan Semiconductor Manufacturing Co., Ltd. | Source/drain device and method of forming thereof |
US12002867B2 (en) | 2021-08-27 | 2024-06-04 | Taiwan Semiconductor Manufacturing Co., Ltd. | Contact structure for semiconductor device |
US11756995B2 (en) | 2021-08-27 | 2023-09-12 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of forming a semiconductor device structure having an isolation layer to isolate a conductive feature and a gate electrode layer |
US11855078B2 (en) | 2021-08-27 | 2023-12-26 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device structure including forksheet transistors and methods of forming the same |
US12074060B2 (en) | 2021-08-28 | 2024-08-27 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device structure and methods of forming the same |
US11784225B2 (en) | 2021-08-30 | 2023-10-10 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor structure, method of forming stacked unit layers and method of forming stacked two-dimensional material layers |
US11810824B2 (en) | 2021-08-30 | 2023-11-07 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and manufacturing method thereof |
US11688625B2 (en) | 2021-08-30 | 2023-06-27 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for manufacturing semiconductor device |
US12080775B2 (en) | 2021-08-30 | 2024-09-03 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and forming method thereof |
US12074063B2 (en) | 2021-08-30 | 2024-08-27 | Taiwan Semiconductor Manufacturing Company, Ltd. | Contact formation method and related structure |
US11920254B2 (en) | 2021-08-30 | 2024-03-05 | Taiwan Semiconductor Manufacturing Co., Ltd. | Detection of contact formation between a substrate and contact pins in an electroplating system |
US11901412B2 (en) | 2021-08-30 | 2024-02-13 | Taiwan Semiconductor Manufacturing Co., Ltd. | Facet-free epitaxial structures for semiconductor devices |
US12094764B2 (en) | 2021-08-30 | 2024-09-17 | Taiwan Semiconductor Manufacturing Company, Ltd. | Interconnect structure and methods of forming the same |
US11894276B2 (en) | 2021-08-30 | 2024-02-06 | Taiwan Semiconductor Manufacturing Company, Ltd. | Multiple gate field-effect transistors having various gate oxide thicknesses and methods of forming the same |
US11908893B2 (en) | 2021-08-30 | 2024-02-20 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method of forming the same |
US11710781B2 (en) | 2021-08-30 | 2023-07-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Growth process and methods thereof |
US12009259B2 (en) | 2021-08-30 | 2024-06-11 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor devices including low-k metal gate isolation and methods of fabrication thereof |
US11990524B2 (en) | 2021-08-30 | 2024-05-21 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and forming method thereof |
US11749570B2 (en) | 2021-08-31 | 2023-09-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | Etch monitoring and performing |
US12063785B2 (en) | 2021-08-31 | 2024-08-13 | Taiwan Semiconductor Manufacturing Company Ltd. | Integrated circuit, memory device and method of manufacturing the same |
US11948840B2 (en) | 2021-08-31 | 2024-04-02 | Taiwan Semiconductor Manufacturing Co., Ltd. | Protective layer over FinFET and method of forming same |
US11901410B2 (en) | 2021-08-31 | 2024-02-13 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor devices and methods of manufacture |
US12051736B2 (en) | 2021-08-31 | 2024-07-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Field effect transistor with inner spacer liner layer and method |
US11942533B2 (en) | 2021-08-31 | 2024-03-26 | Taiwan Semiconductor Manufacturing Co., Ltd. | Channel structures for semiconductor devices |
US12002863B2 (en) | 2021-08-31 | 2024-06-04 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device with air-gap spacers |
US12080776B2 (en) | 2021-08-31 | 2024-09-03 | Taiwan Semiconductor Manufacturing Co., Ltd. | Field effect transistor with fin isolation structure and method |
US11973124B2 (en) | 2021-11-04 | 2024-04-30 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of manufacturing a semiconductor device and a semiconductor device |
US12021117B2 (en) | 2021-11-17 | 2024-06-25 | Taiwan Semiconductor Manufacturing Company Ltd. | Semiconductor structure and method of forming the same |
US12087616B2 (en) | 2022-01-27 | 2024-09-10 | Taiwan Semiconductor Manufacturing Company, Ltd. | Air gap formation method |
US12087776B2 (en) | 2022-01-27 | 2024-09-10 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and manufacturing method thereof |
US12080769B2 (en) | 2022-02-15 | 2024-09-03 | Taiwan Semiconductor Manufacturing Company, Ltd. | Contact structure with silicide and method for forming the same |
US11955384B2 (en) | 2022-02-17 | 2024-04-09 | Taiwan Semiconductor Manufacturing Company, Ltd. | Stacked semiconductor device with nanostructure channels and manufacturing method thereof |
US12046476B2 (en) | 2022-03-25 | 2024-07-23 | Taiwan Semiconductor Manufacturing Company, Ltd. | Wet etching chemistry and method of forming semiconductor device using the same |
US12089391B2 (en) | 2022-06-29 | 2024-09-10 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device |
Family Cites Families (19)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7667271B2 (en) | 2007-04-27 | 2010-02-23 | Taiwan Semiconductor Manufacturing Company, Ltd. | Fin field-effect transistors |
US7910453B2 (en) | 2008-07-14 | 2011-03-22 | Taiwan Semiconductor Manufacturing Company, Ltd. | Storage nitride encapsulation for non-planar sonos NAND flash charge retention |
US8310013B2 (en) | 2010-02-11 | 2012-11-13 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of fabricating a FinFET device |
US8399931B2 (en) | 2010-06-30 | 2013-03-19 | Taiwan Semiconductor Manufacturing Company, Ltd. | Layout for multiple-fin SRAM cell |
US8729627B2 (en) | 2010-05-14 | 2014-05-20 | Taiwan Semiconductor Manufacturing Company, Ltd. | Strained channel integrated circuit devices |
US8816444B2 (en) | 2011-04-29 | 2014-08-26 | Taiwan Semiconductor Manufacturing Company, Ltd. | System and methods for converting planar design to FinFET design |
US8466027B2 (en) | 2011-09-08 | 2013-06-18 | Taiwan Semiconductor Manufacturing Company, Ltd. | Silicide formation and associated devices |
US8723272B2 (en) | 2011-10-04 | 2014-05-13 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET device and method of manufacturing same |
US8377779B1 (en) | 2012-01-03 | 2013-02-19 | Taiwan Semiconductor Manufacturing Company, Ltd. | Methods of manufacturing semiconductor devices and transistors |
US8735993B2 (en) | 2012-01-31 | 2014-05-27 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET body contact and method of making same |
US8785285B2 (en) | 2012-03-08 | 2014-07-22 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor devices and methods of manufacture thereof |
US8716765B2 (en) | 2012-03-23 | 2014-05-06 | Taiwan Semiconductor Manufacturing Company, Ltd. | Contact structure of semiconductor device |
US8860148B2 (en) | 2012-04-11 | 2014-10-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | Structure and method for FinFET integrated with capacitor |
US8736056B2 (en) | 2012-07-31 | 2014-05-27 | Taiwan Semiconductor Manufacturing Company, Ltd. | Device for reducing contact resistance of a metal |
US8823065B2 (en) | 2012-11-08 | 2014-09-02 | Taiwan Semiconductor Manufacturing Company, Ltd. | Contact structure of semiconductor device |
US8772109B2 (en) | 2012-10-24 | 2014-07-08 | Taiwan Semiconductor Manufacturing Company, Ltd. | Apparatus and method for forming semiconductor contacts |
US9236300B2 (en) | 2012-11-30 | 2016-01-12 | Taiwan Semiconductor Manufacturing Company, Ltd. | Contact plugs in SRAM cells and the method of forming the same |
US9551923B2 (en) * | 2014-04-08 | 2017-01-24 | Taiwan Semiconductor Manufacturing Co., Ltd. | Cut mask design layers to provide compact cell height |
KR102217246B1 (ko) * | 2014-11-12 | 2021-02-18 | 삼성전자주식회사 | 집적회로 소자 및 그 제조 방법 |
-
2015
- 2015-11-13 US US14/940,841 patent/US9520482B1/en active Active
-
2016
- 2016-11-09 TW TW105136459A patent/TWI710062B/zh active
- 2016-11-11 CN CN201610993412.9A patent/CN106711045A/zh active Pending
Cited By (12)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN109216354A (zh) * | 2017-06-29 | 2019-01-15 | 台湾积体电路制造股份有限公司 | 金属栅极结构切割工艺 |
CN109216354B (zh) * | 2017-06-29 | 2021-04-06 | 台湾积体电路制造股份有限公司 | 金属栅极结构切割工艺 |
US11056478B2 (en) | 2017-06-29 | 2021-07-06 | Taiwan Semiconductor Manufacturing Co., Ltd. | Metal gate structure cutting process |
US11810909B2 (en) | 2017-06-29 | 2023-11-07 | Taiwan Semiconductor Manufacturing Co., Ltd. | Metal gate structure cutting process |
CN110892523A (zh) * | 2017-07-20 | 2020-03-17 | 国际商业机器公司 | 形成自对准触点 |
CN109427777A (zh) * | 2017-08-30 | 2019-03-05 | 台湾积体电路制造股份有限公司 | 具有倾斜侧壁的切割金属栅极 |
US11616061B2 (en) | 2017-08-30 | 2023-03-28 | Taiwan Semiconductor Manufacturing Co., Ltd. | Cut metal gate with slanted sidewalls |
CN109786463A (zh) * | 2017-11-15 | 2019-05-21 | 台湾积体电路制造股份有限公司 | 金属栅极结构及其制造方法 |
CN109786463B (zh) * | 2017-11-15 | 2022-03-04 | 台湾积体电路制造股份有限公司 | 金属栅极结构及其制造方法 |
CN110197828A (zh) * | 2018-02-26 | 2019-09-03 | 台湾积体电路制造股份有限公司 | 半导体器件及其形成方法 |
CN110197828B (zh) * | 2018-02-26 | 2021-08-24 | 台湾积体电路制造股份有限公司 | 半导体器件及其形成方法 |
CN110890276A (zh) * | 2018-09-11 | 2020-03-17 | Imec 非营利协会 | 栅极、触点和翅片切割方法 |
Also Published As
Publication number | Publication date |
---|---|
US9520482B1 (en) | 2016-12-13 |
TW201727833A (zh) | 2017-08-01 |
TWI710062B (zh) | 2020-11-11 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
CN106711045A (zh) | 切割金属栅极的方法 | |
US11670717B2 (en) | Structure of S/D contact and method of making same | |
US11855087B2 (en) | Semiconductor device and fabricating the same | |
US10923565B2 (en) | Self-aligned contact air gap formation | |
KR101770458B1 (ko) | Finfet 디바이스의 제조 방법 | |
US9620633B2 (en) | Quantum well fin-like field effect transistor (QWFinFET) having a two-section combo QW structure | |
TWI502747B (zh) | 半導體元件與其製造方法 | |
KR101730727B1 (ko) | 반도체 소자 및 그 제조 방법 | |
CN106711044A (zh) | 切割金属栅极的方法 | |
US20170301588A1 (en) | Structure and Method for FinFET Device | |
KR101745148B1 (ko) | Finfet 디바이스 및 이를 형성하는 방법 | |
TWI567981B (zh) | 鰭部件的結構及其製造方法 | |
US11862701B2 (en) | Stacked multi-gate structure and methods of fabricating the same | |
CN106469684B (zh) | 半导体装置及其形成方法 | |
TW202209575A (zh) | 半導體裝置及其形成方法 | |
TWI555067B (zh) | 積體電路裝置及其製造方法 | |
CN107204278B (zh) | 在材料层中形成开口的方法 | |
TW202205389A (zh) | 半導體裝置及其形成方法 | |
TW202027220A (zh) | 半導體結構及其形成方法 | |
US9728407B2 (en) | Method of forming features with various dimensions | |
TW202211472A (zh) | 半導體結構及其形成方法 | |
CN109841570A (zh) | 半导体装置、制造半导体装置的方法及用于执行半导体装置的方法 | |
TW201733017A (zh) | 半導體裝置的製造方法 | |
US20220102204A1 (en) | Integrated circuit structure and manufacturing method thereof | |
US20220102211A1 (en) | Integrated circuit structure and manufacturing method thereof |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
PB01 | Publication | ||
PB01 | Publication | ||
WD01 | Invention patent application deemed withdrawn after publication | ||
WD01 | Invention patent application deemed withdrawn after publication |
Application publication date: 20170524 |