CN112750761A - 半导体装置及其制造方法 - Google Patents

半导体装置及其制造方法 Download PDF

Info

Publication number
CN112750761A
CN112750761A CN202011190458.XA CN202011190458A CN112750761A CN 112750761 A CN112750761 A CN 112750761A CN 202011190458 A CN202011190458 A CN 202011190458A CN 112750761 A CN112750761 A CN 112750761A
Authority
CN
China
Prior art keywords
layer
etch stop
stop layer
dielectric layer
opening
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202011190458.XA
Other languages
English (en)
Inventor
汪于仕
叶柏男
邱钰婷
林群能
陈佳政
陈亮吟
叶明熙
黄国彬
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/906,615 external-priority patent/US11488857B2/en
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN112750761A publication Critical patent/CN112750761A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76804Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics by forming tapered via holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/101Forming openings in dielectrics

Abstract

本文公开了一种半导体装置及其制造方法,包括形成穿过层间介电(interlayer dielectric,ILD)层的开口,以露出设置于金属化层中导电部件上方的接触蚀刻停止层(contact etch stop layer,CESL)。使用光敏材料、微影技术及停止于CESL上的干式蚀刻制程来形成开口。一旦露出CESL,便进行CESL穿透制程以将开口延伸穿过CESL并露出导电部件。CESL穿透制程是一种CESL对ILD层具有高选择性的可调性的制程。一旦进行CESL穿透制程,可沉积导电填充材料以填充或过填充开口,然后在形成半导体装置的中间步骤中,将其与ILD层平坦化,以在导电部件上方形成接触插塞。

Description

半导体装置及其制造方法
技术领域
本申请要求于2019年10月31日提交名称为“半导体装置及制造方法”的美国临时申请No.62/928,671的权益,该申请通过引用合并于此。
本公开实施例涉及一种半导体装置,特别是涉及一种具有掺质布植区的半导体装置。
背景技术
半导体装置用于各式各样的电子应用中,例如个人电脑、手机、数码相机与其他电子设备。半导体装置的制造一般是通过于半导体基板上依序沉积绝缘层或介电层、导电层以及半导体层的材料,并利用微影图案化各种材料层以于其上形成电路组件与元件。
半导体工业通过逐步缩减最小部件尺寸来不断增加集成电路(integratedcircuits,ICs)中各种电子元件(例如,晶体管、二极管、电阻、电容等)的集成密度,允许将多个元件集成于一给定的面积中。然而,随着最小部件尺寸微缩化,额外产生的问题须被解决。
发明内容
本公开实施例提供一种半导体装置的制造方法,包括:形成开口于介电材料中,开口露出在导电部件上方蚀刻停止层的目标区;对目标区进行掺质布植;及进行蚀刻制程,以移除目标区中蚀刻停止层材料的一部分并露出导电部件。
本公开实施例提供一种半导体装置的制造方法,包括:沉积蚀刻停止层于第一介电层中的金属化层上方,蚀刻停止层具有相对于第一蚀刻剂的第一蚀刻速率;及沉积第二介电层于蚀刻停止层上方;形成穿过第二介电层的导孔开口,导孔开口露出蚀刻停止层;通过开口对蚀刻停止层进行离子布植,离子布植将相对于第一蚀刻剂的第一蚀刻速率改变为第二蚀刻速率;及于进行离子布植之后,使用第一蚀刻剂进行移除制程,金属化层经由导孔开口露出。
本公开实施例提供一种半导体装置,包括:金属化层,于半导体基板上方;蚀刻停止层,于金属化层上方;层间介电层,于蚀刻停止层上方;金属插塞,穿过层间介电层及穿过蚀刻停止层;及掺质布植区,于层间介电层内,并且位在邻近于层间介电层的第一表面并且也邻近于层间介电层的第二表面处,第一表面与金属插塞实体接触,且第二表面位于层间介电层与蚀刻停止层相反的一侧。
附图说明
本公开的各方面从以下详细描述中配合附图可最好地被理解。应强调的是,依据业界的标准做法,各种部件并未按照比例绘制。事实上,为了清楚讨论,各种部件的尺寸可任意放大或缩小。
图1是根据一些实施例,示出硬遮罩层的图案化。
图2是根据一些实施例,示出介电层的蚀刻。
图3A至图3B是根据一实施例,示出形成穿过蚀刻停止层的开口的穿透制程。
图4是根据一些实施例,示出内连线的形成。
图5A至图5B是根据另一实施例,示出形成穿过蚀刻停止层的穿透制程。
图6是根据另一实施例,示出内连线的形成。
其中,附图标记说明如下:
100:半导体装置
101:半导体基板
103:主动元件
104:接触插塞
105:层间介电层
107:第二介电层
109:第一导孔
111:第一导电沟槽
113:接触蚀刻停止层
116:第一介电层
118:接触插塞沟槽
119:第三介电层
120:开口
121:抗反射层
123:第一硬遮罩
125:第一光阻
150:第一图案化制程
250:第一蚀刻制程
301:目标区
303:掺杂的介电质区
350:穿透布植
360:蚀刻停止移除步骤
550:第二穿透布植
560:第二蚀刻停止移除步骤
901:内连线
903:阻障层
W1:第一宽度
W2:第二宽度
W3:第三宽度
W4:第四宽度
Th1:第一厚度
Th2:第二厚度
D1:第一深度
D2:第二深度
D3:第三深度
具体实施方式
以下内容提供了许多不同的实施例或范例,以进行本公开实施例的不同部件。以下描述组件及配置方式的具体范例,以简化本公开实施例。当然,这些仅仅是范例,而非意图限制本公开实施例。举例而言,在以下描述中提及于第二部件上方或其上形成第一部件,其可包含第一部件及第二部件以直接接触的方式形成的实施例,并且可包含在第一部件及第二部件之间形成额外的部件,使得第一部件及第二部件可不直接接触的实施例。此外,本公开实施例可在各种范例中重复参见数值及/或字母。如此重复是为了简化及清楚的目的,其本身并非用于指定所讨论的各种实施例及/或配置之间的关系。
再者,此处可能使用空间相对用语,例如“在……之下”、“在……下方”、“下方的”、“在……上方”、“上方的”等类似的用语,以便描述图式中一部件或部件与另一(些)部件或部件之间的关系。空间相对用语除了包含附图示出的方位外,也意图包含使用中或操作中的装置的不同方位。当装置被旋转至不同方位时(旋转90度或其他方位),此处所使用的空间相对描述也将同样地依旋转后的方位来解释。
现在将以关于在3nm制程节点的中段产线制程中用于移除硬遮罩及蚀刻停止层的蚀刻制程来描述实施例。然而,本文描述的实施例可被用于多种应用中,且讨论不应被解释为限制实施例。
现在参见图1,是根据一些实施例,示出用于在半导体装置100的中间结构中形成开口120的第一图案化制程150。图1的中间结构包括半导体基板101、位于半导体基板101的主动区(或氧化物定义(oxide definition,OD)区)内的主动元件103、位于主动元件103上方的层间介电层105、接触插塞104、第一介电层116、接触插塞沟槽118、第二介电层107以及位于第二介电层107内的第一导孔109及导电沟槽111。在一实施例中,半导体基板101可包括块体硅、掺杂或未掺杂的硅或绝缘体上覆硅(silicon-on-insulator,SOI)基板。通常,SOI基板包括例如下列的半导体材料层:硅、锗、硅锗、SOI、绝缘体上覆硅锗(silicongermanium on insulator,SGOI)或其组合。可使用的其他基板包括多层基板、梯度基板或混合定向(hybrid orientation)基板。
主动元件103可形成于半导体基板101中及/或上方。如本领域中具有通常知识者将理解的,可使用各式各样的主动元件及被动元件以产生用于半导体装置100的期望的结构及功能要求的设计,上述主动元件及被动元件例如晶体管、电容器、电阻器、其组合等。可使用任何合适的方法来形成主动元件103。
层间介电层105形成于主动元件103上方,以保护及隔离主动元件103。在一实施例中,尽管可将任何合适的介电质可用于任一层,但层间介电层105可包括例如硼磷硅酸盐玻璃(boron phosphorous silicate glass,BPSG)的材料。可使用例如PECVD的制程来形成层间介电层105,尽管也可使用例如LPCVD的其他制程。层间介电层105可形成为介于约
Figure BDA0002752586580000051
至约
Figure BDA0002752586580000052
之间的厚度。
一旦形成层间介电层105,可形成穿过层间介电层105的接触插塞104,以将主动元件103与上方结构电性连接。在一实施例中,可通过首先穿过层间介电层105形成接触插塞开口以露出主动元件103的导电部来开始形成接触插塞104。在一实施例中,可使用合适的光学微影遮罩及蚀刻制程来形成接触插塞开口。
一旦形成接触插塞开口,可开始形成第一粘着层(图1中未单独示出)。在一实施例中,第一粘着层被用来帮助将其余的接触插塞104粘着至下方结构,且可使用下列制程来形成:CVD、等离子体增强化学气相沉积(plasma enhanced chemical vapor deposition,PECVD)、物理气相沉积(physical vapor deposition,PVD)、原子层沉积(atomic layerdeposition,ALD)及其相似方法等,上述第一粘着层可例如为钨、氮化钛、氮化钽或其类似物。
一旦形成第一粘着层,便形成与粘着层接触的其余的接触插塞104。在一实施例中,接触插塞104的材料为钨(tungsten,W),尽管可使用例如下列任何其他合适的材料:铝、铜、钴、其组合或其类似材料。可使用例如CVD的制程来形成接触插塞104的材料,尽管可使用例如PECVD、物理气相沉积(physical vapor deposition,PVD)、原子层沉积(atomiclayer deposition,ALD)及其相似方法的任何合适的制程。
一旦填充,便对接触插塞104进行平坦化,使得层间介电层105外部的接触插塞104的材料被移除,形成接触插塞104(图1中示出其中之一)。在一实施例中,平坦化制程为化学机械研磨(chemical mechanical polish,CMP),其中将蚀刻材料及研磨材料的组合与接触插塞104的材料接触,并且使用研磨垫(未单独示出)研磨掉接触插塞104的材料直到所有位于层间介电层105上方的接触插塞104的材料被移除。
可于层间介电层105上方形成第一介电层116,第一介电层116可由一或多种例如下列的合适的介电材料所组成:低k介电质、极低k介电质、聚合物、其组合或其相似材料,上述低k介电质例如掺杂碳的氧化物,上述极低k介电质例如多孔碳掺杂的二氧化硅、氧化硅、氮化硅,上述聚合物例如聚酰亚胺。可通过例如旋涂制程或化学气相沉积(chemical vapordeposition,CVD)的制程形成第一介电层116,尽管可利用任何合适的制程,并且第一介电层116可具有介于约
Figure BDA0002752586580000061
至约
Figure BDA0002752586580000062
之间的厚度,例如约
Figure BDA0002752586580000063
一旦形成第一介电层116,可形成接触插塞沟槽118,以将接触插塞104连接至上方的金属化层,并且在一些实施例中将接触插塞104彼此连接。在一实施例中,可使用镶嵌制程形成接触插塞沟槽118,由此于第一介电层116内形成开口以露出接触插塞104,然后用导电材料填充开口。在另一实施例中,可使用例如双镶嵌制程同时形成接触插塞沟槽118及接触插塞104。可利用制造接触插塞104及接触插塞沟槽118的任何合适的方法。
可于第一介电层116上方形成第二介电层107。第二介电层107可由例如下列一种或多种合适的介电材料所组成:低k介电质、极低k介电质、聚合物、其组合或其相似材料,上述低k介电质例如掺杂碳的氧化物,上述极低k介电质例如多孔碳掺杂的二氧化硅、氧化硅、氮化硅,上述聚合物例如聚酰亚胺。可通过例如旋涂制程或化学气相沉积(chemical vapordeposition,CVD)的制程形成第二介电层107,尽管可利用任何合适的制程,并且第一介电层116可具有介于约
Figure BDA0002752586580000064
至约
Figure BDA0002752586580000065
之间的厚度,例如约
Figure BDA0002752586580000066
图1还示出于第二介电层107内形成导电部件(例如,导电导孔、导电沟槽、金属化层、导电迹线、导线、金属垫、金属柱、其组合等)。在一实施例中,导电部件可包括使用例如双镶嵌制程形成的第一导孔109及第一导电沟槽111,由此于第二介电层107内首先形成第一导孔109及导电沟槽111的开口。在一实施例中,可通过于第二介电层107上方放置并图案化光阻材料来形成开口。一旦放置并图案化光阻材料,可使用例如活性离子蚀刻的干式蚀刻制程,以将图案从该图案化的光阻转移至下方的第二介电层107。可重复此制程,以形成开口的导孔部以及开口的沟槽部。
一旦形成开口,可用导电材料填充开口,以于第二介电层107内形成第一导孔109以及导电沟槽111。在一实施例中,可通过首先沉积阻障层(图1中未单独示出)开始形成导电材料。阻障层可为使用例如下列沉积制程来沉积的阻障材料:化学气相沉积、物理气相沉积、原子层沉积或其相似制程,上述阻障材料例如氮化钛或氮化钽。然而,可使用任何合适的材料或沉积方法来形成阻障层。
一旦形成阻障层,可于第二介电层107内沉积导电材料以填充及/或过填充开口。在一实施例中,导电材料可为一种例如下列的材料:铜、钨、钴、钌、二氧化钛、铝、合金、掺杂的多晶硅、其组合或其相似材料,可使用例如晶种层(未示出)及例如电化学电镀的电镀制程来形成导电材料,尽管可取决于期望的材料而使用溅射、蒸发或PECVD制程的其他形成制程。一旦导电材料填充开口,可移除开口外侧的任何多余的导电材料,并且可使用例如化学机械研磨制程来将导电沟槽111以及第二介电层107平坦化。
图1还示出形成另一金属化层(位于由第一导孔109及导电沟槽111所形成的金属化层上方)的初始制程。在实施例中,于第二介电层107上方形成接触蚀刻停止层113以及第三介电层119。
接触蚀刻停止层113是用来保护下方结构并为后续蚀刻制程提供控制点(controlpoint)。在一实施例中,可使用等离子体增强化学气相沉积(plasma enhanced chemicalvapor deposition,PECVD)形成由氧化铝(AlOx)所组成的接触蚀刻停止层113,尽管可使用例如下列的其他材料:氮化物、碳化物、硼化物、其组合或其相似材料,以及可使用例如下列的技术:低压CVD(low pressure CVD,LPCVD)、PVD或其相似技术。可将接触蚀刻停止层113形成为具有介于约
Figure BDA0002752586580000071
至约
Figure BDA0002752586580000072
之间的第一厚度Th1,例如约
Figure BDA0002752586580000073
可选地,接触蚀刻停止层113可为底蚀刻停止层及可选的第二蚀刻停止层(未示出)且/或可于接触蚀刻停止层113上方形成可选的第三蚀刻停止层(未示出)。在一实施例中,可选的第二蚀刻停止层可由例如碳掺杂的氧化物的材料所形成,且可选的第三蚀刻停止层可由例如氧化铝的材料所形成,尽管任何合适的材料例如氮化铝也可使用,上述碳掺杂的氧化物例如SiOC。可使用例如下列沉积制程来形成可选的第二蚀刻停止层及可选的第三蚀刻停止层:化学气相沉积、物理气相沉积、原子层沉积或其相似制程,且可将其沉积至具有介于约
Figure BDA0002752586580000074
至约
Figure BDA0002752586580000075
之间的厚度,例如约
Figure BDA0002752586580000076
然而,可使用任何合适的材料、沉积制程及厚度。
一旦形成接触蚀刻停止层113,可于接触蚀刻停止层113上方形成第三介电层119,作为多孔介电层或非多孔介电层。在一实施例中,第三介电层119可例如为用来帮助将内连线与其他结构隔离的低k介电膜。通过隔离内连线,可减少内连线的电阻-电容(resistance-capacitance,RC)延迟,从而提高通过内连线的电流的整体效率及速度。
在一实施例中,第三介电层119可为多孔材料或包括例如下列的无孔材料:SiOCN、SiCN或SiOC,并且可通过于接触蚀刻停止层113上方首先形成前驱物层来形成。前驱物层可同时包括基质材料及散布于基体材料内的成孔剂,以形成多孔层,或也可包括不含成孔剂的基体材料,以形成非多孔层。在一实施例中,举例而言,可通过使用例如等离子体增强化学气相沉积(plasma enhanced chemical vapor deposition,PECVD)的制程将基质及成孔剂共沉积来形成前驱物层,其中同时沉积基质材料与成孔剂,从而形成基质材料及成孔剂混合在一起的前驱物层。然而,如本领域普通技术人员将理解的,使用同时的PECVD制程的共沉积并非可用于形成前驱物层的唯一制程。也可使用任何适合的制程,例如将基质材料及成孔剂材料预混合为液体,然后将混合物旋涂至接触蚀刻停止层113上。
前驱物层可形成为足以提供第三介电层119所需的隔离及布线特性的厚度。在一实施例中,可将前驱物层形成为具有介于约
Figure BDA0002752586580000081
至约
Figure BDA0002752586580000082
之间的第二厚度Th2,例如约
Figure BDA0002752586580000083
然而,由于前驱物层的精确厚度可为任何合适的期望厚度,所以这些厚度仅为示例性,且不意图限制实施例的范围。
可使用例如PECVD的制程来形成基质材料或基础介电材料,尽管也可使用例如下列任何合适的制程:化学气相沉积(chemical vapor deposition,CVD)、物理气相沉积(physical vapor deposition,PVD)或甚至是旋涂。PECVD制程可利用例如甲基二乙烷氧基硅烷(methyldiethoxy silane,DEMS)的前驱物,尽管也可使用例如下列的其它前驱物:其他硅烷、烷基硅烷(例如,三甲基硅烷及四甲基硅烷)、烷氧基硅烷(例如,甲基三乙烷氧基硅烷(methyltriethoxysilane,MTEOS)、甲基三甲氧烷基硅烷(methyltrimethoxysilane,MTMOS)、甲基二甲氧烷基硅烷(methyldimethoxysilane,MDMOS)、三甲基甲氧烷基硅烷(trimethylmethoxysilane,TMMOS)及二甲基二甲氧烷基硅烷(dimethyldimethoxysilane,DMDMOS))、线性硅氧烷及环状硅氧烷(例如,八甲基环四硅氧烷(octamethylcyclotetrasiloxane,OMCTS)及四甲基环四硅氧烷(tetramethylcyclotetrasiloxane,TMCTS))、其组合等。然而,如本领域普通技术人员将理解的,由于可利用任何其他合适的基质前驱物,因此本文所列的材料及方法仅为示例性,并非意于限制实施例。
在形成具有分散于基质材料内之成孔剂的前驱物层之后,将成孔剂从基质材料中移除以在基质材料内形成孔洞。在一实施例中,通过退火制程来移除成孔剂,上述退火制程可将成孔剂材料分解并蒸发,从而使成孔剂材料扩散并离开基质材料,从而留下结构上完整的多孔介电材料作为第三介电层119。举例而言,可利用介于约200℃至约500℃之间长达约10秒至约600秒之间的退火,上述温度例如约400℃,上述时间例如约200秒。
然而,如本领域普通技术人员将理解的,上述热处理并非唯一可用于将致孔剂从基质材料中移除以形成第三介电层119的方法。也可使用例如用紫外线辐射成孔剂以分解成孔剂或利用微波来分解成孔剂。实施例的范围内意图完全包括移除全部或移除部分致孔剂的这些及任何其他合适的方法。
图1还示出抗反射层121的设置。在一实施例中,抗反射层121可为无氮的抗反射涂层,且可被涂布于第三介电层119,使得用于抗反射层121的材料涂布于第三介电层119的露出上表面。根据一些实施例,可使用例如下列的制程涂布抗反射层121:旋涂制程、浸涂法、气刀涂布法、帘涂法、线棒涂布法、凹版涂布法、层压法、挤出涂布法、其组合或其相似方法。在一实施例中,可涂布抗反射层121的材料,使得其具有介于约50nm至约500nm之间的厚度,例如约300nm。然而,可使用任何合适的材料、涂布方法及厚度。
一旦形成抗反射层121,可于抗反射层121上方形成第一硬遮罩123。在一实施例中,第一硬遮罩123可为例如氮化钛(titanium nitride,TiN)的遮罩材料,尽管可使用例如下列任何其他合适的材料:氮化硅、氧化钛或富含钛的材料。可使用例如下列的沉积制程来形成第一硬遮罩123:化学气相沉积、物理气相沉积、原子层沉积、其组合或其类似方法,且第一硬遮罩123可形成具有介于约
Figure BDA0002752586580000091
至约
Figure BDA0002752586580000092
之间的厚度,例如约
Figure BDA0002752586580000093
然而,可利用任何合适的材料、沉积制程及厚度。
一旦形成第一硬遮罩123,可图案化第一硬遮罩123,以为后续的蚀刻制程提供遮罩层以形成开口120。在实施例中,可通过在第一硬遮罩123上方放置第一光阻125,然后将第一光阻125曝光及显影以形成图案化的光阻,来图案化第一硬遮罩123。一旦将第一光阻125图案化,接着使用例如活性离子蚀刻制程的一或多个各向异性蚀刻制程,将第一光阻125的图案转移至第一硬遮罩123及抗反射层121。然而,可利用任何合适的制程。
在一些实施例中,可形成具有穿过第一硬遮罩123的第一宽度W1并形成具有穿过抗反射层121的第二宽度W2的开口120。第二宽度W2可小于第一宽度W1,尽管第一宽度W1及第二宽度W2也可相同。根据一些实施例,第一宽度W1可介于约10nm至约100nm之间,例如约25nm,且第二宽度W2可介于约10nm至约100nm之间,例如约25nm。然而,可利用任何合适的宽度。
图2是根据一些实施例,示出第一蚀刻制程250,以使开口120延伸穿过第三介电层119,并露出接触蚀刻停止层113。一旦图案化第一硬遮罩123,可于第一刻蚀制程250中将第一硬遮罩123用作遮罩,以于第三介电层119中形成开口120。在一实施例中,第一蚀刻制程250为例如各向异性蚀刻的干式蚀刻,上述各向异性蚀刻例如使用适合蚀刻第三介电层119的蚀刻剂的活性离子蚀刻。然而,可利用任何合适的蚀刻制程。
一旦形成开口120,将第一光阻125移除。在一实施例中,使用例如灰化的制程将第一光阻125移除,由此提高第一光阻125的温度直到第一光阻125经历热分解,此时可轻易地移除第一光阻125。然而,可使用其他合适的制程,或甚至可使用与形成开口120相同的蚀刻制程来移除第一光阻125,上述其他合适的制程例如湿式或干式蚀刻。
在一些实施例中,第一蚀刻制程250于单一蚀刻步骤中蚀刻穿过第三介电层119,并且露出接触蚀刻停止层113。在其他实施例中,第一蚀刻制程250可包括多个蚀刻步骤,包括初始蚀刻步骤以蚀刻进第三介电层119,但不穿过第三介电层119。初始蚀刻步骤接续着一或多个后续蚀刻步骤,以一路穿过第三介电层119延伸开口120,并露出接触蚀刻停止层113。一或多个后续的蚀刻步骤包括后续的光阻沉积、后续的光阻图案化、后续蚀刻进入第三介电层119以及后续的光阻移除。在一实施例中,后续的光阻可包括三层光阻,具有底部抗反射涂层(bottom anti-reflective coating,BARC)的层、中间遮罩层及顶光阻层。然而,可使用光敏材料或材料的组合的任何合适类型。
开口120于接触蚀刻停止层113的露出表面可具有第三宽度W3。开口120的第三宽度W3可小于第二宽度W2,尽管第二宽度W2及第三宽度W3也可相同。根据一些实施例中,第三宽度W3可介于约8nm至约80nm之间,例如约20nm。然而,可使用任何合适的宽度。
可将接触蚀刻停止层113的材料选择为停止或至少减慢第一蚀刻制程250,并防止第一蚀刻制程250蚀刻穿过接触蚀刻停止层113。如此一来,开口120可延伸进接触蚀刻停止层113中,但不穿过接触蚀刻停止层113。然而,可利用任何合适的蚀刻停止材料或材料的组合以及任何合适的蚀刻方法或蚀刻方法的组合。
图3A及图3B根是据一些实施例,示出在穿透制程中的中间步骤,是将开口120延伸穿过蚀刻停止层113并露出导电沟槽111。穿透制程包括穿透布植350(示出于图3A中)及蚀刻停止移除步骤360(示出于图3B中),并且可在适合支撑半导体装置100及分送(dispense)蚀刻剂的腔室中进行,上述蚀刻剂对被移除的材料具有选择性。根据一些实施例,可在开口120中将穿透制程作为后导孔蚀刻清洁制程的一部分或与之结合来进行,及/或在开口120中形成金属插塞之前进行。在一些实施例中,在进行穿透制程之前将第一硬遮罩123及/或抗反射层121移除,且在其他实施例中于穿透制程期间将第一硬遮罩123及/或抗反射层121移除。
根据一些实施例,第一硬遮罩123及抗反射层121的移除可在相同的移除步骤期间进行,或者可在分开的步骤中移除。根据一些实施例,将一或多个对第一硬遮罩123(例如,氮化钛)及抗反射层121具有选择性的液体蚀刻剂用于移除第一硬遮罩123及抗反射层121。然而,也可使用任何其他合适的移除制程,例如灰化制程。
图3A是根据一些实施例,更进一步示出穿透布植350。蚀刻停止穿透制程的初始步骤,对接触蚀刻停止层113的目标区301进行穿透布植350。穿透布植350可在适合支撑半导体装置100及适合将掺质布植进要移除的材料中的腔室中进行。
根据一些实施例中,使用离子束加速系统进行穿透布植350,将掺质布植进开口120内露出的接触蚀刻停止层113中。可基于在目标区301中要移除的接触蚀刻停止层113期望的选择性及/或将期望的图案或浓度布植进在开口120内露出的其他材料中,选择掺质、布植能阶及布植浓度水平的源极材料。根据一些实施例中,蚀刻停止穿透制程包括使用例如下列的掺质的一或多个物理性布植制程及/或化学布植制程:氩(argon,Ar)、氟(fluorine,F)、二氟化硼(difluoroboron,BF2)、其组合或其类似物,用于协助移除在开口120内露出的蚀刻停止层113(例如,AlOx)。
在物理性布植制程中,将例如下列的惰性掺质材料用于协助移除于开口120内露出的蚀刻停止层113:氩(argon,Ar)、氟(fluorine,F)、二氟化硼(difluoroboron,BF2)其组合或其类似物。在物理性布植制程期间,可使用离子束系统以足够的能量将惰性掺质材料(例如氩)的离子加速进入目标区301中,以破坏蚀刻停止层113的晶体结构,从而使材料彼此错位(dislocate)。如此一来,蚀刻停止层113错位的材料可更容易与反应试剂及溶剂混合及/或反应,上述反应试剂及溶剂用于协助从开口120移除错位的材料及/或掺质材料的离子(以下进一步描述)。
根据一些实施例,物理性布植制程使用氩(argon,Ar)作为原料,且在介于约500eV至约3000eV之间的第一能阶下操作离子束加速系统,例如约1000eV。此外,物理性布植制程可将掺质布植于蚀刻停止层113中至深度约等于第一厚度Th1,且具有介于约1E15至约5E15之间的第一浓度,例如约2E15。
在一些实施例中,在物理性布植制程期间,也可沿着第三介电层119的顶表面以及沿着开口120的侧壁将掺质布植于第三介电层119中,从而形成掺杂的介电质区303。在一实施例中,掺杂的介电质区303可延伸进第三介电层119侧壁中约10nm至约30nm之间的第一深度D1,例如约20nm,而掺杂的介电质区303可沿着第三介电层119的顶表面以介于约10nm至约100nm之间的第二深度D2延伸,例如约20nm。掺杂的介电质区303可具有介于约1E15至约5E15之间的掺质浓度,例如约2E15。这些掺质可于半导体装置100的进一步制程期间保持嵌入于第三介电层119中。以下将更详细地讨论这样的实施例。
在另一实施例中,并未布植例如氩的惰性材料,而是布植化学活性掺质。举例而言,在这样的化学性布植制程中,布植化学活性掺质以助于移除于开口120内露出的蚀刻停止层113(例如,AlOX)。于化学性布植制程期间,可将离子束系统以足够的能量用于加速化学活性掺质的离子进入目标区301,以布植掺质并引发与蚀刻停止层113的材料的化学反应。化学活性掺质作为击穿(breakdown)蚀刻停止层113的材料(类似于物理性布植制程),与蚀刻停止层113的材料发生反应,以增加蚀刻停止层113的选择性,或者化学活性掺质于移除蚀刻停止层113期间作为催化剂,以协助从开口120移除材料。
根据一些实施例,使用例如下列的化学活性掺质作为前驱物来进行化学性布植制程:氟(fluorine,F)、二氟化硼(difluoroboron,BF2)、其组合或其相似物。根据一些实施例,通过离子束加速系统在介于约500eV至约3000eV之间的第二能阶进行化学性布植制程,以将化学活性掺质布植进蚀刻停止层113中,上述第二能阶例如约1000eV。如此一来,可将化学性布植制程的掺质布植并扩散进入蚀刻停止层113至深度约等于第一厚度Th1,并且具有介于约1E15至约5E15之间的第三浓度,例如约2E15。
与物理性布植制程类似,化学性布植制程不仅会通过开口120将化学活性掺质布植进蚀刻停止层113中,而且还会将化学活性掺质布植进每个露出的表面中,包括开口120的侧壁以及第三介电层119的顶表面。在一实施例中,可将化学活性掺质布植至与以上所述关于物理性布植制程相同的深度及相同的浓度。然而,可利用任何合适的深度及任何合适的浓度。
图3B是根据一些实施例,示出蚀刻停止穿透制程的蚀刻停止移除步骤360。蚀刻停止移除步骤360在湿式穿透蚀刻中使用凹蚀(recess)化学物质,其对开口120露出的接触蚀刻停止层113的材料(例如,AlOX)具有选择性。根据一些实施例,将凹蚀化学物质与去离子水(deionized water,DIW)或例如下列的功能性DIW混合:二氧化碳(carbon dioxide CO2)混合DIW、臭氧(ozone,O3)混合DIW、或其类似物。举例而言,在接触蚀刻停止层113为AlOX且掺质为氟(fluorine,F)的实施例中,AlF3形成于水基(water based)的溶液(例如,DIW)中。如此一来,在湿式穿透蚀刻中化学反应可例如为
Figure BDA0002752586580000131
根据一些实施例,凹蚀化学物质包括蚀刻剂及蚀刻溶剂,上述蚀刻剂用于选择性移除接触蚀刻停止层113的材料,上述蚀刻溶剂用于协助混合及在本身不必参与蚀刻反应的情况下传送(deliver)蚀刻剂。虽然用于凹蚀化学物质中的精确蚀刻剂至少部分取决于选择用于接触蚀刻停止层113的材料,但在一实施例中,通过将蚀刻剂与DIW或功能性DIW(以下为例示性浓度比值并统称为(DI))混合,来形成凹蚀化学物质。凹蚀化学物质的示例包括但不限于:过氧化氢(hydrogen peroxide,H2O2)、氢氧化铵(ammonium hydroxide,NH4OH)、标准清洁-1(standard clean-1,SC1)、标准清洁-2(standard clean-2,SC2)、其组合或其类似物,上述过氧化氢以H2O2:DI体积比介于约1:5至1:30之间的混合比,上述氢氧化铵以NH4OH:DI体积比介于约1:5至1:2000之间的混合比,上述SC1为氢氧化铵(ammonium hydroxide,NH4OH)及过氧化氢(hydrogen peroxide,H2O2)与DIW混合的混合物,以NH4OH:H2O2:DI体积比介于约1:1:5至约1:1:400之间的混合比,上述SC2为盐酸(hydrochloric acid,HCl)及过氧化氢(hydrogen peroxide,H2O2)与DIW混合的混合物,以HCl:H2O2:DI体积比介于约1:1:5至约1:1:120之间的混合比。然而,可使用任何合适的浓度。
根据一些实施例,一旦制备了凹蚀化学物质,可以介于约1000mL/min至约1500mL/min的速率、介于室温(例如,25℃)至约65℃之间的制程温度且介于约30sec至约300sec的制程时间,将凹蚀化学物质分布于半导体装置100上方,上述制程时间例如约120sec。然而,于分布凹蚀化学物质期间可利用任何合适的制程条件。
因为凹蚀化学物质为对接触蚀刻停止层113的材料具有选择性的蚀刻剂,所以凹蚀化学物质的分散将选择性移除蚀刻停止层113的材料而不会显著移除其他露出的材料(例如,第三介电层119及/或导电沟槽111)。一旦移除了接触蚀刻停止层113的目标区301,于开口120内露出导电沟槽111的区域。
然而,通过增加接触蚀刻停止层113的材料的蚀刻速率,及/或通过增加接触蚀刻停止层113的材料对第三介电层119的材料的选择性,可将接触蚀刻停止层113的目标区301移除,而不会显著地将第三介电层119移除,且也不会显著地侧向蚀刻接触蚀刻停止层113本身。举例而言,在穿透布植350为物理性布植制程的实施例中,物理性布植制程的掺质用于破坏目标区301的材料的结构,从而允许凹蚀化学物质进一步侵入目标区301的材料内,并与目标区301的材料的较大部分更快地反应。类似地,在穿透布植350为化学性布植制程的实施例中,不仅凹蚀化学物质将进一步侵入,而且化学活性掺质的存在也将用于增加移除制程的反应速率,例如,将目标区301的材料的蚀刻速率从相对于第一蚀刻剂的第一蚀刻速率增加至比第一蚀刻速率快的第二蚀刻速率。如此一来,可以比其他方式更快的速率移除目标区301的材料。
鉴于较快的移除速率及增加的选择性,在开口120的侧壁处露出的材料(例如,第三介电层119)于湿式蚀刻期间几乎没有或没有被横向蚀刻,且开口120的轮廓得以保持。类似地,接触蚀刻停止层113几乎没有或没有横向蚀刻。对应地,导电沟槽111的露出区具有第三宽度W3。
一旦蚀刻了接触蚀刻停止层113,可进行润洗(rinse)制程以从开口120中移除任何残留物及/或蚀刻残留物,使得这些残留物及/或蚀刻残留物并不存在而干扰后续制造步骤。举例而言,润洗制程可使用包含下列的溶液:去离子水、碳化的去离子水或具有NH4OH的去离子水,上述碳化的去离子水例如具有二氧化碳的去离子水。可在约20℃至约80℃的温度范围内进行润洗制程。再者,也可进行干燥制程以干燥半导体装置100的表面。举例而言,干燥制程可包括在氮气流送的情况下对半导体装置100进行旋干。举例而言,干燥制程可包括异丙醇(isopropyl alcohol,IPA)干燥制程或丙酮干燥制程。然而,可使用任何合适的润洗液。
图4是根据一些实施例,示出内连线901的形成。一旦移除了接触蚀刻停止层113的目标区301且露出了导电沟槽111,可于开口120中形成内连线901。
根据一些实施例,内连线901包括阻障层903,以协助隔离并保护后续形成的导电材料(以下将进一步讨论)。在一实施例中,阻障层903可包括例如下列的材料:钛、氮化钛、其组合或其类似物,且可使用例如下列的制程形成阻障层903:化学气相沉积(chemicalvapor deposition,CVD)、物理气相沉积(physical vapor deposition,PVD)、原子层沉积(atomic layer deposition,ALD)或其类似制程。如此一来,阻障层903对开口120的形状保形沉积,其沿着开口120内第三介电层119的侧壁、接触蚀刻停止层113的侧壁、导电沟槽111之平坦表面,及沿着开口120外第三介电层119的平坦表面。根据一些实施例,将阻障层903形成为介于约0.1μm至约20μm之间的厚度,例如约0.5μm。
一旦形成阻障层903,将开口120的剩余部分以导电材料填充,以形成内连线901。如此一来,内连线901及阻障层903可形成于导电沟槽111上方,并且具有等于第三宽度W3之位于导电沟槽111的接触界面。
用于形成内连线901的导电材料可包括铜,尽管可替代地使用例如下列的其他合适的材料:铝、合金、掺杂的多晶硅、钴、钨、其组合等。可通过沉积晶种层(未单独示出)、将铜电镀至晶种层上并且填充及过填充开口120来形成导电材料。一旦开口120被填充,可通过例如化学机械研磨(chemical mechanical polish,CMP)的平坦化制程,将开口120外的阻障层903、晶种层以及导电材料的多余部分移除,尽管可使用任何合适的移除制程。
图5A及图5B分别类似于图3A及图3B,且是根据一些其它实施例示出穿透制程中的中间步骤。图5A是根据一些实施例示出第二穿透布植550,且图5B是根据一些实施例示出蚀刻停止穿透制程的第二蚀刻停止移除步骤560。
图5A示出进行第二穿透布植550,使得掺质以一或多个角度被布植及/或横向扩散进入第三介电层119的侧壁中至第三深度D3,并且掺质可具有于第三介电层119的掺杂的介电质区303内的第四体积浓度。根据一些实施例,第二深度D3可介于约1nm至约3nm,例如约2nm,且第四浓度可介于约0%至约100%的体积浓度之间,例如约50%的体积浓度。
图5B示出进行第二穿透蚀刻停止层移除步骤560,使得穿过接触蚀刻停止层113的开口120于导电沟槽111露出的表面横向扩展至第四宽度W4。根据一些实施例,第四宽度W4可介于约8nm至约12nm之间,例如约10nm。再者,沿着第三介电层119的开口120的侧壁于图5B中被掺杂,而在图3B中侧壁并未被掺杂。
图6类似于图4,是根据一些其他实施例示出半导体装置100。图6是根据其他实施例示出形成图5B中开口120中的内连线901。一旦移除了接触蚀刻停止层113的目标区301并且露出了导电沟槽111,可在开口120中形成内连线901,且布植的掺质保持嵌入于与内连线901的界面接触的第三介电层119中。
一旦形成开口120,可使用上述有关于图4的材料及制程于开口120内形成阻障层903及内连线901。如此一来,内连线901及阻障层903可形成于导电沟槽111上方,具有插塞轮廓形状(contoured plug shape)并且在导电沟槽111处具有等于第四宽度W4的接触界面。如此一来,提供具有复杂形状的内连线901,其中内连线901将延伸于导电沟槽111及第三介电层119、用于阻障层903的坚固材料以及在导电沟槽111处的低电阻界面之间。
尽管根据一些实施例,图3A的实施例进一步示出穿透布植350。作为蚀刻停止穿透制程的初始步骤,于接触蚀刻停止层113的目标区301中进行穿透布植350。可在适合支撑半导体装置100且适合于将掺质布植进要移除的材料中的腔室中进行穿透布植350。
图3B是根据一些实施例,示出蚀刻停止穿透制程的蚀刻停止移除步骤360。蚀刻停止移除步骤360在湿式穿透蚀刻中使用凹蚀化学物质,其对经由开口120露出的接触蚀刻停止层113的材料(例如,AlOx)具有选择性。根据一些实施例,将凹蚀化学物质与去离子水(deionized water,DIW)或例如下列的功能DIW混合:二氧化碳(carbon dioxide,CO2)混合DIW、臭氧(ozone,O3)混合DIW或其类似物。
本公开所述的蚀刻停止穿透制程可作为后导孔蚀刻清洁制程的一部分或与其组合来进行,及/或可于在开口120中形成金属插塞前进行。蚀刻停止穿透制程可用于在金属导孔上方形成金属插塞的应用中,上述金属导孔包括例如下列材料:钴、钨、铜、其组合或其他类似材料。在前段产线(beginning end of the line,BEOL)制程及/或中段产线(middleend of the line,MEOL)制程的各种制程中,当接触蚀刻停止层113被使用于介电层之间的界面且于介电层内形成开口时,则可使用蚀刻停止穿透制程。举例而言,当于一或多个层间介电层105、第一介电层116及/或第二介电层107之间的界面处提供蚀刻停止层113时,可将包括如本文所述的穿透布植350及蚀刻停止移除步骤360的蚀刻停止穿透制程用于在那些介电层中形成开口,以制备一或多个接触插塞104、接触插塞沟槽118、第一导孔109以及导电沟槽111。再者,例如下列用于形成内连线901的任何导电材料也可用于形成接触插塞104、接触插塞沟槽118、第一导孔109及/或导电沟槽111:铜、钨、钴、钌、二氧化钛、铝、合金、掺杂的多晶硅、其组合或其类似物。蚀刻停止穿透制程也可应用于多个技术世代(例如,N5、N3、N2及其类似世代)。
根据一些实施例,本文描述的方法适用于例如下列的多种技术世代:N20、N16、N10、N5、N3、N2等。所公开的实施例可用于使用例如钴、钨、铜等不同金属的应用。可在许多包括例如下列的后段产线(back end of the line,BEoL)制程中使用实施例:在形成金属插塞之前的后导孔蚀刻清洁、接触凹蚀或类似应用。实施例可用于控制制程时间/温度或化学成分,以微调CESL临界尺寸(critical dimension,CD)及MD凹蚀。实施例可广泛用于中段产线(middle end of the line,MEoL)及后段产线(back end of the line,BEoL)制程中,以形成金属插塞。
以上概述数个实施例的部件,以便在本公开所属技术领域中具有通常知识者可更加理解本公开实施例的观点。在本公开所属技术领域中具有通常知识者应理解,他们能轻易地以本公开实施例为基础,设计或修改其他制程及结构,以达到与在此介绍的实施例相同的目的及/或优势。在本公开所属技术领域中具有通常知识者也应理解,此类等效的结构并无悖离本公开的精神与范围,且他们能在不悖离本公开的精神及范围下,做各式各样的改变、取代及替换。
根据一实施例,一种方法包括:形成开口于介电材料中,开口露出导电部件上方的一蚀刻停止层的一目标区;对目标区进行掺质布植;及进行蚀刻制程,以移除目标区中蚀刻停止层材料的一部分并露出导电部件。在一实施例中,蚀刻停止层包括氧化铝。在一实施例中,掺质布植包括物理性布植。在一实施例中,物理性布植使用氩作为原料。在一实施例中,掺质布植包括化学性布植。在一实施例中,化学性布植使用氟作为原料。在一实施例中,掺质布植植入掺质于该开口的侧壁中。
根据另一实施例,一种方法包括:沉积蚀刻停止层于第一介电层中的金属化层上方,蚀刻停止层具有相对于第一蚀刻剂的第一蚀刻速率;及沉积第二介电层于蚀刻停止层上方;形成穿过第二介电层的导孔开口,导孔开口露出蚀刻停止层;透过开口对蚀刻停止层进行离子布植,离子布植将相对于第一蚀刻剂的第一蚀刻速率改变为第二蚀刻速率;及于进行离子布植之后,使用第一蚀刻剂进行移除制程,金属化层经由导孔开口露出。在一实施例中,进行移除制程包括湿式蚀刻。在一实施例中,蚀刻停止层包括氧化铝(aluminumoxide,AlOx),且第一蚀刻剂包括去离子水。在一实施例中,离子布植植入化学中性离子。在一实施例中,化学中性离子为氩。在一实施例中,离子布植植入化学活性离子。在一实施例中,化学活性离子包括氟。
根据又一实施例,一种半导体装置,包括:金属化层,于半导体基板上方;蚀刻停止层,于金属化层上方;层间介电层,于蚀刻停止层上方;金属插塞,穿过层间介电层及穿过蚀刻停止层;及掺质布植区,于层间介电层内,并且位在邻近于层间介电层的第一表面并且也邻近于层间介电层的第二表面处,第一表面与金属插塞实体接触,且第二表面位于层间介电层与蚀刻停止层相反的一侧。在一实施例中,在与半导体基板的主表面垂直的方向上,金属插塞延伸于掺质布植区及金属化层之间。在一实施例中,层间介电层具有与蚀刻停止层之侧壁对准的侧壁。在一实施例中,掺质布植区包括氩。在一实施例中,掺质布植区包括氟。在一实施例中,蚀刻停止层包括氧化铝。
以上概述数个实施例的部件,以便在本公开所属技术领域中具有通常知识者可更加理解本公开实施例的观点。在本公开所属技术领域中具有通常知识者应理解,他们能轻易地以本公开实施例为基础,设计或修改其他制程及结构,以达到与在此介绍的实施例相同的目的及/或优势。在本公开所属技术领域中具有通常知识者也应理解,此类等效的结构并无悖离本公开的精神与范围,且他们能在不悖离本公开的精神及范围下,做各式各样的改变、取代及替换。

Claims (10)

1.一种半导体装置的制造方法,包括:
形成一开口于一介电材料中,该开口露出在一导电部件上方一蚀刻停止层的一目标区;
对该目标区进行一掺质布植;及
进行一蚀刻制程,以移除该目标区中该蚀刻停止层材料的一部分并露出该导电部件。
2.如权利要求1所述的半导体装置的制造方法,其中,该蚀刻停止层包括氧化铝。
3.如权利要求1所述的半导体装置的制造方法,其中,该掺质布植包括一物理性布植。
4.如权利要求1所述的半导体装置的制造方法,其中,该掺质布植植入掺质于该开口的侧壁中。
5.一种半导体装置的制造方法,包括:
沉积一蚀刻停止层于一第一介电层中的一金属化层上方,该蚀刻停止层具有相对于一第一蚀刻剂的一第一蚀刻速率;及
沉积一第二介电层于该蚀刻停止层上方;
形成穿过该第二介电层的一导孔开口,该导孔开口露出该蚀刻停止层;
透过该开口对该蚀刻停止层进行一离子布植,该离子布植将相对于该第一蚀刻剂的该第一蚀刻速率改变为一第二蚀刻速率;及
于进行该离子布植之后,使用该第一蚀刻剂进行一移除制程,该金属化层经由该导孔开口露出。
6.如权利要求5所述的半导体装置的制造方法,其中,该蚀刻停止层包括氧化铝,且该第一蚀刻剂包括去离子水。
7.如权利要求5所述的半导体装置的制造方法,其中,该离子布植植入一化学中性离子。
8.一种半导体装置,包括:
一金属化层,于一半导体基板上方;
一蚀刻停止层,于该金属化层上方;
一层间介电层,于该蚀刻停止层上方;
一金属插塞,穿过该层间介电层及穿过该蚀刻停止层;及
一掺质布植区,于该层间介电层内,并且位在邻近于该层间介电层的一第一表面并且也邻近于该层间介电层的一第二表面处,该第一表面与该金属插塞实体接触,且该第二表面位于该层间介电层与该蚀刻停止层相反的一侧。
9.如权利要求8所述的半导体装置,其中,在与该半导体基板的一主表面垂直的方向上,该金属插塞延伸于该掺质布植区及该金属化层之间。
10.如权利要求8所述的半导体装置,其中,该掺质布植区包括氩。
CN202011190458.XA 2019-10-31 2020-10-30 半导体装置及其制造方法 Pending CN112750761A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201962928671P 2019-10-31 2019-10-31
US62/928,671 2019-10-31
US16/906,615 2020-06-19
US16/906,615 US11488857B2 (en) 2019-10-31 2020-06-19 Semiconductor device and method of manufacture using a contact etch stop layer (CESL) breakthrough process

Publications (1)

Publication Number Publication Date
CN112750761A true CN112750761A (zh) 2021-05-04

Family

ID=75648847

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202011190458.XA Pending CN112750761A (zh) 2019-10-31 2020-10-30 半导体装置及其制造方法

Country Status (2)

Country Link
US (2) US20220367254A1 (zh)
CN (1) CN112750761A (zh)

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5985753A (en) * 1998-08-19 1999-11-16 Advanced Micro Devices, Inc. Method to manufacture dual damascene using a phantom implant mask
US6514844B1 (en) * 2001-04-23 2003-02-04 Advanced Micro Devices, Inc. Sidewall treatment for low dielectric constant (low K) materials by ion implantation
US6998343B1 (en) * 2003-11-24 2006-02-14 Lsi Logic Corporation Method for creating barrier layers for copper diffusion
DE102005063092B3 (de) * 2005-12-30 2007-07-19 Advanced Micro Devices, Inc., Sunnyvale Halbleiterbauelement mit einer Kontaktstruktur mit erhöhter Ätzselektivität
US10453741B2 (en) * 2016-12-13 2019-10-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor device contact

Also Published As

Publication number Publication date
US20220367254A1 (en) 2022-11-17
US20230041753A1 (en) 2023-02-09

Similar Documents

Publication Publication Date Title
US20220173042A1 (en) Interconnect Structure with Vias Extending Through Multiple Dielectric Layers
TWI786457B (zh) 半導體裝置及其製造方法
US7378350B2 (en) Formation of low resistance via contacts in interconnect structures
US9929096B2 (en) Method for capping Cu layer using graphene in semiconductor
US11735468B2 (en) Interconnect structures including self aligned vias
WO2002061823A1 (en) Integration of organic fill for dual damascene process
US6713382B1 (en) Vapor treatment for repairing damage of low-k dielectric
US11328994B2 (en) Method for fabricating CU interconnection using graphene
US10879114B1 (en) Conductive fill
US20050140012A1 (en) Method for forming copper wiring of semiconductor device
CN109804463B (zh) 用于形成双镶嵌互连结构的方法
CN113782486B (zh) 半导体结构及其形成方法
US20230041753A1 (en) Semiconductor Device and Method of Manufacture
CN107919319B (zh) 内连线结构的制造方法
CN111834197A (zh) 半导体结构及其制备方法
US10985028B1 (en) Semiconductor devices and methods of manufacturing
US6465361B1 (en) Method for preventing damage of low-k dielectrics during patterning
KR20230085819A (ko) 패터닝 프로세스를 위한 막 성막
KR20060005182A (ko) 에어 갭을 갖는 절연막 형성방법 및 이를 이용한 반도체소자의 구리 금속배선 형성방법
JP2006186003A (ja) 半導体装置及びその製造方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination