TWI786457B - 半導體裝置及其製造方法 - Google Patents
半導體裝置及其製造方法 Download PDFInfo
- Publication number
- TWI786457B TWI786457B TW109137775A TW109137775A TWI786457B TW I786457 B TWI786457 B TW I786457B TW 109137775 A TW109137775 A TW 109137775A TW 109137775 A TW109137775 A TW 109137775A TW I786457 B TWI786457 B TW I786457B
- Authority
- TW
- Taiwan
- Prior art keywords
- layer
- etch stop
- stop layer
- opening
- dielectric layer
- Prior art date
Links
- 238000000034 method Methods 0.000 title claims abstract description 149
- 239000004065 semiconductor Substances 0.000 title claims abstract description 48
- 238000004519 manufacturing process Methods 0.000 title claims abstract description 14
- 239000010410 layer Substances 0.000 claims abstract description 307
- 239000000463 material Substances 0.000 claims abstract description 87
- 239000011229 interlayer Substances 0.000 claims abstract description 32
- 238000001465 metallisation Methods 0.000 claims abstract description 19
- 239000002019 doping agent Substances 0.000 claims description 49
- 239000007943 implant Substances 0.000 claims description 40
- 238000005530 etching Methods 0.000 claims description 33
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 claims description 24
- 238000002513 implantation Methods 0.000 claims description 23
- 239000000126 substance Substances 0.000 claims description 22
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Chemical compound O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 claims description 22
- 239000008367 deionised water Substances 0.000 claims description 21
- 229910021641 deionized water Inorganic materials 0.000 claims description 21
- 239000000758 substrate Substances 0.000 claims description 19
- 229910052751 metal Inorganic materials 0.000 claims description 18
- 239000002184 metal Substances 0.000 claims description 18
- 238000000151 deposition Methods 0.000 claims description 15
- 239000003989 dielectric material Substances 0.000 claims description 13
- 229910052786 argon Inorganic materials 0.000 claims description 12
- 238000005468 ion implantation Methods 0.000 claims description 11
- 239000011737 fluorine Substances 0.000 claims description 10
- 229910052731 fluorine Inorganic materials 0.000 claims description 10
- 150000002500 ions Chemical class 0.000 claims description 10
- PXGOKWXKJXAPGV-UHFFFAOYSA-N Fluorine Chemical compound FF PXGOKWXKJXAPGV-UHFFFAOYSA-N 0.000 claims description 9
- TWNQGVIAIRXVLR-UHFFFAOYSA-N oxo(oxoalumanyloxy)alumane Chemical compound O=[Al]O[Al]=O TWNQGVIAIRXVLR-UHFFFAOYSA-N 0.000 claims description 7
- 239000002994 raw material Substances 0.000 claims description 5
- 230000007935 neutral effect Effects 0.000 claims description 3
- 238000009826 distribution Methods 0.000 claims description 2
- 229920002120 photoresistant polymer Polymers 0.000 description 23
- 230000004888 barrier function Effects 0.000 description 17
- 238000005229 chemical vapour deposition Methods 0.000 description 14
- 239000004020 conductor Substances 0.000 description 14
- 238000005240 physical vapour deposition Methods 0.000 description 14
- 239000003361 porogen Substances 0.000 description 14
- 239000002243 precursor Substances 0.000 description 13
- 239000011159 matrix material Substances 0.000 description 12
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 12
- 238000000231 atomic layer deposition Methods 0.000 description 11
- CURLTUGMZLYLDI-UHFFFAOYSA-N Carbon dioxide Chemical compound O=C=O CURLTUGMZLYLDI-UHFFFAOYSA-N 0.000 description 8
- MHAJPDPJQMAIIY-UHFFFAOYSA-N Hydrogen peroxide Chemical compound OO MHAJPDPJQMAIIY-UHFFFAOYSA-N 0.000 description 8
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 8
- 229910052721 tungsten Inorganic materials 0.000 description 8
- 239000010937 tungsten Substances 0.000 description 8
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 7
- 230000015572 biosynthetic process Effects 0.000 description 7
- 229910052802 copper Inorganic materials 0.000 description 7
- 239000010949 copper Substances 0.000 description 7
- KFZMGEQAYNKOFK-UHFFFAOYSA-N Isopropanol Chemical compound CC(C)O KFZMGEQAYNKOFK-UHFFFAOYSA-N 0.000 description 6
- -1 SOI Chemical compound 0.000 description 6
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 6
- 230000003667 anti-reflective effect Effects 0.000 description 6
- 229910017052 cobalt Inorganic materials 0.000 description 6
- 239000010941 cobalt Substances 0.000 description 6
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 description 6
- OKZIUSOJQLYFSE-UHFFFAOYSA-N difluoroboron Chemical compound F[B]F OKZIUSOJQLYFSE-UHFFFAOYSA-N 0.000 description 6
- 238000000059 patterning Methods 0.000 description 6
- 230000000149 penetrating effect Effects 0.000 description 6
- GWEVSGVZZGPLCZ-UHFFFAOYSA-N Titan oxide Chemical compound O=[Ti]=O GWEVSGVZZGPLCZ-UHFFFAOYSA-N 0.000 description 5
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 5
- 239000012790 adhesive layer Substances 0.000 description 5
- 238000005137 deposition process Methods 0.000 description 5
- 238000001035 drying Methods 0.000 description 5
- 238000010884 ion-beam technique Methods 0.000 description 5
- 238000002156 mixing Methods 0.000 description 5
- 238000004528 spin coating Methods 0.000 description 5
- VEXZGXHMUGYJMC-UHFFFAOYSA-N Hydrochloric acid Chemical compound Cl VEXZGXHMUGYJMC-UHFFFAOYSA-N 0.000 description 4
- 229910052782 aluminium Inorganic materials 0.000 description 4
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 4
- 239000001569 carbon dioxide Substances 0.000 description 4
- 229910002092 carbon dioxide Inorganic materials 0.000 description 4
- 238000006243 chemical reaction Methods 0.000 description 4
- 238000004518 low pressure chemical vapour deposition Methods 0.000 description 4
- 238000006467 substitution reaction Methods 0.000 description 4
- WZJUBBHODHNQPW-UHFFFAOYSA-N 2,4,6,8-tetramethyl-1,3,5,7,2$l^{3},4$l^{3},6$l^{3},8$l^{3}-tetraoxatetrasilocane Chemical compound C[Si]1O[Si](C)O[Si](C)O[Si](C)O1 WZJUBBHODHNQPW-UHFFFAOYSA-N 0.000 description 3
- CBENFWSGALASAD-UHFFFAOYSA-N Ozone Chemical compound [O-][O+]=O CBENFWSGALASAD-UHFFFAOYSA-N 0.000 description 3
- 229910052581 Si3N4 Inorganic materials 0.000 description 3
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 3
- 230000001133 acceleration Effects 0.000 description 3
- 229910045601 alloy Inorganic materials 0.000 description 3
- 239000000956 alloy Substances 0.000 description 3
- 238000000576 coating method Methods 0.000 description 3
- 230000008021 deposition Effects 0.000 description 3
- JJQZDUKDJDQPMQ-UHFFFAOYSA-N dimethoxy(dimethyl)silane Chemical compound CO[Si](C)(C)OC JJQZDUKDJDQPMQ-UHFFFAOYSA-N 0.000 description 3
- 239000000203 mixture Substances 0.000 description 3
- HMMGMWAXVFQUOA-UHFFFAOYSA-N octamethylcyclotetrasiloxane Chemical compound C[Si]1(C)O[Si](C)(C)O[Si](C)(C)O[Si](C)(C)O1 HMMGMWAXVFQUOA-UHFFFAOYSA-N 0.000 description 3
- 238000001020 plasma etching Methods 0.000 description 3
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 3
- 229920000642 polymer Polymers 0.000 description 3
- 229920005591 polysilicon Polymers 0.000 description 3
- 239000011148 porous material Substances 0.000 description 3
- 229910052710 silicon Inorganic materials 0.000 description 3
- 239000010703 silicon Substances 0.000 description 3
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 3
- CSCPPACGZOOCGX-UHFFFAOYSA-N Acetone Chemical compound CC(C)=O CSCPPACGZOOCGX-UHFFFAOYSA-N 0.000 description 2
- VHUUQVKOLVNVRT-UHFFFAOYSA-N Ammonium hydroxide Chemical compound [NH4+].[OH-] VHUUQVKOLVNVRT-UHFFFAOYSA-N 0.000 description 2
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 2
- 239000004642 Polyimide Substances 0.000 description 2
- KJTLSVCANCCWHF-UHFFFAOYSA-N Ruthenium Chemical compound [Ru] KJTLSVCANCCWHF-UHFFFAOYSA-N 0.000 description 2
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 2
- LEVVHYCKPQWKOP-UHFFFAOYSA-N [Si].[Ge] Chemical compound [Si].[Ge] LEVVHYCKPQWKOP-UHFFFAOYSA-N 0.000 description 2
- 239000000908 ammonium hydroxide Substances 0.000 description 2
- 238000000137 annealing Methods 0.000 description 2
- 238000004380 ashing Methods 0.000 description 2
- 239000003990 capacitor Substances 0.000 description 2
- 230000015556 catabolic process Effects 0.000 description 2
- 239000003795 chemical substances by application Substances 0.000 description 2
- 238000004140 cleaning Methods 0.000 description 2
- 239000011248 coating agent Substances 0.000 description 2
- PKTOVQRKCNPVKY-UHFFFAOYSA-N dimethoxy(methyl)silicon Chemical compound CO[Si](C)OC PKTOVQRKCNPVKY-UHFFFAOYSA-N 0.000 description 2
- 238000001312 dry etching Methods 0.000 description 2
- 230000009977 dual effect Effects 0.000 description 2
- 238000005516 engineering process Methods 0.000 description 2
- 238000011049 filling Methods 0.000 description 2
- 239000012212 insulator Substances 0.000 description 2
- 239000007788 liquid Substances 0.000 description 2
- POPACFLNWGUDSR-UHFFFAOYSA-N methoxy(trimethyl)silane Chemical compound CO[Si](C)(C)C POPACFLNWGUDSR-UHFFFAOYSA-N 0.000 description 2
- BFXIKLCIZHOAAZ-UHFFFAOYSA-N methyltrimethoxysilane Chemical compound CO[Si](C)(OC)OC BFXIKLCIZHOAAZ-UHFFFAOYSA-N 0.000 description 2
- 238000007747 plating Methods 0.000 description 2
- 238000005498 polishing Methods 0.000 description 2
- 229920001721 polyimide Polymers 0.000 description 2
- 229910052707 ruthenium Inorganic materials 0.000 description 2
- 239000000377 silicon dioxide Substances 0.000 description 2
- 235000012239 silicon dioxide Nutrition 0.000 description 2
- 229910052814 silicon oxide Inorganic materials 0.000 description 2
- 239000002904 solvent Substances 0.000 description 2
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 2
- 239000010936 titanium Substances 0.000 description 2
- 229910052719 titanium Inorganic materials 0.000 description 2
- 239000004408 titanium dioxide Substances 0.000 description 2
- CPUDPFPXCZDNGI-UHFFFAOYSA-N triethoxy(methyl)silane Chemical compound CCO[Si](C)(OCC)OCC CPUDPFPXCZDNGI-UHFFFAOYSA-N 0.000 description 2
- 238000001039 wet etching Methods 0.000 description 2
- 229910016569 AlF 3 Inorganic materials 0.000 description 1
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 1
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 description 1
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 1
- 238000007754 air knife coating Methods 0.000 description 1
- 150000001343 alkyl silanes Chemical class 0.000 description 1
- 239000006117 anti-reflective coating Substances 0.000 description 1
- 229910052796 boron Inorganic materials 0.000 description 1
- 239000003054 catalyst Substances 0.000 description 1
- 239000003153 chemical reaction reagent Substances 0.000 description 1
- PMHQVHHXPFUNSP-UHFFFAOYSA-M copper(1+);methylsulfanylmethane;bromide Chemical compound Br[Cu].CSC PMHQVHHXPFUNSP-UHFFFAOYSA-M 0.000 description 1
- 239000013078 crystal Substances 0.000 description 1
- 238000007766 curtain coating Methods 0.000 description 1
- GAURFLBIDLSLQU-UHFFFAOYSA-N diethoxy(methyl)silicon Chemical compound CCO[Si](C)OCC GAURFLBIDLSLQU-UHFFFAOYSA-N 0.000 description 1
- 238000003618 dip coating Methods 0.000 description 1
- 239000006185 dispersion Substances 0.000 description 1
- 238000009713 electroplating Methods 0.000 description 1
- 238000001704 evaporation Methods 0.000 description 1
- 230000008020 evaporation Effects 0.000 description 1
- 238000007765 extrusion coating Methods 0.000 description 1
- 125000001153 fluoro group Chemical group F* 0.000 description 1
- 229910052732 germanium Inorganic materials 0.000 description 1
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 1
- 238000007756 gravure coating Methods 0.000 description 1
- 238000010438 heat treatment Methods 0.000 description 1
- XLYOFNOQVPJJNP-ZSJDYOACSA-N heavy water Substances [2H]O[2H] XLYOFNOQVPJJNP-ZSJDYOACSA-N 0.000 description 1
- BHEPBYXIRTUNPN-UHFFFAOYSA-N hydridophosphorus(.) (triplet) Chemical compound [PH] BHEPBYXIRTUNPN-UHFFFAOYSA-N 0.000 description 1
- 239000001257 hydrogen Substances 0.000 description 1
- 229910052739 hydrogen Inorganic materials 0.000 description 1
- 238000002955 isolation Methods 0.000 description 1
- 238000003475 lamination Methods 0.000 description 1
- CNQCVBJFEGMYDW-UHFFFAOYSA-N lawrencium atom Chemical compound [Lr] CNQCVBJFEGMYDW-UHFFFAOYSA-N 0.000 description 1
- 238000001459 lithography Methods 0.000 description 1
- 150000002739 metals Chemical class 0.000 description 1
- 150000004767 nitrides Chemical class 0.000 description 1
- 229910052757 nitrogen Inorganic materials 0.000 description 1
- 238000000206 photolithography Methods 0.000 description 1
- 238000007517 polishing process Methods 0.000 description 1
- 229910000077 silane Inorganic materials 0.000 description 1
- 150000004756 silanes Chemical class 0.000 description 1
- 239000005368 silicate glass Substances 0.000 description 1
- 238000009987 spinning Methods 0.000 description 1
- 238000004544 sputter deposition Methods 0.000 description 1
- CZDYPVPMEAXLPK-UHFFFAOYSA-N tetramethylsilane Chemical compound C[Si](C)(C)C CZDYPVPMEAXLPK-UHFFFAOYSA-N 0.000 description 1
- 238000005979 thermal decomposition reaction Methods 0.000 description 1
- OGIDPMRJRNCKJF-UHFFFAOYSA-N titanium oxide Inorganic materials [Ti]=O OGIDPMRJRNCKJF-UHFFFAOYSA-N 0.000 description 1
- PQDJYEQOELDLCP-UHFFFAOYSA-N trimethylsilane Chemical compound C[SiH](C)C PQDJYEQOELDLCP-UHFFFAOYSA-N 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76822—Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
- H01L21/76825—Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31105—Etching inorganic layers
- H01L21/31111—Etching inorganic layers by chemical means
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31144—Etching the insulating layers by chemical or physical means using masks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/3115—Doping the insulating layers
- H01L21/31155—Doping the insulating layers by ion implantation
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76804—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics by forming tapered via holes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76829—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/5226—Via connections in a multilevel interconnection structure
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/532—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
- H01L23/5329—Insulating materials
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76829—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
- H01L21/76831—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Manufacturing & Machinery (AREA)
- Plasma & Fusion (AREA)
- Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Inorganic Chemistry (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
- Bipolar Transistors (AREA)
- Encapsulation Of And Coatings For Semiconductor Or Solid State Devices (AREA)
Abstract
本文揭露了半導體裝置及其製造方法,包括形成穿過層間介電(interlayer dielectric, ILD)層的開口,以露出設置於金屬化層中導電部件上方的接觸蝕刻停止層(contact etch stop layer, CESL)。使用光敏材料、微影技術及停止於CESL上的乾式蝕刻製程來形成開口。一旦露出CESL,便進行CESL穿透製程以將開口延伸穿過CESL並露出導電部件。CESL穿透製程是一種CESL對ILD層具有高選擇性之可調性的製程。一旦進行CESL穿透製程,可沉積導電填充材料以填充或過填充開口,然後在形成半導體裝置的中間步驟中,將其與ILD層平坦化,以在導電部件上方形成接觸插塞。
Description
本發明實施例是關於一種半導體裝置,特別是關於一種具有摻質佈植區的半導體裝置。
半導體裝置用於各式各樣的電子應用中,例如個人電腦、手機、數位相機與其他電子設備。半導體裝置的製造一般是透過於半導體基板上依序沉積絕緣層或介電層、導電層以及半導體層的材料,並利用微影圖案化各種材料層以於其上形成電路組件與元件。
半導體工業藉由逐步縮減最小部件尺寸來不斷增加積體電路(integrated circuits, ICs)中各種電子元件(例如,電晶體、二極體、電阻、電容等)的積體密度,允許將多個元件集成於一給定的面積中。然而,隨著最小部件尺寸微縮化,額外產生的問題須被解決。
本發明實施例提供一種半導體裝置的製造方法,包括:形成開口於介電材料中,開口露出在導電部件上方蝕刻停止層的目標區; 對目標區進行摻質佈植;及進行蝕刻製程,以移除目標區中蝕刻停止層材料的一部分並露出導電部件。
本發明實施例提供一種半導體裝置的製造方法,包括:沉積蝕刻停止層於第一介電層中的金屬化層上方,蝕刻停止層具有相對於第一蝕刻劑的第一蝕刻速率;及沉積第二介電層於蝕刻停止層上方; 形成穿過第二介電層的導孔開口,導孔開口露出蝕刻停止層; 透過開口對蝕刻停止層進行離子佈植,離子佈植將相對於第一蝕刻劑的第一蝕刻速率改變為第二蝕刻速率;及於進行離子佈植之後,使用第一蝕刻劑進行移除製程,金屬化層經由導孔開口露出。
本發明實施例提供一種半導體裝置,包括:金屬化層,於半導體基板上方;蝕刻停止層,於金屬化層上方;層間介電層,於蝕刻停止層上方;金屬插塞,穿過層間介電層及穿過蝕刻停止層;及摻質佈植區,於層間介電層內,並且位在鄰近於層間介電層的第一表面並且也鄰近於層間介電層的第二表面處,第一表面與金屬插塞實體接觸,且第二表面位於層間介電層與蝕刻停止層相反的一側。
以下內容提供了許多不同的實施例或範例,以進行本發明實施例的不同部件。以下描述組件及配置方式的具體範例,以簡化本發明實施例。當然,這些僅僅是範例,而非意圖限制本發明實施例。舉例而言,在以下描述中提及於第二部件上方或其上形成第一部件,其可包含第一部件及第二部件以直接接觸的方式形成的實施例,並且可包含在第一部件及第二部件之間形成額外的部件,使得第一部件及第二部件可不直接接觸的實施例。此外,本發明實施例可在各種範例中重複參見數值及/或字母。如此重複是為了簡化及清楚之目的,其本身並非用於指定所討論的各種實施例及/或配置之間的關係。
再者,此處可能使用空間相對用語,例如「在……之下」、「在……下方」、「下方的」、「在……上方」、「上方的」等類似的用語,以便描述圖式中一部件或部件與另一(些)部件或部件之間的關係。空間相對用語除了包含圖示繪示的方位外,也意圖包含使用中或操作中之裝置的不同方位。當裝置被旋轉至不同方位時(旋轉90度或其他方位),此處所使用的空間相對描述也將同樣地依旋轉後的方位來解釋。
現在將以關於在3nm製程節點的中段產線製程中用於移除硬遮罩及蝕刻停止層的蝕刻製程來描述實施例。然而,本文描述的實施例可被用於多種應用中,且討論不應被解釋為限制實施例。
現在參見第1圖,係根據一些實施例,繪示出用於在半導體裝置100之中間結構中形成開口120的第一圖案化製程150。第1圖的中間結構包括半導體基板101、位於半導體基板101之主動區(或氧化物定義(oxide definition, OD)區)內的主動元件103、位於主動元件103上方的層間介電層105、接觸插塞104、第一介電層116、接觸插塞溝槽118、第二介電層107以及位於第二介電層107內的第一導孔109及導電溝槽111。在一實施例中,半導體基板101可包括塊體矽、摻雜或未摻雜的矽或絕緣體上覆矽(silicon-on-insulator, SOI)基板。通常,SOI基板包括例如下列的半導體材料層:矽、鍺、矽鍺、SOI、絕緣體上覆矽鍺(silicon germanium on insulator, SGOI)或其組合。可使用的其他基板包括多層基板、梯度基板或混合定向(hybrid orientation)基板。
主動元件103可形成於半導體基板101中及/或上方。 如本領域中具有通常知識者將理解的,可使用各式各樣的主動元件及被動元件以產生用於半導體裝置100之期望的結構及功能要求的設計,上述主動元件及被動元件例如電晶體、電容器、電阻器、其組合等。可使用任何合適的方法來形成主動元件103。
層間介電層105形成於主動元件103上方,以保護及隔離主動元件103。在一實施例中,儘管可將任何合適的介電質可用於任一層,但層間介電層105可包括例如硼磷矽酸鹽玻璃(boron phosphorous silicate glass, BPSG)的材料。可使用例如PECVD的製程來形成層間介電層105,儘管也可使用例如LPCVD的其他製程。層間介電層105可形成為介於約100 Å至約3,000Å之間的厚度。
一旦形成層間介電層105,可形成穿過層間介電層105的接觸插塞104,以將主動元件103與上方結構電性連接。在一實施例中,可藉由首先穿過層間介電層105形成接觸插塞開口以露出主動元件103的導電部來開始形成接觸插塞104。在一實施例中,可使用合適的光學微影遮罩及蝕刻製程來形成接觸插塞開口。
一旦形成接觸插塞開口,可開始形成第一黏著層(第1圖中未單獨繪示)。在一實施例中,第一黏著層被用來幫助將其餘的接觸插塞104黏著至下方結構,且可使用下列製程來形成: CVD、電漿增強化學氣相沉積(plasma enhanced chemical vapor deposition, PECVD)、物理氣相沉積(physical vapor deposition, PVD)、原子層沉積(atomic layer deposition, ALD)及其相似方法等,上述第一黏著層可例如為鎢、氮化鈦、氮化鉭或其類似物。
一旦形成第一黏著層,便形成與黏著層接觸之其餘的接觸插塞104。在一實施例中,接觸插塞104的材料為鎢(tungsten, W),儘管可使用例如下列任何其他合適的材料:鋁、銅、鈷、其組合或其類似材料。可使用例如CVD的製程來形成接觸插塞104的材料,儘管可使用例如PECVD、物理氣相沉積(physical vapor deposition, PVD)、原子層沉積(atomic layer deposition, ALD)及其相似方法之任何合適的製程。
一旦填充,便對接觸插塞104進行平坦化,使得層間介電層105外部之接觸插塞104的材料被移除,形成接觸插塞104(第1圖中繪示出其中之一)。在一實施例中,平坦化製程為化學機械研磨(chemical mechanical polish, CMP),其中將蝕刻材料及研磨材料的組合與接觸插塞104的材料接觸,並且使用研磨墊(未單獨繪示)研磨掉接觸插塞104的材料直到所有位於層間介電層105上方之接觸插塞104的材料被移除。
可於層間介電層105上方形成第一介電層116,第一介電層116可由一或多種例如下列之合適的介電材料所組成:低k介電質、極低k介電質、聚合物、其組合或其相似材料,上述低k介電質例如摻雜碳的氧化物,上述極低k介電質例如多孔碳摻雜的二氧化矽、氧化矽、氮化矽,上述聚合物例如聚醯亞胺。可藉由例如旋塗製程或化學氣相沉積(chemical vapor deposition, CVD)的製程形成第一介電層116,儘管可利用任何合適的製程,並且第一介電層116可具有介於約400Å至約1000Å之間的厚度,例如約600Å。
一旦形成第一介電層116,可形成接觸插塞溝槽118,以將接觸插塞104連接至上方的金屬化層,並且在一些實施例中將接觸插塞104彼此連接。在一實施例中,可使用鑲嵌製程形成接觸插塞溝槽118,由此於第一介電層116內形成開口以露出接觸插塞104,然後用導電材料填充開口。在另一實施例中,可使用例如雙鑲嵌製程同時形成接觸插塞溝槽118及接觸插塞104。可利用製造接觸插塞104及接觸插塞溝槽118之任何合適的方法。
可於第一介電層116上方形成第二介電層107。第二介電層107可由例如下列一種或多種合適的介電材料所組成:低k介電質、極低k介電質、聚合物、其組合或其相似材料,上述低k介電質例如摻雜碳的氧化物,上述極低k介電質例如多孔碳摻雜的二氧化矽、氧化矽、氮化矽,上述聚合物例如聚醯亞胺。可藉由例如旋塗製程或化學氣相沉積(chemical vapor deposition, CVD)的製程形成第二介電層107,儘管可利用任何合適的製程,並且第一介電層116可具有介於約400Å至約1000Å之間的厚度,例如約600Å。
第1圖還繪示出於第二介電層107內形成導電部件(例如,導電導孔、導電溝槽、金屬化層、導電跡線、導線、金屬墊、金屬柱、其組合等)。在一實施例中,導電部件可包括使用例如雙鑲嵌製程形成的第一導孔109及第一導電溝槽111,由此於第二介電層107內首先形成第一導孔109及導電溝槽111的開口。在一實施例中,可藉由於第二介電層107上方放置並圖案化光阻材料來形成開口。一旦放置並圖案化光阻材料,可使用例如活性離子蝕刻的乾式蝕刻製程,以將圖案從該圖案化的光阻轉移至下方的第二介電層107。可重複此製程,以形成開口的導孔部以及開口的溝槽部。
一旦形成開口,可用導電材料填充開口,以於第二介電層107內形成第一導孔109以及導電溝槽111。在一實施例中,可藉由首先沉積阻障層(第1圖中未單獨繪示)開始形成導電材料。阻障層可為使用例如下列沉積製程來沉積的阻障材料:化學氣相沉積、物理氣相沉積、原子層沉積或其相似製程,上述阻障材料例如氮化鈦或氮化鉭。然而,可使用任何合適的材料或沉積方法來形成阻障層。
一旦形成阻障層,可於第二介電層107內沉積導電材料以填充及/或過填充開口。在一實施例中,導電材料可為一種例如下列的材料:銅、鎢、鈷、釕、二氧化鈦、鋁、合金、摻雜的多晶矽、其組合或其相似材料,可使用例如晶種層(未繪示)及例如電化學電鍍的電鍍製程來形成導電材料,儘管可取決於期望的材料而使用濺射、蒸發或PECVD製程的其他形成製程。一旦導電材料填充開口,可移除開口外側之任何多餘的導電材料,並且可使用例如化學機械研磨製程來將導電溝槽111以及第二介電層107平坦化。
第1圖還繪示出形成另一金屬化層(位於由第一導孔109及導電溝槽111所形成的金屬化層上方)的初始製程。在實施例中,於第二介電層107上方形成接觸蝕刻停止層113以及第三介電層119。
接觸蝕刻停止層113是用來保護下方結構並為後續蝕刻製程提供控制點(control point)。在一實施例中,可使用電漿增強化學氣相沉積(plasma enhanced chemical vapor deposition, PECVD)形成由氧化鋁(AlOx
)所組成的接觸蝕刻停止層113,儘管可使用例如下列的其他材料:氮化物、碳化物、硼化物、其組合或其相似材料,以及可使用例如下列的技術:低壓CVD(low pressure CVD, LPCVD)、PVD或其相似技術。可將接觸蝕刻停止層113形成為具有介於約20Å至約2000Å之間的第一厚度Th1,例如約200Å。
可選地,接觸蝕刻停止層113可為底蝕刻停止層及可選的第二蝕刻停止層(未繪示)且/或可於接觸蝕刻停止層113上方形成可選的第三蝕刻停止層(未繪示)。在一實施例中,可選的第二蝕刻停止層可由例如碳摻雜之氧化物的材料所形成,且可選的第三蝕刻停止層可由例如氧化鋁的材料所形成,儘管任何合適的材料例如氮化鋁也可使用,上述碳摻雜的氧化物例如SiOC。可使用例如下列沉積製程來形成可選的第二蝕刻停止層及可選的第三蝕刻停止層:化學氣相沉積、物理氣相沉積、原子層沉積或其相似製程,且可將其沉積至具有介於約10Å至約200Å之間的厚度,例如約40Å。然而,可使用任何合適的材料、沉積製程及厚度。
一旦形成接觸蝕刻停止層113,可於接觸蝕刻停止層113上方形成第三介電層119,作為多孔介電層或非多孔介電層。在一實施例中,第三介電層119可例如為用來幫助將內連線與其他結構隔離的低k介電膜。藉由隔離內連線,可減少內連線的電阻-電容(resistance-capacitance, RC)延遲,從而提高通過內連線之電流的整體效率及速度。
在一實施例中,第三介電層119可為多孔材料或包括例如下列的無孔材料:SiOCN、SiCN或SiOC,並且可藉由於接觸蝕刻停止層113上方首先形成前驅物層來形成。前驅物層可同時包括基質材料及散佈於基體材料內的成孔劑,以形成多孔層,或也可包括不含成孔劑的基體材料,以形成非多孔層。在一實施例中,舉例而言,可藉由使用例如電漿增強化學氣相沉積(plasma enhanced chemical vapor deposition, PECVD)的製程將基質及成孔劑共沉積來形成前驅物層,其中同時沉積基質材料與成孔劑,從而形成基質材料及成孔劑混合在一起的前驅物層。然而,如本領域普通技術人員將理解的,使用同時的PECVD製程的共沉積並非可用於形成前驅物層的唯一製程。也可使用任何適合的製程,例如將基質材料及成孔劑材料預混合為液體,然後將混合物旋塗至接觸蝕刻停止層113上。
前驅物層可形成為足以提供第三介電層119所需之隔離及佈線特性的厚度。在一實施例中,可將前驅物層形成為具有介於約10Å至約2000Å之間的第二厚度Th2,例如約300Å。然而,由於前驅物層的精確厚度可為任何合適的期望厚度,所以這些厚度僅為示例性,且不意圖限制實施例的範圍。
可使用例如PECVD的製程來形成基質材料或基礎介電材料,儘管也可使用例如下列任何合適的製程:化學氣相沉積(chemical vapor deposition, CVD)、物理氣相沉積(physical vapor deposition, PVD)或甚至是旋塗。PECVD製程可利用例如甲基二乙烷氧基矽烷(methyldiethoxy silane, DEMS)的前驅物,儘管也可使用例如下列的其它前驅物:其他矽烷、烷基矽烷(例如,三甲基矽烷及四甲基矽烷)、烷氧基矽烷(例如,甲基三乙烷氧基矽烷(methyltriethoxysilane, MTEOS)、甲基三甲氧烷基矽烷(methyltrimethoxysilane, MTMOS)、甲基二甲氧烷基矽烷(methyldimethoxysilane, MDMOS)、三甲基甲氧烷基矽烷(trimethylmethoxysilane, TMMOS)及二甲基二甲氧烷基矽烷(dimethyldimethoxysilane, DMDMOS))、線性矽氧烷及環狀矽氧烷(例如,八甲基環四矽氧烷(octamethylcyclotetrasiloxane, OMCTS)及四甲基環四矽氧烷(tetramethylcyclotetrasiloxane, TMCTS))、其組合等。然而,如本領域普通技術人員將理解的,由於可利用任何其他合適的基質前驅物,因此本文所列的材料及方法僅為示例性,並非意於限制實施例。
在形成具有分散於基質材料內之成孔劑的前驅物層之後,將成孔劑從基質材料中移除以在基質材料內形成孔洞。在一實施例中,藉由退火製程來移除成孔劑,上述退火製程可將成孔劑材料分解並蒸發,從而使成孔劑材料擴散並離開基質材料,從而留下結構上完整的多孔介電材料作為第三介電層119。舉例而言,可利用介於約200℃至約500℃之間長達約10秒至約600秒之間的退火,上述溫度例如約400℃,上述時間例如約200秒。
然而,如本領域普通技術人員將理解的,上述熱處理並非唯一可用於將致孔劑從基質材料中移除以形成第三介電層119的方法。也可使用例如用紫外線輻射成孔劑以分解成孔劑或利用微波來分解成孔劑。實施例的範圍內意圖完全包括移除全部或移除部分致孔劑的這些及任何其他合適的方法。
第1圖還繪示出抗反射層121的設置。在一實施例中,抗反射層121可為無氮的抗反射塗層,且可被塗佈於第三介電層119,使得用於抗反射層121的材料塗佈於第三介電層119的露出上表面。根據一些實施例,可使用例如下列的製程塗佈抗反射層121:旋塗製程、浸塗法、氣刀塗佈法、簾塗法、線棒塗佈法、凹版塗佈法、層壓法、擠出塗佈法、其組合或其相似方法。在一實施例中,可塗佈抗反射層121的材料,使得其具有介於約50nm至約500nm之間的厚度,例如約300nm。然而,可使用任何合適的材料、塗佈方法及厚度。
一旦形成抗反射層121,可於抗反射層121上方形成第一硬遮罩123。在一實施例中,第一硬遮罩123可為例如氮化鈦(titanium nitride, TiN)的遮罩材料,儘管可使用例如下列任何其他合適的材料:氮化矽、氧化鈦或富含鈦的材料。可使用例如下列的沉積製程來形成第一硬遮罩123:化學氣相沉積、物理氣相沉積、原子層沉積、其組合或其類似方法,且第一硬遮罩123可形成具有介於約50Å至約800Å之間的厚度,例如約300Å。然而,可利用任何合適的材料、沉積製程及厚度。
一旦形成第一硬遮罩123,可圖案化第一硬遮罩123,以為後續的蝕刻製程提供遮罩層以形成開口120。在實施例中,可藉由在第一硬遮罩123上方放置第一光阻125,然後將第一光阻125曝光及顯影以形成圖案化的光阻,來圖案化第一硬遮罩123。一旦將第一光阻125圖案化,接著使用例如活性離子蝕刻製程的一或多個各向異性蝕刻製程,將第一光阻125的圖案轉移至第一硬遮罩123及抗反射層121。然而,可利用任何合適的製程。
在一些實施例中,可形成具有穿過第一硬遮罩123之第一寬度W1並形成具有穿過抗反射層121之第二寬度W2的開口120。第二寬度W2可小於第一寬度W1,儘管第一寬度W1及第二寬度W2也可相同。根據一些實施例,第一寬度W1可介於約10nm至約100nm之間,例如約25nm,且第二寬度W2可介於約10nm至約100nm之間,例如約25nm。然而,可利用任何合適的寬度。
第2圖係根據一些實施例,繪示第一蝕刻製程250,以使開口120延伸穿過第三介電層119,並露出接觸蝕刻停止層113。一旦圖案化第一硬遮罩123,可於第一刻蝕製程250中將第一硬遮罩123用作遮罩,以於第三介電層119中形成開口120。在一實施例中,第一蝕刻製程250為例如各向異性蝕刻的乾式蝕刻,上述各向異性蝕刻例如使用適合蝕刻第三介電層119之蝕刻劑的活性離子蝕刻。然而,可利用任何合適的蝕刻製程。
一旦形成開口120,將第一光阻125移除。在一實施例中,使用例如灰化的製程將第一光阻125移除,由此提高第一光阻125的溫度直到第一光阻125經歷熱分解,此時可輕易地移除第一光阻125。然而,可使用其他合適的製程,或甚至可使用與形成開口120相同的蝕刻製程來移除第一光阻125,上述其他合適的製程例如濕式或乾式蝕刻。
在一些實施例中,第一蝕刻製程250於單一蝕刻步驟中蝕刻穿過第三介電層119,並且露出接觸蝕刻停止層113。在其他實施例中,第一蝕刻製程250可包括複數個蝕刻步驟,包括初始蝕刻步驟以蝕刻進第三介電層119,但不穿過第三介電層119。初始蝕刻步驟接續著一或多個後續蝕刻步驟,以一路穿過第三介電層119延伸開口120,並露出接觸蝕刻停止層113。一或多個後續的蝕刻步驟包括後續的光阻沉積、後續的光阻圖案化、後續蝕刻進入第三介電層119以及後續的光阻移除。在一實施例中,後續的光阻可包括三層光阻,具有底部抗反射塗層(bottom anti-reflective coating, BARC)層、中間遮罩層及頂光阻層。然而,可使用光敏材料或材料之組合的任何合適類型。
開口120於接觸蝕刻停止層113的露出表面可具有第三寬度W3。開口120的第三寬度W3可小於第二寬度W2,儘管第二寬度W2及第三寬度W3也可相同。根據一些實施例中,第三寬度W3可介於約8nm至約80nm之間,例如約20nm。然而,可使用任何合適的寬度。
可將接觸蝕刻停止層113的材料選擇為停止或至少減慢第一蝕刻製程250,並防止第一蝕刻製程250蝕刻穿過接觸蝕刻停止層113。如此一來,開口120可延伸進接觸蝕刻停止層113中,但不穿過接觸蝕刻停止層113。然而,可利用任何合適的蝕刻停止材料或材料的組合以及任何合適的蝕刻方法或蝕刻方法的組合。
第3A圖及第3B圖根係據一些實施例,繪示出在穿透製程中的中間步驟,係將開口120延伸穿過蝕刻停止層113並露出導電溝槽111。穿透製程包括穿透佈植350(繪示於第3A圖中)及蝕刻停止移除步驟360(繪示於第3B圖中),並且可在適合支撐半導體裝置100及分送(dispense)蝕刻劑的腔室中進行,上述蝕刻劑對被移除的材料具有選擇性。根據一些實施例,可在開口120中將穿透製程作為後導孔蝕刻清潔製程的一部分或與之結合來進行,及/或在開口120中形成金屬插塞之前進行。在一些實施例中,在進行穿透製程之前將第一硬遮罩123及/或抗反射層121移除,且在其他實施例中於穿透製程期間將第一硬遮罩123及/或抗反射層121移除。
根據一些實施例,第一硬遮罩123及抗反射層121的移除可在相同的移除步驟期間進行,或者可在分開的步驟中移除。根據一些實施例,將一或多個對第一硬遮罩123(例如,氮化鈦)及抗反射層121具有選擇性的液體蝕刻劑用於移除第一硬遮罩123及抗反射層121。然而,也可使用任何其他合適的移除製程,例如灰化製程。
第3A圖係根據一些實施例,更進一步繪示出穿透佈植350。蝕刻停止穿透製程的初始步驟,對接觸蝕刻停止層113的目標區301進行穿透佈植350。穿透佈植350可在適合支撐半導體裝置100及適合將摻質佈植進要移除之材料中的腔室中進行。
根據一些實施例中,使用離子束加速系統進行穿透佈植350,將摻質佈植進開口120內露出的接觸蝕刻停止層113中。可基於在目標區301中要移除之接觸蝕刻停止層113期望的選擇性及/或將期望的圖案或濃度佈植進在開口120內露出的其他材料中,選擇摻質、佈植能階及佈植濃度水平的源極材料。根據一些實施例中,蝕刻停止穿透製程包括使用例如下列之摻質的一或多個物理性佈植製程及/或化學佈植製程:氬(argon, Ar)、氟(fluorine, F)、二氟化硼(difluoroboron, BF2
)、其組合或其類似物,用於協助移除在開口120內露出的蝕刻停止層113(例如,AlOx
)。
在物理性佈植製程中,將例如下列之惰性摻質材料用於協助移除於開口120內露出的蝕刻停止層113:氬(argon, Ar)、氟(fluorine, F)、二氟化硼(difluoroboron, BF2
)其組合或其類似物。在物理性佈植製程期間,可使用離子束系統以足夠的能量將惰性摻質材料(例如氬)的離子加速進入目標區301中,以破壞蝕刻停止層113的晶體結構,從而使材料彼此錯位(dislocate)。如此一來,蝕刻停止層113錯位的材料可更容易與反應試劑及溶劑混合及/或反應,上述反應試劑及溶劑用於協助從開口120移除錯位的材料及/或摻質材料的離子(以下進一步描述)。
根據一些實施例,物理性佈植製程使用氬(argon, Ar)作為原料,且在介於約500eV至約3000eV之間的第一能階下操作離子束加速系統,例如約1000eV。此外,物理性佈植製程可將摻質佈植於蝕刻停止層113中至深度約等於第一厚度Th1,且具有介於約1E15至約5E15之間的第一濃度,例如約2E15 。
在一些實施例中,在物理性佈植製程期間,也可沿著第三介電層119的頂表面以及沿著開口120的側壁將摻質佈植於第三介電層119中,從而形成摻雜的介電質區303。在一實施例中,摻雜的介電質區303可延伸進第三介電層119側壁中約10nm至約30nm之間的第一深度D1,例如約20nm,而摻雜的介電質區303可沿著第三介電層119的頂表面以介於約10nm至約100nm之間的第二深度D2延伸,例如約20nm。摻雜的介電質區303可具有介於約1E15至約5E15之間的摻質濃度,例如約2E15。這些摻質可於半導體裝置100的進一步製程期間保持嵌入於第三介電層119中。以下將更詳細地討論這樣的實施例。
在另一實施例中,並未佈植例如氬的惰性材料,而是佈植化學活性摻質。舉例而言,在這樣的化學性佈植製程中,佈植化學活性摻質以助於移除於開口120內露出的蝕刻停止層113(例如,AlOX
)。於化學性佈植製程期間,可將離子束系統以足夠的能量用於加速化學活性摻質的離子進入目標區301,以佈植摻質並引發與蝕刻停止層113之材料的化學反應。化學活性摻質作為擊穿(breakdown)蝕刻停止層113的材料(類似於物理性佈植製程),與蝕刻停止層113的材料發生反應,以增加蝕刻停止層113的選擇性,或者化學活性摻質於移除蝕刻停止層113期間作為催化劑,以協助從開口120移除材料。
根據一些實施例,使用例如下列的化學活性摻質作為前驅物來進行化學性佈植製程:氟(fluorine, F)、二氟化硼(difluoroboron, BF2
)、其組合或其相似物。根據一些實施例,藉由離子束加速系統在介於約500eV至約3000eV之間的第二能階進行化學性佈植製程,以將化學活性摻質佈植進蝕刻停止層113中,上述第二能階例如約1000eV。如此一來,可將化學性佈植製程的摻質佈植並擴散進入蝕刻停止層113至深度約等於第一厚度Th1,並且具有介於約1E15至約5E15之間的第三濃度,例如約2E15 。
與物理性佈植製程類似,化學性佈植製程不僅會透過開口120將化學活性摻質佈植進蝕刻停止層113中,而且還會將化學活性摻質佈植進每個露出的表面中,包括開口120的側壁以及第三介電層119的頂表面。在一實施例中,可將化學活性摻質佈植至與以上所述關於物理性佈植製程相同的深度及相同的濃度。然而,可利用任何合適的深度及任何合適的濃度。
第3B圖係根據一些實施例,繪示出蝕刻停止穿透製程的蝕刻停止移除步驟360。蝕刻停止移除步驟360在濕式穿透蝕刻中使用凹蝕(recess)化學物質,其對開口120露出的接觸蝕刻停止層113的材料(例如,AlOX
)具有選擇性。根據一些實施例,將凹蝕化學物質與去離子水(deionized water, DIW)或例如下列的功能性DIW混合:二氧化碳(carbon dioxide CO2
)混合DIW、臭氧(ozone, O3
)混合DIW、或其類似物。舉例而言,在接觸蝕刻停止層113為AlOX
且摻質為氟(fluorine, F)的實施例中,AlF3
形成於水基(water based)的溶液(例如,DIW)中。如此一來,在濕式穿透蝕刻中化學反應可例如為1AlF3 + 2H2O→1AlO2-(aq)+ 3HF+H+。根據一些實施例,凹蝕化學物質包括蝕刻劑及蝕刻溶劑,上述蝕刻劑用於選擇性移除接觸蝕刻停止層113的材料,上述蝕刻溶劑用於協助混合及在本身不必參與蝕刻反應的情況下傳送(deliver)蝕刻劑。雖然用於凹蝕化學物質中的精確蝕刻劑至少部分取決於選擇用於接觸蝕刻停止層113的材料,但在一實施例中,藉由將蝕刻劑與DIW或功能性DIW(以下為例示性濃度比值並統稱為(DI))混合,來形成凹蝕化學物質。凹蝕化學物質的示例包括但不限於:過氧化氫(hydrogen peroxide, H2
O2
)、氫氧化銨(ammonium hydroxide, NH4
OH)、標準清潔-1(standard clean-1, SC1)、標準清潔-2(standard clean-2, SC2)、其組合或其類似物,上述過氧化氫以H2
O2
:DI體積比介於約1:5至1:30之間的混合比,上述氫氧化銨以NH4
OH:DI體積比介於約1:5至1:2000之間的混合比,上述SC1為氫氧化銨(ammonium hydroxide, NH4
OH)及過氧化氫(hydrogen peroxide, H2
O2
)與DIW混合的混合物,以NH4
OH:H2
O2
:DI體積比介於約1:1:5至約1:1:400之間的混合比,上述SC2為鹽酸(hydrochloric acid, HCl)及過氧化氫(hydrogen peroxide, H2
O2
)與DIW混合的混合物,以HCl:H2
O2
:DI體積比介於約1:1:5至約1:1:120之間的混合比。然而,可使用任何合適的濃度。
根據一些實施例,一旦製備了凹蝕化學物質,可以介於約1000 mL/min至約1500 mL/min的速率、介於室溫(例如,25˚C )至約65˚C之間的製程溫度且介於約30 sec至約300 sec的製程時間,將凹蝕化學物質分佈於半導體裝置100上方,上述製程時間例如約120 sec。然而,於分佈凹蝕化學物質期間可利用任何合適的製程條件。
因為凹蝕化學物質為對接觸蝕刻停止層113的材料具有選擇性的蝕刻劑,所以凹蝕化學物質的分散將選擇性移除蝕刻停止層113的材料而不會顯著移除其他露出的材料(例如,第三介電層119及/或導電溝槽111)。一旦移除了接觸蝕刻停止層113的目標區301,於開口120內露出導電溝槽111的區域。
然而,藉由增加接觸蝕刻停止層113之材料的蝕刻速率,及/或藉由增加接觸蝕刻停止層113之材料對第三介電層119之材料的選擇性,可將接觸蝕刻停止層113的目標區301移除,而不會顯著地將第三介電層119移除,且也不會顯著地側向蝕刻接觸蝕刻停止層113本身。舉例而言,在穿透佈植350為物理性佈植製程的實施例中,物理性佈植製程的摻質用於破壞目標區301之材料的結構,從而允許凹蝕化學物質進一步侵入目標區301之材料內,並與目標區301之材料的較大部分更快地反應。類似地,在穿透佈植350為化學性佈植製程的實施例中,不僅凹蝕化學物質將進一步侵入,而且化學活性摻質的存在也將用於增加移除製程的反應速率,例如,將目標區301之材料的蝕刻速率從相對於第一蝕刻劑的第一蝕刻速率增加至比第一蝕刻速率快的第二蝕刻速率。如此一來,可以比其他方式更快的速率移除目標區301的材料。
鑒於較快的移除速率及增加的選擇性,在開口120的側壁處露出的材料(例如,第三介電層119)於濕式蝕刻期間幾乎沒有或沒有被橫向蝕刻,且開口120的輪廓得以保持。類似地,接觸蝕刻停止層113幾乎沒有或沒有橫向蝕刻。對應地,導電溝槽111的露出區具有第三寬度W3。
一旦蝕刻了接觸蝕刻停止層113,可進行潤洗(rinse)製程以從開口120中移除任何殘留物及/或蝕刻殘留物,使得這些殘留物及/或蝕刻殘留物並不存在而干擾後續製造步驟。舉例而言,潤洗製程可使用包含下列的溶液:去離子水、碳化的去離子水或具有NH4
OH的去離子水,上述碳化的去離子水例如具有二氧化碳的去離子水。可在約20至約80的溫度範圍內進行潤洗製程。再者,也可進行乾燥製程以乾燥半導體裝置100的表面。舉例而言,乾燥製程可包括在氮氣流送的情況下對半導體裝置100進行旋乾。舉例而言,乾燥製程可包括異丙醇(isopropyl alcohol, IPA)乾燥製程或丙酮乾燥製程。然而,可使用任何合適的潤洗液。
第4圖係根據一些實施例,繪示出內連線901的形成。一旦移除了接觸蝕刻停止層113的目標區301且露出了導電溝槽111,可於開口120中形成內連線901。
根據一些實施例,內連線901包括阻障層903 ,以協助隔離並保護後續形成的導電材料(以下將進一步討論)。在一實施例中,阻障層903可包括例如下列的材料:鈦、氮化鈦、其組合或其類似物,且可使用例如下列的製程形成阻障層903:化學氣相沉積(chemical vapor deposition, CVD)、物理氣相沉積(physical vapor deposition, PVD)、原子層沉積(atomic layer deposition, ALD)或其類似製程。如此一來,阻障層903對開口120的形狀保形沉積,其沿著開口120內第三介電層119的側壁、接觸蝕刻停止層113的側壁、導電溝槽111之平坦表面,及沿著開口120外第三介電層119之平坦表面。根據一些實施例,將阻障層903形成為介於約0.1µm至約20µm之間的厚度,例如約0.5µm。
一旦形成阻障層903,將開口120的剩餘部分以導電材料填充,以形成內連線901。如此一來,內連線901及阻障層903可形成於導電溝槽111上方,並且具有等於第三寬度W3之位於導電溝槽111的接觸界面。
用於形成內連線901的導電材料可包括銅,儘管可替代地使用例如下列之其他合適的材料:鋁、合金、摻雜的多晶矽、鈷、鎢、其組合等。可藉由沉積晶種層(未單獨繪示)、將銅電鍍至晶種層上並且填充及過填充開口120來形成導電材料。一旦開口120被填充,可藉由例如化學機械研磨(chemical mechanical polish, CMP)的平坦化製程,將開口120外之阻障層903、晶種層以及導電材料的多餘部分移除,儘管可使用任何合適的移除製程。
第5A圖及第5B圖分別類似於第3A圖及第3B圖,且係根據一些其它實施例繪示出穿透製程中的中間步驟。第5A圖係根據一些實施例繪示第二穿透佈植550,且第5B圖係根據一些實施例繪示出蝕刻停止穿透製程的第二蝕刻停止移除步驟560。
第5A圖繪示出進行第二穿透佈植550,使得摻質以一或多個角度被佈植及/或橫向擴散進入第三介電層119的側壁中至第三深度D3,並且摻質可具有於第三介電層119之摻雜的介電質區303內的第四體積濃度。根據一些實施例,第三深度D3可介於約1nm至約3nm,例如約2nm,且第四濃度可介於約0%至約100%的體積濃度之間,例如約50%的體積濃度。
第5B圖繪示出進行第二穿透蝕刻停止層移除步驟560,使得穿過接觸蝕刻停止層113的開口120於導電溝槽111露出的表面橫向擴展至第四寬度W4。根據一些實施例,第四寬度W4可介於約8nm至約12nm之間,例如約10nm。再者,沿著第三介電層119之開口120的側壁於第5B圖中被摻雜,而在第3B圖中側壁並未被摻雜。
第6圖類似於第4圖,係根據一些其他實施例繪示出半導體裝置100。第6圖係根據其他實施例繪示出形成第5B圖中開口120中的內連線901。一旦移除了接觸蝕刻停止層113的目標區301並且露出了導電溝槽111,可在開口120中形成內連線901,且佈植的摻質保持嵌入於與內連線901的界面接觸的第三介電層119中。
一旦形成開口120,可使用上述有關於第4圖的材料及製程於開口120內形成阻障層903及內連線901。如此一來,內連線901及阻障層903可形成於導電溝槽111上方,具有插塞輪廓形狀(contoured plug shape)並且在導電溝槽111處具有等於第四寬度W4的接觸界面。如此一來,提供具有複雜形狀的內連線901,其中內連線901將延伸於導電溝槽111及第三介電層119、用於阻障層903的堅固材料以及在導電溝槽111處的低電阻界面之間。
儘管根據一些實施例,第3A圖的實施例進一步繪示出穿透佈植350。作為蝕刻停止穿透製程的初始步驟,於接觸蝕刻停止層113的目標區301中進行穿透佈植350。可在適合支撐半導體裝置100且適合於將摻質佈植進要移除之材料中的腔室中進行穿透佈植350。
第3B圖係根據一些實施例,繪示出蝕刻停止穿透製程的蝕刻停止移除步驟360。蝕刻停止移除步驟360在濕式穿透蝕刻中使用凹蝕化學物質,其對經由開口120露出之接觸蝕刻停止層113的材料(例如,AlOx
)具有選擇性。根據一些實施例,將凹蝕化學物質與去離子水(deionized water, DIW)或例如下列的功能DIW混合:二氧化碳(carbon dioxide, CO2
)混合DIW、臭氧(ozone, O3
)混合DIW或其類似物。
本發明所述之蝕刻停止穿透製程可作為後導孔蝕刻清潔製程的一部分或與其組合來進行,及/或可於在開口120中形成金屬插塞前進行。蝕刻停止穿透製程可用於在金屬導孔上方形成金屬插塞的應用中,上述金屬導孔包括例如下列材料:鈷、鎢、銅、其組合或其他類似材料。在前段產線(beginning end of the line, BEOL )製程及/或中段產線(middle end of the line, MEOL)製程的各種製程中,當接觸蝕刻停止層113被使用於介電層之間的界面且於介電層內形成開口時,則可使用蝕刻停止穿透製程。舉例而言,當於一或多個層間介電層105、第一介電層116及/或第二介電層107之間的界面處提供蝕刻停止層113時,可將包括如本文所述之穿透佈植350及蝕刻停止移除步驟360的蝕刻停止穿透製程用於在那些介電層中形成開口,以製備一或多個接觸插塞104、接觸插塞溝槽118、第一導孔109以及導電溝槽111。再者,例如下列用於形成內連線901的任何導電材料也可用於形成接觸插塞104、接觸插塞溝槽118、第一導孔109及/或導電溝槽111:銅、鎢、鈷、釕、二氧化鈦、鋁、合金、摻雜的多晶矽、其組合或其類似物。蝕刻停止穿透製程也可應用於多個技術世代(例如,N5、N3、N2及其類似世代)。
根據一些實施例,本文描述的方法適用於例如下列的多種技術世代:N20、N16、N10、N5、N3、N2等。所公開的實施例可用於使用例如鈷、鎢、銅等之不同金屬的應用。可在許多包括例如下列的後段產線(back end of the line, BEoL)製程中使用實施例:在形成金屬插塞之前的後導孔蝕刻清潔、接觸凹蝕或類似應用。實施例可用於控制製程時間/溫度或化學成分,以微調CESL臨界尺寸(critical dimension, CD)及MD凹蝕。實施例可廣泛用於中段產線(middle end of the line, MEoL)及後段產線(back end of the line, BEoL)製程中,以形成金屬插塞。
以上概述數個實施例之部件,以便在本發明所屬技術領域中具有通常知識者可更加理解本發明實施例的觀點。在本發明所屬技術領域中具有通常知識者應理解,他們能輕易地以本發明實施例為基礎,設計或修改其他製程及結構,以達到與在此介紹的實施例相同之目的及/或優勢。在本發明所屬技術領域中具有通常知識者也應理解,此類等效的結構並無悖離本發明的精神與範圍,且他們能在不悖離本發明之精神及範圍下,做各式各樣的改變、取代及替換。
根據一實施例,一種方法包括:形成開口於介電材料中,開口露出導電部件上方之一刻停止層的一目標區; 對目標區進行摻質佈植;及進行蝕刻製程,以移除目標區中蝕刻停止層材料的一部分並露出導電部件。在一實施例中,蝕刻停止層包括氧化鋁。在一實施例中,摻質佈植包括物理性佈植。在一實施例中,物理性佈植使用氬作為原料。在一實施例中,摻質佈植包括化學性佈植。在一實施例中,化學性佈植使用氟作為原料。在一實施例中,摻質佈植植入摻質於該開口的側壁中。
根據另一實施例,一種方法包括:沉積蝕刻停止層於第一介電層中的金屬化層上方,蝕刻停止層具有相對於第一蝕刻劑的第一蝕刻速率;及沉積第二介電層於蝕刻停止層上方;形成穿過第二介電層的導孔開口,導孔開口露出蝕刻停止層;透過開口對蝕刻停止層進行離子佈植,離子佈植將相對於第一蝕刻劑的第一蝕刻速率改變為第二蝕刻速率;及於進行離子佈植之後,使用第一蝕刻劑進行移除製程,金屬化層經由導孔開口露出。在一實施例中,進行移除製程包括濕式蝕刻。在一實施例中,蝕刻停止層包括氧化鋁(aluminum oxide, AlOx
),且第一蝕刻劑包括去離子水。在一實施例中,離子佈植植入化學中性離子。在一實施例中,化學中性離子為氬。在一實施例中,離子佈植植入化學活性離子。在一實施例中,化學活性離子包括氟。
根據又一實施例,一種半導體裝置,包括:金屬化層,於半導體基板上方;蝕刻停止層,於金屬化層上方;層間介電層,於蝕刻停止層上方;金屬插塞,穿過層間介電層及穿過蝕刻停止層;及摻質佈植區,於層間介電層內,並且位在鄰近於層間介電層的第一表面並且也鄰近於層間介電層的第二表面處,第一表面與金屬插塞實體接觸,且第二表面位於層間介電層與蝕刻停止層相反的一側。在一實施例中,在與半導體基板的主表面垂直的方向上,金屬插塞延伸於摻質佈植區及金屬化層之間。在一實施例中,層間介電層具有與蝕刻停止層之側壁對準的側壁。在一實施例中,摻質佈植區包括氬。在一實施例中,摻質佈植區包括氟。在一實施例中,蝕刻停止層包括氧化鋁。
以上概述數個實施例之部件,以便在本發明所屬技術領域中具有通常知識者可更加理解本發明實施例的觀點。在本發明所屬技術領域中具有通常知識者應理解,他們能輕易地以本發明實施例為基礎,設計或修改其他製程及結構,以達到與在此介紹的實施例相同之目的及/或優勢。在本發明所屬技術領域中具有通常知識者也應理解,此類等效的結構並無悖離本發明的精神與範圍,且他們能在不悖離本發明之精神及範圍下,做各式各樣的改變、取代及替換。
100:半導體裝置
101:半導體基板
103:主動元件
104:接觸插塞
105:層間介電層
107:第二介電層
109:第一導孔
111:第一導電溝槽
113:接觸蝕刻停止層
116:第一介電層
118:接觸插塞溝槽
119:第三介電層
120:開口
121:抗反射層
123:第一硬遮罩
125:第一光阻
150:第一圖案化製程
250:第一蝕刻製程
301:目標區
303:摻雜的介電質區
350:穿透佈植
360:蝕刻停止移除步驟
550:第二穿透佈植
560:第二蝕刻停止移除步驟
901:內連線
903:阻障層
W1:第一寬度
W2:第二寬度
W3:第三寬度
W4:第四寬度
Th1:第一厚度
Th2:第二厚度
D1:第一深度
D2:第二深度
D3:第三深度
本揭露的各面向從以下詳細描述中配合附圖可最好地被理解。應強調的是,依據業界的標準做法,各種部件並未按照比例繪製。事實上,為了清楚討論,各種部件的尺寸可任意放大或縮小。
第1圖係根據一些實施例,繪示出硬遮罩層的圖案化。
第2圖係根據一些實施例,繪示出介電層的蝕刻。
第3A圖至第3B圖係根據一實施例,繪示形成穿過蝕刻停止層之開口的穿透製程。
第4圖係根據一些實施例,繪示出內連線的形成。
第5A圖至第5B圖係根據另一實施例,繪示出形成穿過蝕刻停止層的穿透製程。
第6圖係根據另一實施例,繪示出內連線的形成。
100:半導體裝置
101:半導體基板
103:主動元件
104:接觸插塞
105:層間介電層
107:第二介電層
109:第一導孔
111:第一導電溝槽
113:接觸蝕刻停止層
116:第一介電層
118:接觸插塞溝槽
119:第三介電層
120:開口
303:摻雜的介電質區
360:蝕刻停止移除步驟
W3:第三寬度
Claims (10)
- 一種半導體裝置的製造方法,包括:形成一開口於一介電材料中,該開口露出在一導電部件上方一蝕刻停止層的一目標區,該目標區具有相對於一蝕刻劑的一第一蝕刻速率;對該目標區進行一摻質佈植以改變該目標區,使得該目標區具有相對於該蝕刻劑的一第二蝕刻速率,且該第二蝕刻速率大於該第一蝕刻速率;及於進行該摻質佈植之後,進行一蝕刻製程,以移除該目標區中該蝕刻停止層材料的一部分並露出該導電部件。
- 如請求項1所述之一種半導體裝置的製造方法,其中該蝕刻停止層包括氧化鋁。
- 如請求項1至2中任一項所述之一種半導體裝置的製造方法,其中該摻質佈植包括使用氬作為原料的一物理性佈植或使用氟作為原料的一化學性佈植。
- 如請求項1所述之一種半導體裝置的製造方法,其中該摻質佈植植入摻質於該開口的側壁中。
- 一種半導體裝置的製造方法,包括:沉積一蝕刻停止層於一第一介電層中的一金屬化層上方,該蝕刻停止層具有相對於一第一蝕刻劑的一第一蝕刻速率;及沉積一第二介電層於該蝕刻停止層上方;形成穿過該第二介電層的一導孔開口,該導孔開口露出該蝕刻停止層;透過該開口對該蝕刻停止層進行一離子佈植,該離子佈植將相對於該第一蝕刻劑的該第一蝕刻速率改變為一第二蝕刻速率,且該第二蝕刻速率大於該第一 蝕刻速率;及於進行該離子佈植之後,使用該第一蝕刻劑進行一移除製程,該金屬化層經由該導孔開口露出。
- 如請求項5所述之一種半導體裝置的製造方法,其中該蝕刻停止層包括氧化鋁(aluminum oxide,AlOX),且該第一蝕刻劑包括去離子水。
- 如請求項5或6所述之一種半導體裝置的製造方法,其中該離子佈植植入一化學中性離子的氬或一化學活性離子的氟。
- 一種半導體裝置,包括:一金屬化層,於一半導體基板上方;一蝕刻停止層,於該金屬化層上方;一層間介電層,於該蝕刻停止層上方;一金屬插塞,穿過該層間介電層及穿過該蝕刻停止層,其中該金屬插塞具有對應於該蝕刻停止層的一頂表面的一第一寬度及對應於該蝕刻停止層的一底表面的一第二寬度,且該第一寬度小於該第二寬度;及一摻質佈植區,於該層間介電層內,並且位在鄰近於該層間介電層的一第一表面並且也鄰近於該層間介電層的一第二表面處,該第一表面與該金屬插塞實體接觸,且該第二表面位於該層間介電層與該蝕刻停止層相反的一側。
- 如請求項8所述之半導體裝置,其中在與該半導體基板的一主表面垂直的方向上,該金屬插塞延伸於該摻質佈植區及該金屬化層之間,且該層間介電層具有與該蝕刻停止層之側壁對準的側壁。
- 如請求項8或9所述之半導體裝置,其中該摻質佈植區包括氬或氟。
Applications Claiming Priority (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201962928671P | 2019-10-31 | 2019-10-31 | |
US62/928,671 | 2019-10-31 | ||
US16/906,615 | 2020-06-19 | ||
US16/906,615 US11488857B2 (en) | 2019-10-31 | 2020-06-19 | Semiconductor device and method of manufacture using a contact etch stop layer (CESL) breakthrough process |
Publications (2)
Publication Number | Publication Date |
---|---|
TW202135233A TW202135233A (zh) | 2021-09-16 |
TWI786457B true TWI786457B (zh) | 2022-12-11 |
Family
ID=75688816
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW109137775A TWI786457B (zh) | 2019-10-31 | 2020-10-30 | 半導體裝置及其製造方法 |
Country Status (2)
Country | Link |
---|---|
US (1) | US11488857B2 (zh) |
TW (1) | TWI786457B (zh) |
Families Citing this family (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN113013142A (zh) | 2019-12-20 | 2021-06-22 | 台湾积体电路制造股份有限公司 | 集成芯片 |
US11521896B2 (en) * | 2019-12-20 | 2022-12-06 | Taiwan Semiconductor Manufacturing Company, Ltd. | Selective deposition of a protective layer to reduce interconnect structure critical dimensions |
US11177212B2 (en) * | 2020-04-13 | 2021-11-16 | Taiwan Semiconductor Manufacturing Co., Ltd. | Contact formation method and related structure |
US11776895B2 (en) * | 2021-05-06 | 2023-10-03 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor structure and method for manufacturing the same |
US11798837B2 (en) * | 2021-10-01 | 2023-10-24 | Micron Technology, Inc. | Methods for forming openings in conductive layers and using the same |
Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6610594B2 (en) * | 2001-07-10 | 2003-08-26 | Advanced Micro Devices, Inc. | Locally increasing sidewall density by ion implantation |
US20170278743A1 (en) * | 2016-03-25 | 2017-09-28 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and fabrication method therefor |
Family Cites Families (18)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6664182B2 (en) * | 2001-04-25 | 2003-12-16 | Macronix International Co. Ltd. | Method of improving the interlayer adhesion property of low-k layers in a dual damascene process |
US7365009B2 (en) * | 2006-01-04 | 2008-04-29 | United Microelectronics Corp. | Structure of metal interconnect and fabrication method thereof |
DE102006004412B3 (de) * | 2006-01-31 | 2007-08-30 | Advanced Micro Devices, Inc., Sunnyvale | Verfahren zum Erhöhen der Ätzselektivität in einer Kontaktstruktur in Halbleiterbauelementen |
DE102006035668B4 (de) * | 2006-07-31 | 2014-02-20 | Globalfoundries Inc. | Verfahren zum Herstellen einer Ätzindikator- und Ätzstoppschicht zur Reduzierung von Ätzungleichförmigkeiten |
KR101244161B1 (ko) * | 2007-07-18 | 2013-03-25 | 삼성전자주식회사 | 반도체 소자의 배선 구조물 및 그 형성 방법 |
US9236267B2 (en) | 2012-02-09 | 2016-01-12 | Taiwan Semiconductor Manufacturing Company, Ltd. | Cut-mask patterning process for fin-like field effect transistor (FinFET) device |
US9105490B2 (en) | 2012-09-27 | 2015-08-11 | Taiwan Semiconductor Manufacturing Company, Ltd. | Contact structure of semiconductor device |
US9236300B2 (en) | 2012-11-30 | 2016-01-12 | Taiwan Semiconductor Manufacturing Company, Ltd. | Contact plugs in SRAM cells and the method of forming the same |
US9136106B2 (en) | 2013-12-19 | 2015-09-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for integrated circuit patterning |
US9406804B2 (en) | 2014-04-11 | 2016-08-02 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFETs with contact-all-around |
US9443769B2 (en) | 2014-04-21 | 2016-09-13 | Taiwan Semiconductor Manufacturing Company, Ltd. | Wrap-around contact |
US9831183B2 (en) | 2014-08-07 | 2017-11-28 | Taiwan Semiconductor Manufacturing Company, Ltd. | Contact structure and method of forming |
US9496169B2 (en) * | 2015-02-12 | 2016-11-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of forming an interconnect structure having an air gap and structure thereof |
US9536964B2 (en) * | 2015-05-29 | 2017-01-03 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for forming via profile of interconnect structure of semiconductor device structure |
US9520482B1 (en) | 2015-11-13 | 2016-12-13 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of cutting metal gate |
US9548366B1 (en) | 2016-04-04 | 2017-01-17 | Taiwan Semiconductor Manufacturing Company, Ltd. | Self aligned contact scheme |
US10164111B2 (en) | 2016-08-03 | 2018-12-25 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and methods of manufacture |
US10566232B2 (en) * | 2017-05-18 | 2020-02-18 | Taiwan Semiconductor Manufacturing Co., Ltd. | Post-etch treatment of an electrically conductive feature |
-
2020
- 2020-06-19 US US16/906,615 patent/US11488857B2/en active Active
- 2020-10-30 TW TW109137775A patent/TWI786457B/zh active
Patent Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6610594B2 (en) * | 2001-07-10 | 2003-08-26 | Advanced Micro Devices, Inc. | Locally increasing sidewall density by ion implantation |
US20170278743A1 (en) * | 2016-03-25 | 2017-09-28 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and fabrication method therefor |
Also Published As
Publication number | Publication date |
---|---|
US20210134660A1 (en) | 2021-05-06 |
US11488857B2 (en) | 2022-11-01 |
TW202135233A (zh) | 2021-09-16 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TWI786457B (zh) | 半導體裝置及其製造方法 | |
CN109326554B (zh) | 半导体结构及其形成方法 | |
US7378350B2 (en) | Formation of low resistance via contacts in interconnect structures | |
US10062645B2 (en) | Interconnect structure for semiconductor devices | |
WO2002061823A1 (en) | Integration of organic fill for dual damascene process | |
US6114233A (en) | Dual damascene process using low-dielectric constant materials | |
US6713382B1 (en) | Vapor treatment for repairing damage of low-k dielectric | |
KR20180061473A (ko) | 반도체 장치 및 그 제조 방법 | |
KR20040096322A (ko) | 반도체 소자의 금속배선 형성방법 | |
US10177091B2 (en) | Interconnect structure and method of forming | |
US6576545B1 (en) | Semiconductor devices with dual nature capping/ARC layers on fluorine doped silica glass inter-layer dielectrics and method of forming capping/ARC layers | |
TWI690003B (zh) | 用於形成雙鑲嵌互連結構的方法 | |
US20230041753A1 (en) | Semiconductor Device and Method of Manufacture | |
CN113782486B (zh) | 半导体结构及其形成方法 | |
TWI706459B (zh) | 半導體裝置的製造方法 | |
US6495447B1 (en) | Use of hydrogen doping for protection of low-k dielectric layers | |
KR101128705B1 (ko) | 반도체 소자의 금속배선 형성방법 | |
US10985028B1 (en) | Semiconductor devices and methods of manufacturing | |
KR101103550B1 (ko) | 반도체 소자의 금속배선 형성방법 | |
US6465361B1 (en) | Method for preventing damage of low-k dielectrics during patterning | |
KR101138082B1 (ko) | 반도체 소자의 듀얼 다마신 패턴 형성방법 |