CN109326554B - 半导体结构及其形成方法 - Google Patents

半导体结构及其形成方法 Download PDF

Info

Publication number
CN109326554B
CN109326554B CN201711239729.4A CN201711239729A CN109326554B CN 109326554 B CN109326554 B CN 109326554B CN 201711239729 A CN201711239729 A CN 201711239729A CN 109326554 B CN109326554 B CN 109326554B
Authority
CN
China
Prior art keywords
dielectric layer
layer
forming
opening
dielectric
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201711239729.4A
Other languages
English (en)
Other versions
CN109326554A (zh
Inventor
何俊德
陈建汉
邱建智
梁明中
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN109326554A publication Critical patent/CN109326554A/zh
Application granted granted Critical
Publication of CN109326554B publication Critical patent/CN109326554B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76804Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics by forming tapered via holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76835Combinations of two or more different dielectric layers having a low dielectric constant
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5386Geometry or layout of the interconnection structure

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本公开提供一种半导体结构的形成方法。上述方法包括形成第一导线于基板上、沉积第一介电层于上述第一导线上、沉积第二介电层于上述第一介电层上。上述第二介电层包括不同于上述第一介电层的介电材料。上述方法也包括于第一介电层以及第二介电层中图案化出导孔开口,其中使用第一蚀刻工艺参数图案化第一介电层以及使用上述第一蚀刻工艺参数图案化第二介电层。上述方法也包括于第二介电层中图案化出沟槽开口。上述方法也包括于上述导孔开口的底部上、沿着上述导孔开口的侧壁、于上述沟槽开口的底部上以及沿着上述沟槽开口的侧壁沉积扩散阻挡层以及使用导电材料填充上述导孔开口以及上述沟槽开口。

Description

半导体结构及其形成方法
技术领域
本公开涉及一种半导体结构,且具体涉及一种包括导孔的半导体结构及其形成方法。
背景技术
在目前半导体装置微型化的过程中,希望以低介电常数介电材料作为导电内连线(interconnects)之间的金属间(inter-metal)和/或层间(inter-layer)介电质,以降低信号传递中由电容效应(capacitive effects)所引起的电阻电容延迟(resistive-capacitive(RC)delay)。因此,上述介电质的介电层常数越低,则相邻导线之间的寄生电容(parasitic capacitance)越低且集成电路(IC)的电阻电容延迟也越低。
然而,现今被考虑或用来作为低介电常数介电材料的材料并不理想。尤其,在基于其介电常数(dielectric constant,k-value)而选择一材料时(特别是基于其低介电常数),其他特性(例如:材料的硬度或其强度)可能不适用于半导体工艺中。因此,亟需改善使用低介电常数介电材料的工艺。
发明内容
本公开实施例包括一种半导体结构的形成方法。上述方法包括形成第一导线于基板上、沉积第一介电层于上述第一导线上、沉积第二介电层于上述第一介电层上。上述第二介电层包括不同于上述第一介电层的介电材料。上述方法也包括于上述第一介电层以及上述第二介电层中图案化出导孔开口(via opening)。使用第一蚀刻工艺参数图案化上述第一介电层且使用上述第一蚀刻工艺参数图案化上述第二介电层。上述方法也包括于上述第二介电层中图案化出沟槽开口、于上述导孔开口的底部上、沿着上述导孔开口的侧壁、于上述沟槽开口的底部上以及沿着上述沟槽开口的侧壁沉积扩散阻挡层以及使用导电材料填充上述导孔开口以及上述沟槽开口。
本公开实施例也包括一种半导体结构的形成方法。上述方法包括形成第一导线于基板上、沉积蚀刻停止层于上述第一导线上、形成数个介电层于上述蚀刻停止层上、图案化出导孔开口延伸至此些介电层的每一者的至少一部分中并露出上述蚀刻停止层。使用第一蚀刻工艺参数形成通过此些介电层的每一者的上述导孔开口。上述方法也包括移除部分的蚀刻停止层以露出上述第一导线、于这些介电层上、沿着上述导孔开口的侧壁以及于上述第一导线上沉积扩散阻挡层、形成导电材料于上述扩散阻挡层上以及上述导孔开口中以及平坦化上述导电材料以使得上述导电材料、上述扩散阻挡层以及此些介电层中的最顶介电层的顶表面对齐。
本公开实施例也包括一种半导体结构。上述半导体结构包括位于包括主动装置的基板上的第一导线、位于上述第一导线上的蚀刻停止层、位于上述蚀刻停止层上的第一介电层、位于上述第一介电层上的第二介电层、延伸通过上述蚀刻停止层、上述第一介电层以及上述第二介电层的导孔。上述导孔具有侧壁。上述第一介电层中的上述侧壁的部分与平行于上述基板的主要表面的平面形成第一角度,上述第二介电层中的上述侧壁的部分与平行于上述基板的主要表面的平面形成第二角度,且第一角度大于第二角度。上述结构也包括位于上述导孔上第二导线。上述第二导线经由上述导孔以及上述第一导线电性连接至上述基板的主动装置。
附图说明
以下将配合所附附图详述本公开实施例的各层面。应注意的是,依据在业界的标准做法,各种特征并未按照比例绘制且仅用以说明例示。事实上,可能任意地放大或缩小元件的尺寸,以清楚地表现出本公开实施例的特征。
图1、图2、图3、图4、图5、图6、图7、图8、图9、图10、图11、图12、图13以及图14根据一些实施例示出形成集成电路的互连结构的中间步骤的剖面图。
图15、图16、图17、图18、图19、图20、图21、图22、图23、图24、图25、图26以及图27根据一些实施例示出形成集成电路的互连结构的中间步骤的剖面图。
附图标记说明:
100~晶片
102~基板
104~层间介电层
106~接点插塞
108~蚀刻停止层
110~金属间介电层
112~导线
114~扩散阻挡层
116~导电材料
118~蚀刻停止层
120~中间层
122~金属间介电层
124~缓冲层
126~掩模层
128~导孔开口
130~光致抗蚀剂的底层
132~光致抗蚀剂的中间层
134~光致抗蚀剂的上层
136~沟槽开口
140~扩散阻挡层
142~导电材料
144~导孔
146~导线
202~沟槽开口
204~光致抗蚀剂的底层
206~光致抗蚀剂的中间层
208~光致抗蚀剂的上层
210~导孔开口
D1~距离
θ1、θ2~角度
具体实施方式
以下公开许多不同的实施方法或是例子来实行本公开实施例的不同特征。以下描述具体的元件及其排列以阐述本公开实施例。当然这些实施例仅用以例示,且不该以此限定本公开实施例的范围。例如,在说明书中提到第一特征形成于第二特征之上,其包括第一特征与第二特征是直接接触的实施例,另外也包括于第一特征与第二特征之间另外有其他特征的实施例,也就是,第一特征与第二特征并非直接接触。此外,本公开实施例可能重复各种示例中的附图标记和/或字母。上述重复是为了达到简明和清楚的目的,而非用来限定所讨论的各种实施例和/或配置之间的关系。
此外,其中可能用到与空间相关用词,例如“在…下方”、“下方”、“较低的”、“上方”、“较高的”及类似的用词,这些空间相关用词是为了便于描述图示中一个(些)元件或特征与另一个(些)元件或特征之间的关系,这些空间相关用词包括使用中或操作中的装置的不同方位,以及附图中所描述的方位。当装置被转向不同方位时(旋转90度或其他方位),则其中所使用的空间相关形容词也将依转向后的方位来解释。
根据一些实施例,一种互连结构(interconnect structure)及方法被提供。进一步而言,所形成的互连结构具有位于金属间介电层(inter-metal dielectric,IMD)与上述金属间介电层下方的蚀刻停止层之间的中间层(intermediate layer)。上述中间层具有不同于上述金属间介电层的介电常数,且相应于一相同的蚀刻工艺具有不同于上述金属间介电层的蚀刻速率。由于上述不同的蚀刻速率,所形成的导孔于上述中间层中具有较垂直的侧壁(相较于在上述金属间介电层中)。由于改善了上述导孔的整体垂直轮廓,因此增加了上述导孔与相邻导线之间的距离。通过增加上述导孔与相邻导线之间的距离,可降低互连结构中的漏电流,且可增加互连结构的崩溃电压。
图1至图14根据一些实施例示出形成集成电路的互连结构的中间步骤的剖面图。图1至图14可示出使用双镶嵌工艺(dual damascene process)形成互连结构。图1至图14所示出的实施例的导孔开口形成于沟槽开口之前,其可被称作“导孔先(via first)”工艺。虽然图1至图14示出形成单一导孔及导电沟槽,应理解的是,于所示的步骤中可同时形成多个导孔以及导电沟槽。
图1示出晶片100,其包括基板102以及形成于基板102上的特征。基板102可为半导体基板(例如:块状半导体(bulk semiconductor))、绝缘层上半导体基板(semiconductor-on-insulator(SOI)substrate)或类似的基板,且其可被掺杂(例如:使用p型或n型的掺质)或未被掺杂。大抵而言,绝缘层上半导体基板包括形成于绝缘层上的半导体材料层。举例而言,上述绝缘层可为埋藏氧化层(buried oxide(BOX)layer)、氧化硅层或类似的绝缘层。上述绝缘层被提供于通常为硅或玻璃的基板上。也可使用其他基板,例如:多层基板或梯度基板。在一些实施例中,上述基板的半导体材料可包括硅、锗、化合物半导体(包括碳化硅、砷化镓、磷化镓、磷化铟、砷化铟和/或锑化铟)、合金半导体(包括SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP和/或GaInAsP)或上述的组合。
在一些实施例中,晶片100被用来形成装置管芯(die)。在此些实施例中,集成电路装置形成于基板102的顶表面上。举例而言,集成电路装置可包括互补式金属氧化物半导体场效晶体管(complementary metal-oxidesemiconductor(CMOS)transistors)、电阻器、电容器、二极管或类似的装置。于此将不详述上述集成电路装置。在一些实施例中,晶片100被用来形成中介层(interposers)。在这些实施例中,基板102上未形成有主动装置(例如:晶体管或二极管)。晶片100中可形成有或未形成有被动装置(例如:电容器、电阻器、感应器(inductors)或类似的装置)。在晶片100为中介层的实施例中,基板102也可为介电基板。此外,可形成穿过基板102的贯穿导孔(未示出于图中)以连接基板102两相对侧上的元件。
在图1中,形成层间介电层(inter-layer dielectric,ILD)104于基板102之上。在集成电路装置形成于基板102上的实施例中,层间介电层104填充集成电路装置的晶体管的栅极堆叠(未示出于图中)之间的空间。层间介电层104可由磷硅玻璃(phosphosilicateglass,PSG)、硼硅玻璃(borosilicate glass,BSG)、硼磷硅玻璃(boron-dopedphosphosilicate glass,BPSG)、掺氟硅玻璃(fluorine-doped silicate glass,FSG)、四乙氧基硅烷(tetraethyl orthosilicate,TEOS)或类似的材料所形成。层间介电层104可由介电常数低于约3.0的低介电常数介电材料所形成。在一些实施例中,可使用旋转涂布(spin coating)或类似的方法形成层间介电层104。在一些实施例中,可使用沉积方法(例如:等离子体辅助化学气相沉积法(plasma enhanced chemical vapor deposition、PECVD)、流动式化学气相沉积法(flowable chemical vapor deposition,FCVD)、低压化学气相沉积法(low pressure chemical vapor deposition,LPCVD)或类似的方法)形成层间介电层104。
此外,于图1中,形成接点插塞(contact plugs)106于层间介电层104中。接点插塞106电性连接至基板102的集成电路装置。举例而言,接点插塞106可为连接至集成电路装置的晶体管的栅极电极(未示出于图中)的栅极接点插塞和/或电性连接至晶体管的源极/漏极区的源极/漏极接点。所形成的用于接点插塞106的开口穿过层间介电层104。可使用适当的光刻及蚀刻技术形成上述开口。可形成衬层(例如:扩散阻挡层、粘着层(adhesionlayer)或类似的衬层(liner))于上述开口中,且可形成导电材料于上述衬层上的上述开口中。上述衬层可包括钛、氮化钛、钽、氮化钽或类似的材料。上述导电材料可为钴、铜、铜合金、银、金、钨、铜、铝、镍或类似的材料。可进行平坦化工艺(例如:研磨(grinding)、化学机械研磨(chemical-mechanical polish,CMP)或类似的工艺)以自层间介电层104的表面移除多余的材料。残留的衬层以及导电材料形成了上述开口中的接点插塞106。
于图2中,形成蚀刻停止层108于基板102的集成电路装置(如果有的话)、层间介电层104以及接点插塞106上。蚀刻停止层108可由碳化硅、氮化硅、氮氧化硅(siliconoxynitride)、碳氮化硅(silicon carbo-nitride)或类似的材料所形成。可使用化学气相沉积工艺(CVD)、物理气相沉积工艺(PVD)、原子层沉积工艺(ALD)、旋涂介电质工艺(spin-on-dielectric process)、类似的工艺或上述的组合形成蚀刻停止层108。
此外,于图2中,形成金属间介电层110于蚀刻停止层108之上。金属间介电层110可为由介电常数低于约3.0的低介电常数介电材料所形成的膜层。金属间介电层110可为由介电常数小于2.5的极低介电常数(extra-low-k,ELK)介电材料所形成的膜层。在一些实施例中,金属间介电层110可由Black Diamond(应用材料(Applied Materials)的注册商标)、含氧(oxygen-containing)和/或含碳(carbon containing)的低介电常数介电材料、氢硅酸盐(Hydrogen SilsesQuioxane,HSQ)、甲基倍半硅氧烷(MethylSilsesQuioxane,MSQ)或类似的材料所形成。蚀刻停止层108的材料相对于金属间介电层110具有高蚀刻选择性,因此于后续的工艺步骤中可使用蚀刻停止层108终止金属间介电层110的蚀刻。
在一些实施例中,金属间介电层110可由多孔材料(例如:SiOCN、SiCN、SiOC、SiOCH或类似的材料)所形成。可先形成前驱层于蚀刻停止层108上。上述前驱层可同时包括基质材料(matrix material)以及散置于上述基质材料中的成孔剂(porogen),或者(作为替代方案)可包括没有上述成孔剂的基质材料。在一实施例中,举例而言,可使用如等离子体辅助化学气相沉积(PECVD)的工艺同时沉积基质材料与成孔剂以共同沉积(co-depositing)基质材料以及成孔剂而形成前驱层,因此所形成的前驱层具有混合在一起的基质材料以及成孔剂。然而,本领域普通技术人员应当理解,使用同步的(simultaneous)等离子体辅助化学气相沉积工艺的共同沉积并非是唯一可被用来形成前驱层的工艺。也可使用任何适当的工艺,例如:预先混合液态的基质材料以及成孔剂材料,接着将其混合物旋转涂布于蚀刻停止层108上。
可使用如等离子体辅助化学气相沉积的制形成上述基质材料(或介电基材),但也可使用任何适当的工艺作为替代,例如:化学气相沉积工艺、物理气相沉积工艺或者甚至是旋转涂布工艺。等离子体辅助化学气相沉积工艺可使用前驱物,例如:甲基二乙氧基硅烷(methyldiethoxysilane,DEMS),但也可使用其他前驱物作为替代,例如:其他硅烷(silanes)、烷基硅烷(alkylsilanes,例如:三甲基硅烷(trimethylsilane)以及四甲基硅烷(tetramethylsilane))、烷氧基硅烷(alkoxysilanes,例如:甲基三乙氧基硅烷(methyltriethoxysilane,MTEOS)、甲基三甲氧基硅烷(methyltrimethoxysilane,MTMOS)、甲基二甲氧基硅烷(methyldimethoxysilane,MDMOS)、三甲基甲氧基硅烷(trimethylmethoxysilane,TMMOS)以及二甲基二甲氧基硅烷(dimethyldimethoxysilane,DMDMOS))、线性硅氧烷(linear siloxanes)以及环状硅氧烷(cyclic siloxanes,例如:八甲基环四硅氧烷(octamethylcyclotetrasiloxane,OMCTS)以及四甲基环四硅氧烷(tetramethylcyclotetrasiloxane,TMCTS))、上述的组合或类似的材料。然而,本领域普通技术人员应当理解,可使用任何其他适当的基质前驱物作为替代方案,因此于此所列出的材料以及工艺仅用于说明而非用来限定实施例。
成孔剂可为在基质材料固化后可自基质材料被移除的分子,以于基质中形成孔隙,借此可降低金属间介电层110的介电常数的整体数值。成孔剂可为足够大而可形成孔隙的材料,但仍要够小以使个别孔隙的尺寸不过度地取代(displace)基质材料。因此,成孔剂可包括有机分子,例如:α-松油烯(alpha-terpinene(ATRP),1-Isopropyl-4-Methyl-1,3-Cyclohexadiene)或环辛烷(cyclooctane,可为船形)或1,2-二(三乙氧基硅基)乙烷(1,2-bis(triethoxysilyl)ethane silicon)。
在使用散置于基质材料中的成孔剂形成前驱层之后,将成孔剂自基质材料移除以于基质材料中形成孔隙。在一实施例中,以退火工艺移除成孔剂,上述退火工艺可分解(break down)并汽化(vaporize)成孔剂材料,使得成孔剂材料可扩散并离开基质材料,从而留下结构完整的多孔介电材料作为金属间介电层110。举例而言,可使用约200℃至约500℃(例如:约400℃)、约10秒至约600秒(例如:约200秒)的退火。作为替代方案,可使用其他适当的工艺移除成孔剂,例如:使用紫外光照射成孔剂以分解成孔剂或使用微波(microwaves)分解成孔剂。
于图3中,形成导线112于金属间介电层110中。根据一些实施例,导线112包括扩散阻挡层114以及扩散阻挡层114上的导电材料116。使用如蚀刻工艺形成开口于金属间介电层110中,其中蚀刻停止层108可被用来终止上述蚀刻工艺。接着,可经由一不同的蚀刻工艺移除上述开口中的蚀刻停止层108的部分。扩散阻挡层114可由TaN、Ta、TiN、Ti、CoW或类似的材料所形成,且可以沉积工艺(例如:原子层沉积工艺或类似的工艺)形成扩散阻挡层114于上述开口中。导电材料116可包括铜、铝、钨、银及上述的组合或类似的材料,且可以电化学电镀工艺(electro-chemical plating process)、化学气相沉积工艺、原子层沉积工艺、物理气相沉积工艺、类似的工艺或上述的组合形成导电材料116于上述开口中的扩散阻挡层114之上。在一实施例中,导电材料116为铜,且扩散阻挡层114是为防止铜扩散至金属间介电层110中的薄阻挡层。在形成扩散阻挡层114以及导电材料116之后,可以如平坦化工艺(例如:化学机械研磨工艺)自晶片100的正面移除扩散阻挡层114以及导电材料116的多余部分。
根据本公开一些实施例,可形成金属盖(metal caps,未示出于图中)于导线112之上。在所述的内容中,上述金属盖也可被认为是导线112之部分。在一些实施例中,上述金属盖由Co、CoWP、CoB、W、Ta、Ni、Mo、Ti、Fe或其合金所形成。可选择性地使用电化学电镀(ElectroChemical Plating,ECP)或无电镀(electroless plating)形成上述金属盖,在上述工艺中晶片100系被浸入电镀液中。在替代的实施例中,毯覆地(blanket)形成上述金属盖于导线112以及金属间介电层110上,然后以蚀刻工艺移除不需要的部分。
虽然所示的导线112形成于底部金属层中(其为紧接在接点插塞106上的金属层),应理解的是,导线112也可代表在任何底部金属层上的金属层中的金属线。在这些实施例中,可有任何数量的膜层,其可包括介电层、导孔、导线等。
于图4中,形成薄膜堆叠(film stack)于金属间介电层110与金属线112上。在一些实施例中,上述薄膜堆叠被用来形成电性连接至导线112、接点插塞106以及基板102的金属导孔与线。上述薄膜堆叠包括蚀刻停止层118、中间层120、金属间介电层122、缓冲层124以及掩模层126。
蚀刻停止层118接触金属间介电层110以及金属线112。蚀刻停止层118的形成材料可选自与蚀刻停止层108相同的候选材料,且蚀刻停止层118的形成方法可选自相同于形成蚀刻停止层108的候选方法的群组。蚀刻停止层108与118可由相同的材料所形成,或可包括不同的材料。所形成的蚀刻停止层118可具有约
Figure BDA0001489589240000091
至约
Figure BDA0001489589240000092
的厚度(例如:约
Figure BDA0001489589240000093
)。
中间层120在蚀刻停止层118之上,且由介电材料所形成。在一些实施例中,中间层120是由氧化物所形成,例如:氧化硅、掺杂氧化硅或类似的材料。在中间层120为掺杂氧化硅的实施例中,其可掺杂有C、H、N、类似的掺质或上述的组合。在这些实施例中,将中间层120掺杂成掺质浓度约为1016cm-3至约为1018cm-3。可使用化学气相沉积工艺、物理气相沉积工艺、原子层沉积工艺、旋涂介电质工艺(spin-on-dielectric process)、类似的工艺或上述的组合形成中间层120。在中间层120被掺杂的实施例中,可在形成中间层120时进行原位(in-situ)掺杂(例如:在不破真空的情况下),或者可在形成中间层120之后进行掺杂。所形成的中间层120可具有约
Figure BDA0001489589240000094
至约
Figure BDA0001489589240000095
的厚度。中间层120可以是不同于蚀刻停止层118的材料。在一实施例中,蚀刻停止层118是由氮化硅或氮氧化硅所形成,而中间层120是由大抵上不含氮化物的氧化硅所形成。
金属间介电层122在中间层120上。金属间介电层122的形成材料可选自与金属间介电层110相同的候选材料,且金属间介电层122的形成方法可选自相同于形成金属间介电层110的候选方法的群组。金属间介电层110以及122可由相同的材料所形成,或者可包括不同的材料。
根据各实施例,蚀刻停止层118、中间层120以及金属间介电层122的每一者是由不同的材料所形成。进一步而言,蚀刻停止层118、中间层120以及金属间介电层122的每一者具有不同的相对介电常数(relative permittivity)。蚀刻停止层118的介电常数大于中间层120的介电常数,中间层120的介电常数大于金属间介电层122的介电常数。在一实施例中,蚀刻停止层118的介电常数约为9至10,中间层120的介电常数大约为蚀刻停止层118的一半(例如:约为3至8(例如:约为5)),而金属间介电层122的介电常数约为中间层120的一半(约为2至4)。
此外,在蚀刻金属间介电层122的工艺中,蚀刻停止层118的材料相应于一相同的蚀刻工艺相对于中间层120具有高蚀刻选择性,而金属间介电层122的材料相应于一相同的蚀刻工艺相对于中间层120具有高蚀刻选择性。在一实施例中,相应于一相同的蚀刻工艺,金属间介电层122的蚀刻速率比中间层120的蚀刻速率大了约2至3倍。蚀刻选择性上的差异使得形成于中间层120中的导孔可具有较垂直的轮廓。
在一些实施例中,蚀刻停止层118可为金属间介电层122下方唯一的蚀刻停止层。换句话说,金属间介电层122与导线112之间并未形成有其他中间蚀刻停止层(除了蚀刻停止层118)。
缓冲层124形成于金属间介电层122之上,而掩模层126形成于缓冲层124之上。缓冲层124可由介电材料(例如:氧化硅)所形成,且可经由化学气相沉积工艺、物理气相沉积工艺、原子层沉积工艺、旋涂介电质工艺或类似的工艺形成缓冲层124。掩模层126可由包括金属的材料(例如:氮化钛、钛、氮化钽、钽或类似的材料)所形成,且可以物理气相沉积工艺、射频物理气相沉积工艺(Radio Frequency PVD,RFPVD)、原子层沉积工艺或类似的工艺形成掩模层126。在后续的工艺步骤中,形成一图案于掩模层126上。接着,使用掩模层126作为蚀刻掩模,且使用掩模层126的图案蚀刻金属间介电层122。缓冲层124减小了金属间介电层122以及掩模层126之间的应力。
在图5中,形成导孔开口128于掩模层126中。形成导孔开口128的步骤可包括进行光刻工艺蚀刻掩模层126以形成初始导孔开口。上述光刻工艺可包括形成光致抗蚀剂于掩模层126之上、图案化上述光致抗蚀剂以使之具有对应于导孔开口128的开口、延伸出穿过掩模层126的导孔开口128然后移除上述光致抗蚀剂。
在图6中,延伸导孔开口128并穿过缓冲层124以及金属间介电层122。可使用适当的蚀刻技术延伸导孔开口128。在一实施例中,可以异向性(anisotropic)干蚀刻工艺形成导孔开口128。举例而言,上述蚀刻工艺可包括干蚀刻工艺,上述干蚀刻工艺所使用的反应气体选择性地蚀刻金属间介电层122而未显著地蚀刻掩模层126。举例而言,上述蚀刻工艺可包括使用等离子体以自蚀刻剂气体形成反应性种类(reactive species)。在一些实施例中,上述等离子体可为远距等离子体(remote plasma)。上述蚀刻剂气体可包括氟碳化学组成(fluorocarbon chemistry,例如:C4F6/CF4/C5F)以及NF3/O2/N2/Ar/H3/H2、类似的蚀刻剂气体或上述的组合。在一实施例中,上述蚀刻剂使用氟碳化学组成以及作为载送气体(carrier gas)的O2或Ar。金属间介电层122中的导孔开口128的侧壁与平行于基板102的主要表面的平面形成角度θ1。角度θ1可较小。在一实施例中,角度θ1约为45°至50°。
在图7中,使用适当的蚀刻技术将导孔开口128延伸穿过中间层120。根据各实施例,用来将导孔开口128延伸穿过中间层120的蚀刻工艺使用与用于蚀刻金属间介电层122的蚀刻工艺相同的蚀刻工艺参数(例如:相同的蚀刻剂)。在一实施例中,用于蚀刻金属间介电层122的蚀刻工艺继续将导孔开口128延伸穿过中间层120。进行上述蚀刻工艺直到导孔开口128露出蚀刻停止层118。由于中间层120与金属间介电层122之间的蚀刻选择性,上述蚀刻工艺以低于金属间介电层122的速率蚀刻中间层120。
金属间介电层122的材料(例如:极低介电常数介电材料)的蚀刻形式可产生斜率较平缓的侧壁。由于中间层120的蚀刻速率较低,因此相较于金属间介电层122,中间层120的材料的蚀刻形式可产生斜率较陡的侧壁。中间层120中的导孔开口128的侧壁与平行于基板102的主要表面的平面形成角度θ2。角度θ2大于角度θ1。在一实施例中,角度θ2大于约70°,例如约为70°至约为80°。因此,导孔开口128的宽度随着导孔开口128从金属间介电层122的顶表面朝向基板102延伸而减小,且宽度的变化率随着其朝向基板102延伸而减小。
可将中间层120形成至足够的厚度(例如:约为
Figure BDA0001489589240000121
至约为
Figure BDA0001489589240000122
),使得导孔的大多数部分被中间层120围绕。因此,所形成的导孔的侧壁的大多数部分可为倾斜角度为θ2的侧壁。形成于导孔开口128中的导孔因而可具有较为垂直的轮廓。随着装置间距持续地降低,将导孔改善成具有较为垂直的轮廓可改善装置的可靠度。
在图8中,形成光致抗蚀剂于基板102之上。上述光致抗蚀剂可为三层光致抗蚀剂(tri-layer photoresist),其包括导孔开口128中以及掩模层126上的底层130、底层130上的中间层132以及中间层132上的上层134。底层130以及上层134可由光致抗蚀剂所形成,上述光致抗蚀剂包括有机材料。底层130可为底部抗反射涂层(bottom anti-reflectivecoating,BARC)。中间层132可包括或由无机材料所形成,上述无机材料可为氮化物(例如:氮化硅)、氮氧化物(例如:氮氧化硅)、氧化物(例如:氧化硅)或类似的材料。上层134系为光敏材料(photosensitive material)。中间层132相对于上层134以及底层130具有高蚀刻选择性。因此,上层134被用来作为蚀刻掩模以图案化中间层132,而中间层132被用来作为蚀刻掩模以图案化底层130。在一些实施例中,形成于基板102上的光致抗蚀剂可为其他类型的光致抗蚀剂,例如:单层光致抗蚀剂、双层光致抗蚀剂或类似的光致抗蚀剂。
使用任何适当的光刻技术形成沟槽开口136于上层134中以图案化上层134。举一个图案化上层134的例子,可设置光掩模(未示出于图中)于上层134之上,其可于后续被暴露于辐射光束中,上述辐射光束包括紫外光或准分子激光(excimer laser),例如:由氟化氪(Krypton Fluoride,KrF)准分子激光所形成的248nm的光束、由氟化氩(ArgonFluoride,ArF)准分子激光所形成的193nm的光束或由氟(F2)准分子激光所形成的157nm的光束。可使用浸入光刻系统(immersion lithography system)进行上方光致抗蚀剂层的曝光以增加分辨率并降低可达到的最小节距。可进行烘烤或固化(cure)的步骤以硬化上层134,且可使用显影剂移除上层134的曝光或未曝光的部分,其取决于是使用正型光致抗蚀剂或负型光致抗蚀剂。
于图9中,使用适当的蚀刻工艺将上层134的图案转移至中间层132。在一些实施例中,可修剪(trim)中间层132以增加中间层132中的沟槽开口136的侧边。在上述修剪工艺之后,可进行适当的蚀刻工艺将中间层132的图案转移至底层130,以将沟槽开口136延伸穿过底层130。
此外,使用适当的蚀刻工艺将底层130的图案转移至掩模层126。在一实施例中,用于蚀刻底层130的蚀刻工艺继续蚀刻掩模层126。于蚀刻工艺中可消耗上层134、中间层132以及底层130。在一些实施例中,可进行灰化工艺(ashing process)移除残留的底层130残留物。
在图10中,将沟槽开口136延伸穿过缓冲层124且部分通过金属间介电层122。可以适当的蚀刻技术延伸沟槽开口136,例如:异向性蚀刻工艺。在一实施例中,用于图案化掩模层126的蚀刻工艺可继续蚀刻缓冲层124以及金属间介电层122。在一实施例中,上述蚀刻工艺可为一单独的蚀刻工艺。在一实施例中,用于图案化掩模层126的蚀刻工艺可类似于用于在中间层120以及金属间介电层122中形成导孔开口128的蚀刻工艺(例如:可使用相同的蚀刻剂和/或其他蚀刻工艺参数)。延伸沟槽开口136,使得沟槽开口136自金属间介电层122的顶表面延伸至在金属间介电层122的顶表面以及底表面之间的中间水平。可使用时间模式(time-mode)进行将沟槽开口136延伸至如上述深度的步骤,且可在上述蚀刻步骤进行所预定的一段时间之后将其终止。其他蚀刻步骤以及终止点检测技术也可被考虑。
在图11中,移除导孔开口128中的蚀刻停止层118的部分以露出下方的目标金属线112以及相连的金属盖(如果有的话)。可使用对于蚀刻停止层118的材料具有选择性的异向性湿式或干式蚀刻移除蚀刻停止层118。举例而言,可使用异向性湿式蚀刻移除蚀刻停止层118,上述异向性湿式蚀刻使用如H2O2的蚀刻剂反应物。用于移除蚀刻停止层118的蚀刻工艺可不同于用于形成导孔开口128以及沟槽开口136的蚀刻工艺(例如:可使用不同的蚀刻剂和/或其他蚀刻工艺参数)。
在图12中,形成扩散阻挡层140于晶片100的露出的表面上。根据一些实施例,形成扩散阻挡层140的步骤包括物理气相沉积工艺。在一些例示性的沉积工艺中,将Ar引入其中放置有晶片100的相应沉积腔体(未示出于图中)中,以自被使用于物理气相沉积工艺中的相应靶材(未示出于图中)溅射出(sputter)金属离子(例如:钛或钽(Ta+))或不带电的原子(例如:钛或钽(Ta))。可将氮加入工艺气体中。所溅射出的金属离子被沉积至晶片100上而形成导电的扩散阻挡层140。在沉积扩散阻挡层140的步骤中,可使用直流电源(DC power)和/或射频电源(radio frequency(RF)power)。在上述沉积步骤之后,扩散阻挡层140包括直接在金属间介电层122上方的部分、在沟槽开口136侧壁上的部分、在导孔开口128底部上的部分、在沟槽开口136底部上的部分以及在导孔开口128侧壁上的部分。
在图13中,将导电材料142填入导孔开口128以及沟槽开口136中。沿着金属间介电层122的顶表面也可形成有多余的导电材料142。导电材料142可为包括金属或金属合金的金属材料,例如:铜、银、金、钨、钴、铝及其合金。在一些实施例中,形成导电材料142的步骤包括沉积可包括铜或铜合金的薄的籽晶层(未示出于图中),并使用如电化学电镀(ECP)或无电镀填充导孔开口128以及沟槽开口136的剩余部分。也可考虑使用沉积的方法。
在图14中,可进行平坦化工艺移除多余的导电材料142以及扩散阻挡层140,以于导孔开口128以及沟槽开口136中各自形成导孔144以及导线146。举例而言,上述平坦化工艺可为研磨或化学机械研磨工艺,且可进行上述平坦化工艺使得导电材料142、扩散阻挡层140以及金属间介电层122的顶表面对齐或大抵上对齐。导孔144以及导线146包括导电材料142以及沿着导电材料142的侧壁及底部延伸的扩散阻挡层140的剩余部分。导孔144以及导线146物理且电性连接至目标金属线112。
如上所述,导孔144的侧壁具有良好的垂直轮廓。导孔144的总垂直尺寸(例如:高度)约20nm。导孔144的垂直尺寸的大多数部分(例如:大于约50%)可沿着中间层120延伸且可被中间层120围绕。因此,所形成的导孔144的侧壁的大多数部分相对于基板102的角度较大。导孔144垂直轮廓的改善增加了导孔144与相邻于目标金属线112的金属线112之间的距离D1。经由增加导孔144与相邻金属线112之间的距离D1,可降低于导孔144与相邻金属线112之间流动的漏电流。这可增加将导孔144自相邻金属线112绝缘的材料(例如:金属间介电层122以及中间层120)的崩溃电压。导孔144垂直轮廓的改善也可增加所形成的半导体装置的电性测试的尺寸操作范围。
于介电层(例如:中间层120以及金属间介电层122)中形成导孔144以及导线146之后,可进行晶片100的后续的后段工艺(back end of line processing)。举例而言,可继续进行双镶嵌工艺于互连结构中形成后续的介电层、导孔以及导线。可形成连接至互连结构的最顶层的外部连接,其可包括微凸块(microbumps)、导电垫、凸块下金属化结构(underbump metallization structures)、焊接连接部(solder connectors)和/或类似的外部连接。
图15至图27根据一些实施例示出形成集成电路的互连结构的中间步骤的剖面图。图15至图27可示出使用双镶嵌工艺形成互连结构。图15至图27所示出的实施例的导孔开口形成于沟槽开口之后,其也可被称为“导孔后(via last)”工艺。虽然图15至图27示出形成单一导孔及导电沟槽,应理解的是,于所示的步骤中可同时形成多个导孔以及导电沟槽。
图15至图27中所示实施例的一些特征系类似于图1至图14中所示实施例的特征。除非特别说明,图15至图27中的相应的标号及符号大抵上代表图1至图14的相应部分。于此将不重复关于类似特征的形成细节。
在图15中,提供晶片100,其包括基板102。层间介电层104形成于基板102上,接点插塞106形成于层间介电层104中。
在图16中,形成蚀刻停止层108于基板102的集成电路装置(如果有的话)、层间介电层104以及接点插塞106上。金属间介电层110形成于蚀刻停止层108之上。
在图17中,形成导线112于金属间介电层110中。导线112包括扩散阻挡层114以及导电材料116,其形成于金属间介电层110的开口中。
在图18中,形成薄膜堆叠于金属间介电层110以及金属线112上。薄膜堆叠包括蚀刻停止层118、中间层120、金属间介电层122、缓冲层124以及掩模层126。
在图19中,形成沟槽开口202于掩模层126中。形成沟槽开口202的步骤可包括进行适当的光刻以及蚀刻工艺蚀刻掩模层126以形成初始沟槽开口。
在图20中,将沟槽开口202延伸通过缓冲层124以及金属间介电层122。可使用适当的蚀刻技术延伸沟槽开口202,例如:前文关于形成导孔开口128的异向性干蚀刻工艺。可使用时间模式进行上述蚀刻工艺,且可在上述蚀刻工艺进行所预定的一段时间之后将其终止。也可考虑其他蚀刻以及终止点检测技术。
在图21中,形成光致抗蚀剂于基板102之上。光致抗蚀剂可为三层光致抗蚀剂,其包括沟槽开口202中以及掩模层126上的底层204、底层204上的中间层206以及中间层206上的上层208。底层204、中间层206以及上层208的形成材料各自可选自相同于底层130、中间层132以及上层134的候选材料,且其各自的形成方法可选自形成底层130、中间层132以及上层134的候选方法的群组。使用任何适当的光刻技术图案化上层134以于其中形成导孔开口210。在一些实施例中,形成于基板102上的光致抗蚀剂可为其他类型的光致抗蚀剂,例如:单层光致抗蚀剂、双层光致抗蚀剂或类似的光致抗蚀剂。
在图22中,于一或多个蚀刻工艺中将上层208中的导孔开口210的图案转移至中间层206、底层204以及金属间介电层122。在一些实施例中,可修剪中间层206以增加中间层206中的导孔开口210的尺寸。可在蚀刻金属间介电层122的时候或之后移除底层204、中间层206以及上层208。金属间介电层122中的导孔开口210的侧壁与平行于基板102的主要表面的平面形成角度θ1
在图23中,使用蚀刻工艺将导孔开口210延伸穿过中间层120直到露出蚀刻停止层118。用于将导孔开口210延伸穿过中间层120的蚀刻工艺使用相同于用于蚀刻金属间介电层122的蚀刻工艺的蚀刻工艺参数(例如:相同的蚀刻剂)。在一实施例中,用于蚀刻金属间介电层122的蚀刻工艺继续将导孔开口210延伸穿过中间层120。中间层120中的导孔开口128的侧壁与平行于基板102的主要表面的平面形成角度θ2
在图24中,移除导孔开口210中的蚀刻停止层118的部分以露出下方的目标金属线112以及相连的金属盖(如果有的话)。可使用对于蚀刻停止层118的材料具有选择性的异向性湿式或干式蚀刻移除蚀刻停止层118。用于移除蚀刻停止层118的蚀刻工艺可不同于用于形成导孔开口210以及沟槽开口202的蚀刻工艺(例如:可使用不同的蚀刻剂和/或其他蚀刻工艺参数)。
于图25中,形成扩散阻挡层140于晶片100的露出的表面上。在沉积步骤之后,扩散阻挡层140包括直接位于金属间介电层122上方的部分、在沟槽开口202侧壁上的部分、在导孔开口210底部上的部分、在沟槽开口202底部上的部分以及在导孔开口210侧壁上的部分。
在图26中,将导电材料142填充至导孔开口210以及沟槽开口202中。也可沿着金属间介电层122的顶表面形成多余的导电材料142。
在图27中,可进行平坦化工艺移除多余的导电材料142以及扩散阻挡层140以于导孔开口210以及沟槽开口202中各自形成导孔144以及导线146。在于介电层(例如:中间层120以及金属间介电层122)中形成导孔144以及导线146之后,可进行晶片100的后续的后段工艺。
经由形成穿过蚀刻速率不同于金属间介电层122的中间层120的导孔144,导孔144的侧壁可具有良好的垂直轮廓。良好的垂直轮廓可降低互连结构中的漏电流并增加互连结构的崩溃电压,且也可增加电性测试的尺寸操作范围。
在一实施例中,一种方法包括形成第一金属线于基板上;沉积第一介电层于上述第一金属线上;沉积第二介电层于上述第一介电层上,上述第二介电层包括不同于上述第一介电层的材料;图案化出导孔开口于上述第一介电层以及第二介电层中,其中使用第一蚀刻工艺参数图案化上述第一介电层且使用上述第一蚀刻工艺参数图案化上述第二介电层;图案化出沟槽开口于上述第二介电层中;于上述导孔开口的底部上并沿着上述导孔开口的侧壁以及于上述沟槽开口的底部上并沿着上述沟槽开口的侧壁沉积扩散阻挡层;以及以导电材料填充上述导孔开口以及沟槽开口。
在一些实施例中,于上述第一介电层以及第二介电层中图案化出上述导孔开口的步骤包括连续地使用上述第一蚀刻工艺参数蚀刻上述第一介电层以及第二介电层。在一些实施例中,上述方法还包括形成蚀刻停止层于上述第一导线上,而上述第一介电层形成于上述蚀刻停止层之上。在一些实施例中,上述方法还包括在图案化出上述导孔开口以及形成上述沟槽开口之后移除导孔开口所露出的上述蚀刻停止层的部分,且使用不同于第一蚀刻工艺参数的第二蚀刻工艺参数移除蚀刻停止层的上述部分。在一些实施例中,相应于上述第一蚀刻工艺参数,上述第一介电层以及第二介电层相对于上述蚀刻停止层具有蚀刻选择性。在一些实施例中,形成上述沟槽开口于上述第二介电层中的步骤包括在上述第一介电层以及第二介电层中图案化出上述导孔开口之后于上述导孔开口之中及之上形成光致抗蚀剂;以沟槽开口的图案图案化上述光致抗蚀剂;以及使用上述光致抗蚀剂作为蚀刻掩模于上述第二介电层中蚀刻出上述沟槽开口。在一些实施例中,在上述第一介电层以及上述第二介电层中图案化出导孔开口的步骤包括在于上述第二介电层中形成沟槽开口之后于上述沟槽开口之中以及之上形成光致抗蚀剂;以导孔开口的图案图案化上述光致抗蚀剂;以及使用上述光致抗蚀剂作为蚀刻掩模于上述第一介电层以及上述第二介电层中蚀刻出导孔开口。在一些实施例中,上述方法还包括平坦化上述导电材料,使得上述导电材料、上述扩散阻挡层以及上述第二介电层的顶表面对齐。在一些实施例中,第一介电层中的导孔开口的侧壁与平行于上述基板的主要表面的平面形成第一夹角,第二介电层中的导孔开口的侧壁与平行于上述基板的主要表面的平面形成第二夹角,第一夹角大于第二夹角。在一些实施例中,第一夹角为70°至80°,而第二夹角为45°至50°。
在一实施例中,一种方法包括形成第一导线于基板上;沉积蚀刻停止层于上述第一导线之上;形成数个介电层于上述蚀刻停止层之上;图案化出导孔开口延伸进入这些介电层的每一者的至少一部分中并露出上述蚀刻停止层,使用第一蚀刻工艺参数形成穿过这些介电层的每一者的上述导孔开口;移除部分的上述蚀刻停止层以露出上述第一导线;于这些介电层之上、沿着导孔开口的侧壁以及于上述第一导线之上沉积扩散阻挡层;形成导电材料于上述扩散阻挡层之上以及上述导孔开口之中;以及平坦化上述导电材料,使得上述导电材料、上述扩散阻挡层以及这些介电层的最顶介电层的顶表面对齐。.
在一些实施例中,图案化出上述导孔开口的步骤包括连续地以上述第一蚀刻工艺参数蚀刻这些介电层的每一者的至少一部分。在一些实施例中,在上述最顶介电层中的导孔开口的侧壁与平行于上述基板的主要表面的平面形成第一夹角,在最底介电层中的导孔开口的侧壁与平行于上述基板的主要表面的平面形成第二夹角,上述第二夹角大于上述第一夹角。在一些实施例中,上述方法还包括在图案化出上述导孔开口之前,形成沟槽开口延伸穿过这些介电层的一部分。在一些实施例中,上述方法还包括在图案化出上述导孔开口之后,形成沟槽开口延伸穿过这些介电层的一部分。
在一实施例中,一种结构包括位于包括主动装置的基板上的第一金属线;上述第一金属线上的蚀刻停止层;上述蚀刻停止层上的第一介电层;上述第一介电层上的第二介电层;延伸穿过上述蚀刻停止层、上述第一介电层以及上述第二介电层的导孔,上述导孔具有侧壁,其中在上述第一介电层中的上述侧壁的部分与平行于上述基板的主要表面的平面形成第一夹角,在上述第二介电层中的上述侧壁的部分与平行于上述基板的主要表面的平面形成第二夹角,第一夹角大于第二夹角;以及上述导孔上的第二导线,上述第二导线经由上述导孔以及上述第一导线电性连接至上述基板的主动装置。
在一些实施例中,上述第一介电层的介电常数为3至8,上述第二介电层的介电常数为2至4。在一些实施例中,上述第一介电层包括氧化硅,而上述蚀刻停止层包括氮化硅。在一些实施例中,上述第一介电层包括掺杂C、H或N的氧化硅。在一些实施例中,相应于一相同的蚀刻工艺,上述第一介电层与上述第二介电层的蚀刻速率的比值为2至3。
前述内容概述了许多实施例的特征,使本领域普通技术人员可以从各个方面更佳地了解本公开。本领域普通技术人员应可理解,且可轻易地以本公开为基础来设计或修饰其他工艺及结构,并以此达到相同的目的和/或达到与在此介绍的实施例等相同的优点。本领域普通技术人员也应了解这些相等的结构并未背离本公开的构思与范围。在不背离本公开的构思与范围的前提下,可对本公开进行各种改变、置换或修改。

Claims (18)

1.一种半导体结构的形成方法,包括:
形成一层间介电层于一基板上,其中该基板具有一主动装置;
形成一接点插塞于该层间介电层中,该接点插塞电性连接至该主动装置;
形成一第一蚀刻停止层于该层间介电层上;
形成一第一导线于该接点插塞上,该第一导线穿过该第一蚀刻停止层且电性连接至该接点插塞,其中该第一导线具有一第一扩散阻挡层和一第一导电材料;
沉积一第一介电层于该第一导线上;
沉积一第二介电层于该第一介电层上,该第二介电层包括一不同于该第一介电层的介电材料;
于该第一介电层以及该第二介电层中图案化出一导孔开口,其中使用第一蚀刻工艺参数图案化该第一介电层,以及使用该第一蚀刻工艺参数图案化该第二介电层;
于该第二介电层中图案化出一沟槽开口;
于该导孔开口的一底部上、沿着该导孔开口的侧壁、于该沟槽开口的一底部上以及沿着该沟槽开口的侧壁沉积一第二扩散阻挡层,其中该第二扩散阻挡层接触该第一扩散阻挡层;以及
使用一第二导电材料填充该导孔开口以及该沟槽开口,其中,
在该第一介电层中的该导孔开口的侧壁与一平行于该基板的一主要表面的平面形成一第一角度;
在该第二介电层中的该导孔开口的侧壁与平行于该基板的主要表面的该平面形成一第二角度;以及
该第一角度大于该第二角度。
2.如权利要求1所述的半导体结构的形成方法,其中于该第一介电层以及该第二介电层中图案化出该导孔开口的步骤包括:
连续地使用该第一蚀刻工艺参数蚀刻该第一介电层以及该第二介电层。
3.如权利要求1所述的半导体结构的形成方法,还包括:
形成一第二蚀刻停止层于该第一导线上,其中该第一介电层形成于该第二蚀刻停止层之上。
4.如权利要求3所述的半导体结构的形成方法,还包括:
在图案化出该导孔开口以及形成该沟槽开口之后移除该导孔开口露出该第二蚀刻停止层的一部分,其中使用不同于该第一蚀刻工艺参数的第二蚀刻工艺参数移除该第二蚀刻停止层的该部分。
5.如权利要求3所述的半导体结构的形成方法,其中相应于该第一蚀刻工艺参数,该第一介电层以及该第二介电层相对于该第二蚀刻停止层具有蚀刻选择性。
6.如权利要求1所述的半导体结构的形成方法,其中于该第二介电层中形成该沟槽开口的步骤包括:
于该第一介电层以及该第二介电层中图案化出该导孔开口之后形成一光致抗蚀剂于该导孔开口之中及之上;
以一用于该沟槽开口的图案来图案化该光致抗蚀剂;以及
使用该光致抗蚀剂作为一蚀刻掩模以于该第二介电层中蚀刻出该沟槽开口。
7.如权利要求1所述的半导体结构的形成方法,其中于该第一介电层以及该第二介电层中图案化出该导孔开口的步骤包括:
于该第二介电层中形成该沟槽开口之后形成一光致抗蚀剂于该沟槽开口之中及之上;
以一用于该导孔开口的图案来图案化该光致抗蚀剂;以及
使用该光致抗蚀剂作为一蚀刻掩模以于该第一介电层以及该第二介电层中蚀刻出该导孔开口。
8.如权利要求1所述的半导体结构的形成方法,还包括:
平坦化该第二导电材料,使得该第二导电材料、该第二扩散阻挡层以及该第二介电层的顶表面对齐。
9.如权利要求1所述的半导体结构的形成方法,其中该第一角度为70°至80°,而该第二角度为45°至50°。
10.一种半导体结构的形成方法,包括:
形成一层间介电层于一基板上,其中该基板具有一主动装置;
形成一接点插塞于该层间介电层中,该接点插塞电性连接至该主动装置;
形成一第一蚀刻停止层于该层间介电层上;
形成一第一导线于该接点插塞上,该第一导线穿过该第一蚀刻停止层且电性连接至该接点插塞,其中该第一导线具有一第一扩散阻挡层和一第一导电材料;
沉积一第二蚀刻停止层于该第一导线上;
形成数个介电层于该第二蚀刻停止层上;
图案化出一导孔开口延伸至所述多个介电层的每一者的至少一部分中并露出该第二蚀刻停止层,其中使用第一蚀刻工艺参数形成通过所述多个介电层的每一者的该导孔开口;
在所述多个介电层的一最顶介电层中形成一沟槽开口;
移除部分的该第二蚀刻停止层以露出该第一导线;
于所述多个介电层上、沿着该导孔开口的侧壁和该沟槽开口的侧壁以及于该第一导线上沉积一第二扩散阻挡层,其中该第二扩散阻挡层接触该第一扩散阻挡层;形成一第二导电材料于该第二扩散阻挡层上以及该导孔开口中和该沟槽开口中;以及
平坦化该第二导电材料,使得该第二导电材料、该第二扩散阻挡层以及所述多个介电层中的一最顶介电层的顶表面对齐,其中,
在该最顶介电层中的该导孔开口的侧壁与一平行于该基板的一主要表面的平面形成一第一角度;
在一最底介电层中的该导孔开口的侧壁与平行于该基板的主要表面的该平面形成一第二角度;以及
该第二角度大于该第一角度。
11.如权利要求10所述的半导体结构的形成方法,其中图案化出该导孔开口的步骤包括:
连续地使用该第一蚀刻工艺参数蚀刻所述多个介电层的每一个的至少一部分。
12.如权利要求10所述的半导体结构的形成方法,还包括:
在图案化出该导孔开口之前形成该沟槽开口。
13.如权利要求10所述的半导体结构的形成方法,还包括:
在图案化出该导孔开口之后形成该沟槽开口。
14.一种半导体结构,包括:
一层间介电层,位于一包括主动装置的基板上;
一接点插塞,位于该层间介电层中,该接点插塞电性连接至该主动装置;
一第一蚀刻停止层,位于该层间介电层上;
一第一导线,位于该接点插塞上,该第一导线穿过该第一蚀刻停止层且电性连接至该接点插塞,其中该第一导线具有一第一扩散阻挡层和一导电材料;
一第二蚀刻停止层,位于该第一导线上;
一第一介电层,位于该第二蚀刻停止层上;
一第二介电层,位于该第一介电层上;
一导孔开口,延伸通过该第二蚀刻停止层、该第一介电层以及该第二介电层;
一导孔,填充该导孔开口,该导孔具有侧壁,其中该第一介电层中的该侧壁的部分与一平行于该基板的一主要表面的平面形成一第一角度,该第二介电层中的该侧壁的部分与平行于该基板的主要表面的该平面形成一第二角度,且该第一角度大于该第二角度;
一沟槽开口,位于该导孔上;以及
一第二导线,填充该沟槽开口,其中该第二导线经由该导孔、该第一导线以及该接点插塞电性连接至该基板的该主动装置,其中该导孔和该第二导线具有一第二扩散阻挡层,且该第二扩散阻挡层接触该第一扩散阻挡层。
15.如权利要求14所述的半导体结构,其中该第一介电层的介电常数为3至8,而该第二介电层的介电常数为2至4。
16.如权利要求14所述的半导体结构,其中该第一介电层包括氧化硅,该第二蚀刻停止层包括氮化硅。
17.如权利要求14所述的半导体结构,其中该第一介电层包括掺杂有碳、氢或氮的氧化硅。
18.如权利要求14所述的半导体结构,其中该第一介电层以及该第二介电层相应于一相同的蚀刻工艺的蚀刻速率的比值为2至3。
CN201711239729.4A 2017-07-31 2017-11-30 半导体结构及其形成方法 Active CN109326554B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/664,109 2017-07-31
US15/664,109 US10522468B2 (en) 2017-07-31 2017-07-31 Interconnect structure and method

Publications (2)

Publication Number Publication Date
CN109326554A CN109326554A (zh) 2019-02-12
CN109326554B true CN109326554B (zh) 2022-02-01

Family

ID=65003883

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201711239729.4A Active CN109326554B (zh) 2017-07-31 2017-11-30 半导体结构及其形成方法

Country Status (5)

Country Link
US (3) US10522468B2 (zh)
KR (1) KR102087183B1 (zh)
CN (1) CN109326554B (zh)
DE (1) DE102017127530A1 (zh)
TW (1) TWI738894B (zh)

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10978384B2 (en) * 2018-08-31 2021-04-13 Samsung Electronics Co., Ltd. Integrated circuits including multi-layer conducting lines
US10784151B2 (en) * 2018-09-11 2020-09-22 Taiwan Semiconductor Manufacturing Company Ltd. Interconnect structure and manufacturing method for the same
JP7237672B2 (ja) * 2019-03-15 2023-03-13 株式会社東芝 半導体装置
WO2020208698A1 (ja) * 2019-04-09 2020-10-15 日本碍子株式会社 接合基板及び接合基板の製造方法
TWI714093B (zh) 2019-05-21 2020-12-21 友達光電股份有限公司 陣列基板
CN112151497B (zh) 2019-06-28 2023-08-22 台湾积体电路制造股份有限公司 半导体结构以及形成半导体结构的方法
US11211352B2 (en) * 2019-10-01 2021-12-28 Taiwan Semiconductor Manufacturing Company, Ltd. Bump structure to prevent metal redeposit and to prevent bond pad consumption and corrosion
JP2021082703A (ja) * 2019-11-19 2021-05-27 キオクシア株式会社 半導体装置およびその製造方法
CN113086937B (zh) * 2019-12-23 2024-03-19 财团法人工业技术研究院 微机电系统装置与其制造方法
US11939212B2 (en) 2019-12-23 2024-03-26 Industrial Technology Research Institute MEMS device, manufacturing method of the same, and integrated MEMS module using the same
US11289404B2 (en) 2020-01-17 2022-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11189525B2 (en) * 2020-02-21 2021-11-30 Taiwan Semiconductor Manufacturing Company, Ltd. Via-first process for connecting a contact and a gate electrode
JP7471861B2 (ja) * 2020-02-27 2024-04-22 Tdk株式会社 薄膜キャパシタ及びこれを内蔵する回路基板
US11315893B2 (en) * 2020-03-25 2022-04-26 Nanya Technology Corporation Semiconductor device with composite connection structure and method for fabricating the same
TWI752464B (zh) * 2020-04-14 2022-01-11 華邦電子股份有限公司 半導體結構及其形成方法
US11615983B2 (en) * 2020-04-22 2023-03-28 Taiwan Semiconductor Manufacturing Company Limited Semiconductor structure and method for forming the same
CN113555433A (zh) * 2020-04-23 2021-10-26 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US11411180B2 (en) 2020-04-28 2022-08-09 Taiwan Semiconductor Manufacturing Co., Ltd. Phase-change memory device and method
US11189568B2 (en) * 2020-04-29 2021-11-30 International Business Machines Corporation Top via interconnect having a line with a reduced bottom dimension
US11652049B2 (en) 2021-03-10 2023-05-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of forming thereof
TWI775623B (zh) * 2021-09-29 2022-08-21 力晶積成電子製造股份有限公司 電容器結構及其製造方法

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8168528B2 (en) * 2009-06-18 2012-05-01 Kabushiki Kaisha Toshiba Restoration method using metal for better CD controllability and Cu filing

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6294799B1 (en) * 1995-11-27 2001-09-25 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method of fabricating same
JP3445495B2 (ja) * 1997-07-23 2003-09-08 株式会社東芝 半導体装置
US6211071B1 (en) * 1999-04-22 2001-04-03 Advanced Micro Devices, Inc. Optimized trench/via profile for damascene filling
TW428268B (en) 1999-06-23 2001-04-01 United Microelectronics Corp Manufacturing method of shallow trench isolation structure
JP2002057123A (ja) * 2000-08-10 2002-02-22 Mitsubishi Electric Corp 半導体装置およびその製造方法
TW454256B (en) * 2000-11-03 2001-09-11 Mosel Vitelic Inc Manufacturing method of the dielectric layer in the semiconductor devices by using etching stop layer
DE10056866C2 (de) * 2000-11-16 2002-10-24 Advanced Micro Devices Inc Verfahren zur Bildung einer Ätzstoppschicht während der Herstellung eines Halbleiterbauteils
JP2002170885A (ja) * 2000-12-04 2002-06-14 Fujitsu Ltd 半導体装置の製造方法
US6649517B2 (en) 2001-05-18 2003-11-18 Chartered Semiconductor Manufacturing Ltd. Copper metal structure for the reduction of intra-metal capacitance
JP4169950B2 (ja) * 2001-05-18 2008-10-22 Necエレクトロニクス株式会社 半導体装置の製造方法
KR100450671B1 (ko) * 2002-02-26 2004-10-01 삼성전자주식회사 스토리지 노드 콘택플러그를 갖는 반도체 소자의 제조방법
US6890851B2 (en) * 2003-05-29 2005-05-10 United Microelectronics Corp. Interconnection structure and fabrication method thereof
JP4571785B2 (ja) * 2003-05-30 2010-10-27 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US6774032B1 (en) * 2003-05-30 2004-08-10 Intel Corporation Method of making a semiconductor device by forming a masking layer with a tapered etch profile
KR100571409B1 (ko) * 2003-12-31 2006-04-14 동부아남반도체 주식회사 반도체 소자의 배선 형성 방법
US7687407B2 (en) * 2004-12-02 2010-03-30 Texas Instruments Incorporated Method for reducing line edge roughness for conductive features
US20070057305A1 (en) 2005-09-13 2007-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. MIM capacitor integrated into the damascene structure and method of making thereof
US8264086B2 (en) 2005-12-05 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Via structure with improved reliability
US7781892B2 (en) * 2005-12-22 2010-08-24 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure and method of fabricating same
US8034722B2 (en) * 2006-04-07 2011-10-11 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming dual damascene semiconductor device
US7723226B2 (en) * 2007-01-17 2010-05-25 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnects containing bilayer porous low-k dielectrics using different porogen to structure former ratio
US7880303B2 (en) * 2007-02-13 2011-02-01 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked contact with low aspect ratio
DE102008045036B4 (de) 2008-08-29 2011-06-22 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG, 01109 Verringern kritischer Abmessungen von Kontaktdurchführungen und Kontakten über der Bauteilebene von Halbleiterbauelementen
US8803286B2 (en) * 2010-11-05 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. Low cost metal-insulator-metal capacitors
DE102010064289B4 (de) * 2010-12-28 2019-06-19 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG Größenreduzierung von Kontaktelementen und Kontaktdurchführungen in einem Halbleiterbauelement durch Einbau eines zusätzlichen Abschrägungsmaterials
US20130178068A1 (en) 2012-01-10 2013-07-11 Taiwan Semiconductor Manufacturing Co., Ltd. Dual damascene process and apparatus
US8835305B2 (en) * 2012-07-31 2014-09-16 International Business Machines Corporation Method of fabricating a profile control in interconnect structures
JP6056868B2 (ja) 2012-10-09 2017-01-11 日本電気株式会社 配線形成方法
KR102014197B1 (ko) * 2012-10-25 2019-08-26 삼성전자주식회사 반도체 장치 및 이의 형성 방법
US8883648B1 (en) * 2013-09-09 2014-11-11 United Microelectronics Corp. Manufacturing method of semiconductor structure
US9368348B2 (en) * 2013-10-01 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned patterning process
US9837306B2 (en) 2015-12-21 2017-12-05 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnection structure and manufacturing method thereof
US9893028B2 (en) 2015-12-28 2018-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Bond structures and the methods of forming the same

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8168528B2 (en) * 2009-06-18 2012-05-01 Kabushiki Kaisha Toshiba Restoration method using metal for better CD controllability and Cu filing

Also Published As

Publication number Publication date
KR20190013413A (ko) 2019-02-11
TW201911435A (zh) 2019-03-16
US10522468B2 (en) 2019-12-31
CN109326554A (zh) 2019-02-12
TWI738894B (zh) 2021-09-11
DE102017127530A1 (de) 2019-01-31
KR102087183B1 (ko) 2020-03-11
US20220173042A1 (en) 2022-06-02
US20190035734A1 (en) 2019-01-31
US11251127B2 (en) 2022-02-15
US20200126915A1 (en) 2020-04-23

Similar Documents

Publication Publication Date Title
CN109326554B (zh) 半导体结构及其形成方法
US9412648B1 (en) Via patterning using multiple photo multiple etch
US9704806B2 (en) Additional etching to increase via contact area
KR101757520B1 (ko) 집적회로 구조물 및 그 제조방법
US10510585B2 (en) Multi-patterning to form vias with straight profiles
KR20160045550A (ko) 집적 회로들에서의 에치 스톱 층
US11594419B2 (en) Reduction of line wiggling
US11482493B2 (en) Methods for reducing dual damascene distortion
US11488857B2 (en) Semiconductor device and method of manufacture using a contact etch stop layer (CESL) breakthrough process
US10714344B2 (en) Mask formation by selectively removing portions of a layer that have not been implanted
CN113782486B (zh) 半导体结构及其形成方法
US20230041753A1 (en) Semiconductor Device and Method of Manufacture
US20230178379A1 (en) Film deposition for patterning process

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant