TWI738894B - 半導體結構及其形成方法 - Google Patents
半導體結構及其形成方法 Download PDFInfo
- Publication number
- TWI738894B TWI738894B TW106135673A TW106135673A TWI738894B TW I738894 B TWI738894 B TW I738894B TW 106135673 A TW106135673 A TW 106135673A TW 106135673 A TW106135673 A TW 106135673A TW I738894 B TWI738894 B TW I738894B
- Authority
- TW
- Taiwan
- Prior art keywords
- dielectric layer
- layer
- opening
- wire
- dielectric
- Prior art date
Links
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76877—Filling of holes, grooves or trenches, e.g. vias, with conductive material
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/532—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
- H01L23/5329—Insulating materials
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76807—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/0271—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
- H01L21/0273—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
- H01L21/0274—Photolithographic processes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76804—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics by forming tapered via holes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76807—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
- H01L21/76808—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76807—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
- H01L21/76813—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76814—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76816—Aspects relating to the layout of the pattern or to the size of vias or trenches
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76829—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76829—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
- H01L21/76832—Multiple layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76835—Combinations of two or more different dielectric layers having a low dielectric constant
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76843—Barrier, adhesion or liner layers formed in openings in a dielectric
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76877—Filling of holes, grooves or trenches, e.g. vias, with conductive material
- H01L21/76883—Post-treatment or after-treatment of the conductive material
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/5226—Via connections in a multilevel interconnection structure
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/528—Geometry or layout of the interconnection structure
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/532—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
- H01L23/5329—Insulating materials
- H01L23/53295—Stacked insulating layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/538—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
- H01L23/5386—Geometry or layout of the interconnection structure
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Manufacturing & Machinery (AREA)
- Geometry (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
Abstract
一實施例包括一種方法。上述方法包括形成第一導線於基板上、沉積第一介電層於上述第一導線上、沉積第二介電層於上述第一介電層上。上述第二介電層包括不同於上述第一介電層之介電材料。上述方法亦包括於第一介電層以及第二介電層中圖案化出導孔開口,其中使用第一蝕刻製程參數圖案化第一介電層以及使用上述第一蝕刻製程參數圖案化第二介電層。上述方法亦包括於第二介電層中圖案化出溝槽開口。上述方法亦包括於上述導孔開口之底部上、沿著上述導孔開口之側壁、於上述溝槽開口之底部上以及沿著上述溝槽開口之側壁沉積擴散阻障層以及使用導電材料填充上述導孔開口以及上述溝槽開口。
Description
本發明實施例係關於一種半導體結構,且特別有關於一種包括導孔之半導體結構及其形成方法。
在目前半導體裝置微型化的過程中,希望以低介電常數介電材料作為導電內連線(interconnects)之間的金屬間(inter-metal)及/或層間(inter-layer)介電質,以降低信號傳遞中由電容效應(capacitive effects)所引起之電阻電容延遲(resistive-capacitive(RC)delay)。因此,上述介電質的介電層常數越低,則相鄰導線之間的寄生電容(parasitic capacitance)越低且積體電路(IC)之電阻電容延遲亦越低。
然而,現今被考慮或用來作為低介電常數介電材料的材料並不理想。尤其,在基於其介電常數(dielectric constant,k-value)而選擇一材料時(特別是基於其低介電常數),其他特性(例如:材料之硬度或其強度)可能不適用於半導體製程中。因此,亟需改善使用低介電常數介電材料之製程。
本發明實施例包括一種半導體結構之形成方法。上述方法包括形成第一導線於基板上、沉積第一介電層於上述
第一導線上、沉積第二介電層於上述第一介電層上。上述第二介電層包括不同於上述第一介電層之介電材料。上述方法亦包括於上述第一介電層以及上述第二介電層中圖案化出導孔開口(via opening)。使用第一蝕刻製程參數圖案化上述第一介電層且使用上述第一蝕刻製程參數圖案化上述第二介電層。上述方法亦包括於上述第二介電層中圖案化出溝槽開口、於上述導孔開口之底部上、沿著上述導孔開口之側壁、於上述溝槽開口之底部上以及沿著上述溝槽開口之側壁沉積擴散阻障層以及使用導電材料填充上述導孔開口以及上述溝槽開口。
本發明實施例亦包括一種半導體結構之形成方法。上述方法包括形成第一導線於基板上、沉積蝕刻停止層於上述第一導線上、形成數個介電層於上述蝕刻停止層上、圖案化出導孔開口延伸至此些介電層之每一者的至少一部分中並露出上述蝕刻停止層。使用第一蝕刻製程參數形成通過此些介電層之每一者的上述導孔開口。上述方法亦包括移除部分之蝕刻停止層以露出上述第一導線、於此些介電層上、沿著上述導孔開口的側壁以及於上述第一導線上沉積擴散阻障層、形成導電材料於上述擴散阻障層上以及上述導孔開口中以及平坦化上述導電材料以使得上述導電材料、上述擴散阻障層以及此些介電層中之最頂介電層之頂表面對齊。
本發明實施例亦包括一種半導體結構。上述半導體結構包括位於包括主動裝置的基板上之第一導線、位於上述第一導線上之蝕刻停止層、位於上述蝕刻停止層上之第一介電層、位於上述第一介電層上之第二介電層、延伸通過上述蝕刻
停止層、上述第一介電層以及上述第二介電層之導孔。上述導孔具有側壁。上述第一介電層中之上述側壁之部分與平行於上述基板之主要表面之平面係形成第一角度,上述第二介電層中之上述側壁之部分與平行於上述基板之主要表面之平面係形成第二角度,且第一角度大於第二角度。上述結構亦包括位於上述導孔上第二導線。上述第二導線經由上述導孔以及上述第一導線電性連接至上述基板之主動裝置。
100:晶圓
102:基板
104:層間介電層
106:接點插塞
108:蝕刻停止層
110:金屬間介電層
112:導線
114:擴散阻障層
116:導電材料
118:蝕刻停止層
120:中間層
122:金屬間介電層
124:緩衝層
126:罩幕層
128:導孔開口
130:底層
132:中間層
134:上層
136:溝槽開口
140:擴散阻障層
142:導電材料
144:導孔
146:導線
202:溝槽開口
204:底層
206:中間層
208:上層
210:導孔開口
D1:距離
θ1、θ2:角度
以下將配合所附圖式詳述本發明實施例之各層面。應注意的是,依據在業界的標準做法,各種特徵並未按照比例繪製且僅用以說明例示。事實上,可能任意地放大或縮小元件的尺寸,以清楚地表現出本發明實施例的特徵。
第1、2、3、4、5、6、7、8、9、10、11、12、13以及14圖根據一些實施例繪示出形成積體電路之互連結構之中間步驟的剖面圖。
第15、16、17、18、19、20、21、22、23、24、25、26以及27圖根據一些實施例繪示出形成積體電路之互連結構之中間步驟的剖面圖。
以下公開許多不同的實施方法或是例子來實行本發明實施例之不同特徵。以下描述具體的元件及其排列以闡述本發明實施例。當然這些實施例僅用以例示,且不該以此限定本發明實施例的範圍。例如,在說明書中提到第一特徵形成於第二特徵之上,其包括第一特徵與第二特徵是直接接觸的實施
例,另外也包括於第一特徵與第二特徵之間另外有其他特徵的實施例,亦即,第一特徵與第二特徵並非直接接觸。此外,本發明實施例可能重複各種示例中的附圖標記和/或字母。上述重複是為了達到簡明和清楚的目的,而非用來限定所討論的各種實施例和/或配置之間的關係。
此外,其中可能用到與空間相關用詞,例如“在...下方”、“下方”、“較低的”、“上方”、“較高的”及類似的用詞,這些空間相關用詞係為了便於描述圖示中一個(些)元件或特徵與另一個(些)元件或特徵之間的關係,這些空間相關用詞包括使用中或操作中的裝置之不同方位,以及圖式中所描述的方位。當裝置被轉向不同方位時(旋轉90度或其他方位),則其中所使用的空間相關形容詞也將依轉向後的方位來解釋。
根據一些實施例,一種互連結構(interconnect structure)及方法被提供。進一步而言,所形成之互連結構具有位於金屬間介電層(inter-metal dielectric,IMD)與上述金屬間介電層下方之蝕刻停止層之間的中間層(intermediate layer)。上述中間層具有不同於上述金屬間介電層之介電常數,且相應於一相同之蝕刻製程具有不同於上述金屬間介電層之蝕刻速率。由於上述不同之蝕刻速率,所形成之導孔於上述中間層中具有較垂直的側壁(相較於在上述金屬間介電層中)。由於改善了上述導孔之整體垂直輪廓,因此增加了上述導孔與相鄰導線之間的距離。藉由增加上述導孔與相鄰導線之間的距離,可降低互連結構中的漏電流,且可增加互連結構之崩潰電壓。
第1圖至第14圖根據一些實施例繪示出形成積體電路之互連結構之中間步驟的剖面圖。第1圖至第14圖可繪示出使用雙鑲嵌製程(dual damascene process)形成互連結構。第1圖至第14圖所繪示之實施例的導孔開口係形成於溝槽開口之前,其可被稱作“導孔先(via first)”製程。雖然第1圖至第14圖繪示出形成單一導孔及導電溝槽,應理解的是,於所示的步驟中可同時形成多個導孔以及導電溝槽。
第1圖繪示出晶圓100,其包括基板102以及形成於基板102上的特徵。基板102可為半導體基板(例如:塊狀半導體(bulk semiconductor))、絕緣層上半導體基板(semiconductor-on-insulator(SOI)substrate)或類似之基板,且其可被摻雜(例如:使用p型或n型之摻質)或未被摻雜。大抵而言,絕緣層上半導體基板包括形成於絕緣層上之半導體材料層。舉例而言,上述絕緣層可為埋藏氧化層(buried oxide(BOX)layer)、氧化矽層或類似之絕緣層。上述絕緣層被提供於通常為矽或玻璃之基板上。亦可使用其他基板,例如:多層基板或梯度基板。在一些實施例中,上述基板之半導體材料可包括矽、鍺、化合物半導體(包括碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦及/或銻化銦)、合金半導體(包括SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP及/或GaInAsP)或上述之組合。
在一些實施例中,晶圓100係被用來形成裝置晶粒(die)。在此些實施例中,積體電路裝置係形成於基板102之頂表面上。舉例而言,積體電路裝置可包括互補式金氧半場效電晶體(complementary metal-oxide semiconductor(CMOS)
transistors)、電阻器、電容器、二極體或類似之裝置。於此將不詳述上述積體電路裝置。在一些實施例中,晶圓100係被用來形成中介層(interposers)。在此些實施例中,基板102上未形成有主動裝置(例如:電晶體或二極體)。晶圓100中可形成有或未形成有被動裝置(例如:電容器、電阻器、感應器(inductors)或類似之裝置)。在晶圓100為中介層的實施例中,基板102亦可為介電基板。此外,可形成穿過基板102之貫穿導孔(未繪示於圖中)以連接基板102兩相對側上之元件。
在第1圖中,形成層間介電層(inter-layer dielectric,ILD)104於基板102之上。在積體電路裝置形成於基板102上的實施例中,層間介電層104填充積體電路裝置之電晶體之閘極堆疊(未繪示於圖中)之間的空間。層間介電層104可由磷矽玻璃(phosphosilicate glass,PSG)、硼矽玻璃(borosilicate glass,BSG)、硼磷矽玻璃(boron-doped phosphosilicate glass,BPSG)、摻氟矽玻璃(fluorine-doped silicate glass,FSG)、四乙氧基矽烷(tetraethyl orthosilicate,TEOS)或類似之材料所形成。層間介電層104可由介電常數低於約3.0之低介電常數介電材料所形成。在一些實施例中,可使用旋轉塗佈(spin coating)或類似之方法形成層間介電層104。在一些實施例中,可使用沉積方法(例如:電漿輔助化學氣相沉積法(plasma enhanced chemical vapor deposition、PECVD)、流動式化學氣相沉積法(flowable chemical vapor deposition,FCVD)、低壓化學氣相沉積法(low pressure chemical vapor deposition,LPCVD)或類似之方法)形成層間介電層104。
此外,於第1圖中,形成接點插塞(contact plugs)106於層間介電層104中。接點插塞106係電性連接至基板102之積體電路裝置。舉例而言,接點插塞106可為連接至積體電路裝置之電晶體之閘極電極(未繪示於圖中)的閘極接點插塞及/或電性連接至電晶體之源極/汲極區的源極/汲極接點。所形成之用於接點插塞106之開口係穿過層間介電層104。可使用適當之微影及蝕刻技術形成上述開口。可形成襯層(例如:擴散阻障層、黏著層(adhesion layer)或類似之襯層(liner))於上述開口中,且可形成導電材料於上述襯層上之上述開口中。上述襯層可包括鈦、氮化鈦、鉭、氮化鉭或類似之材料。上述導電材料可為鈷、銅、銅合金、銀、金、鎢、銅、鋁、鎳或類似之材料。可進行平坦化製程(例如:研磨(grinding)、化學機械研磨(chemical-mechanical polish,CMP)或類似之製程)以自層間介電層104之表面移除多餘的材料。殘留之襯層以及導電材料係形成了上述開口中的接點插塞106。
於第2圖中,形成蝕刻停止層108於基板102之積體電路裝置(如果有的話)、層間介電層104以及接點插塞106上。蝕刻停止層108可由碳化矽、氮化矽、氮氧化矽(silicon oxynitride)、碳氮化矽(silicon carbo-nitride)或類似之材料所形成。可使用化學氣相沉積製程(CVD)、物理氣相沉積製程(PVD)、原子層沉積製程(ALD)、旋塗介電質製程(spin-on-dielectric process)、類似之製程或上述之組合形成蝕刻停止層108。
此外,於第2圖中,形成金屬間介電層110於蝕刻
停止層108之上。金屬間介電層110可為由介電常數低於約3.0之低介電常數介電材料所形成之膜層。金屬間介電層110可為由介電常數小於2.5之極低介電常數(extra-low-k,ELK)介電材料所形成之膜層。在一些實施例中,金屬間介電層110可由Black Diamond(應用材料(Applied Materials)之註冊商標)、含氧(oxygen-containing)及/或含碳(carbon containing)之低介電常數介電材料、氫矽酸鹽(Hydrogen SilsesQuioxane,HSQ)、甲基倍半矽氧烷(MethylSilsesQuioxane,MSQ)或類似之材料所形成。蝕刻停止層108之材料相對於金屬間介電層110具有高蝕刻選擇性,因此於後續的製程步驟中可使用蝕刻停止層108終止金屬間介電層110之蝕刻。
在一些實施例中,金屬間介電層110可由多孔材料(例如:SiOCN、SiCN、SiOC、SiOCH或類似之材料)所形成。可先形成前驅層於蝕刻停止層108上。上述前驅層可同時包括基質材料(matrix material)以及散置於上述基質材料中之成孔劑(porogen),或者(作為替代方案)可包括沒有上述成孔劑之基質材料。在一實施例中,舉例而言,可使用如電漿輔助化學氣相沉積(PECVD)之製程同時沉積基質材料與成孔劑以共同沉積(co-depositing)基質材料以及成孔劑而形成前驅層,因此所形成之前驅層具有混合在一起之基質材料以及成孔劑。然而,所屬領域具通常知識者應當理解,使用同步的(simultaneous)電漿輔助化學氣相沉積製程之共同沉積並非是唯一可被用來形成前驅層的製程。亦可使用任何適當的製程,例如:預先混合液態之基質材料以及成孔劑材料,接著將其混合物旋轉塗佈於蝕
刻停止層108上。
可使用如電漿輔助化學氣相沉積之製形成上述基質材料(或介電基材),但亦可使用任何適當之製程作為替代,例如:化學氣相沉積製程、物理氣相沉積製程或者甚至是旋轉塗佈製程。電漿輔助化學氣相沉積製程可使用前驅物,例如:甲基二乙氧基矽烷(methyldiethoxysilane,DEMS),但亦可使用其他前驅物作為替代,例如:其他矽烷(silanes)、烷基矽烷(alkylsilanes,例如:三甲基矽烷(trimethylsilane)以及四甲基矽烷(tetramethylsilane))、烷氧基矽烷(alkoxysilanes,例如:甲基三乙氧基矽烷(methyltriethoxysilane,MTEOS)、甲基三甲氧基矽烷(methyltrimethoxysilane,MTMOS)、甲基二甲氧基矽烷(methyldimethoxysilane,MDMOS)、三甲基甲氧基矽烷(trimethylmethoxysilane,TMMOS)以及二甲基二甲氧基矽烷(dimethyldimethoxysilane,DMDMOS))、線性矽氧烷(linear siloxanes)以及環狀矽氧烷(cyclic siloxanes,例如:八甲基環四矽氧烷(octamethylcyclotetrasiloxane,OMCTS)以及四甲基環四矽氧烷(tetramethylcyclotetrasiloxane,TMCTS))、上述之組合或類似之材料。然而,所屬領域具通常知識者應當理解,可使用任何其他適當之基質前驅物作為替代方案,因此於此所列出之材料以及製程僅用於說明而非用來限定實施例。
成孔劑可為在基質材料固化後可自基質材料被移除之分子,以於基質中形成孔隙,藉此可降低金屬間介電層110之介電常數的整體數值。成孔劑可為足夠大而可形成孔隙之材料,但仍要夠小以使個別孔隙之尺寸不過度地取代(displace)
基質材料。因此,成孔劑可包括有機分子,例如:α-松油烯(alpha-terpinene(ATRP),1-Isopropyl-4-Methyl-1,3-Cyclohexadiene)或環辛烷(cyclooctane,可為船形)或1,2-二(三乙氧基矽基)乙烷(1,2-bis(triethoxysilyl)ethane silicon)。
在使用散置於基質材料中之成孔劑形成前驅層之後,將成孔劑自基質材料移除以於基質材料中形成孔隙。在一實施例中,以退火製程移除成孔劑,上述退火製程可分解(break down)並汽化(vaporize)成孔劑材料,使得成孔劑材料可擴散並離開基質材料,從而留下結構完整之多孔介電材料作為金屬間介電層110。舉例而言,可使用約200℃至約500℃(例如:約400℃)、約10秒至約600秒(例如:約200秒)之退火。作為替代方案,可使用其他適當之製程移除成孔劑,例如:使用紫外光照射成孔劑以分解成孔劑或使用微波(microwaves)分解成孔劑。
於第3圖中,形成導線112於金屬間介電層110中。根據一些實施例,導線112包括擴散阻障層114以及擴散阻障層114上之導電材料116。使用如蝕刻製程形成開口於金屬間介電層110中,其中蝕刻停止層108可被用來終止上述蝕刻製程。接著,可經由一不同的蝕刻製程移除上述開口中之蝕刻停止層108之部分。擴散阻障層114可由TaN、Ta、TiN、Ti、CoW或類似之材料所形成,且可以沉積製程(例如:原子層沉積製程或類似之製程)形成擴散阻障層114於上述開口中。導電材料116可包括銅、鋁、鎢、銀及上述之組合或類似之材料,且可以電化學電鍍製程(electro-chemical plating process)、化學氣相沉積製程、原子層沉積製程、物理氣相沉積製程、類似之製程或上
述之組合形成導電材料116於上述開口中之擴散阻障層114之上。在一實施例中,導電材料116為銅,且擴散阻障層114係為防止銅擴散至金屬間介電層110中之薄阻障層。在形成擴散阻障層114以及導電材料116之後,可以如平坦化製程(例如:化學機械研磨製程)自晶圓100之正面移除擴散阻障層114以及導電材料116之多餘部分。
根據本揭露一些實施例,可形成金屬蓋(metal caps,未繪示於圖中)於導線112之上。在所述的內容中,上述金屬蓋亦可被認為是導線112之部分。在一些實施例中,上述金屬蓋係由Co、CoWP、CoB、W、Ta、Ni、Mo、Ti、Fe或其合金所形成。可選擇性地使用電化學電鍍(ElectroChemical Plating,ECP)或無電鍍(electroless plating)形成上述金屬蓋,在上述製程中晶圓100係被浸入電鍍液中。在替代的實施例中,毯覆地(blanket)形成上述金屬蓋於導線112以及金屬間介電層110上,然後以蝕刻製程移除不需要的部分。
雖然所示之導線112係形成於底部金屬層中(其為緊接在接點插塞106上之金屬層),應以解的是,導線112亦可代表在任何底部金屬層上之金屬層中之金屬線。在此些實施例中,可有任何數量之膜層,其可包括介電層、導孔、導線等。
於第4圖中,形成薄膜堆疊(film stack)於金屬間介電層110與導線112上。在一些實施例中,上述薄膜堆疊係被用來形成電性連接至導線112、接點插塞106以及基板102的金屬導孔與線。上述薄膜堆疊包括蝕刻停止層118、中間層120、金屬間介電層122、緩衝層124以及罩幕層126。
蝕刻停止層118接觸金屬間介電層110以及導線112。蝕刻停止層118之形成材料可選自與蝕刻停止層108相同之候選材料,且蝕刻停止層118之形成方法可選自相同於形成蝕刻停止層108之候選方法之群組。蝕刻停止層108與118可由相同的材料所形成,或可包括不同的材料。所形成之蝕刻停止層118可具有約10Å至約50Å的厚度(例如:約30Å)。
中間層120在蝕刻停止層118之上,且由介電材料所形成。在一些實施例中,中間層120係由氧化物所形成,例如:氧化矽、摻雜氧化矽或類似之材料。在中間層120為摻雜氧化矽的實施例中,其可摻雜有C、H、N、類似之摻質或上述之組合。在此些實施例中,將中間層120摻雜成摻質濃度約為1016cm-3至約為1018cm-3。可使用化學氣相沉積製程、物理氣相沉積製程、原子層沉積製程、旋塗介電質製程(spin-on-dielectric process)、類似之製程或上述之組合形成中間層120。在中間層120被摻雜的實施例中,可在形成中間層120時進行原位(in-situ)摻雜(例如:在不破真空的情況下),或者可在形成中間層120之後進行摻雜。所形成之中間層120可具有約10Å至約300Å之厚度。中間層120可以是不同於蝕刻停止層118之材料。在一實施例中,蝕刻停止層118係由氮化矽或氮氧化矽所形成,而中間層120係由大抵上不含氮化物的氧化矽所形成。
金屬間介電層122在中間層120上。金屬間介電層122之形成材料可選自與金屬間介電層110相同之候選材料,且金屬間介電層122之形成方法可選自相同於形成金屬間介電層110之候選方法之群組。金屬間介電層110以及122可由相同的
材料所形成,或者可包括不同的材料。
根據各實施例,蝕刻停止層118、中間層120以及金屬間介電層122之每一者係由不同的材料所形成。進一步而言,蝕刻停止層118、中間層120以及金屬間介電層122之每一者具有不同的相對介電常數(relative permittivity)。蝕刻停止層118之介電常數大於中間層120之介電常數,中間層120之介電常數大於金屬間介電層122之介電常數。在一實施例中,蝕刻停止層118之介電常數約為9至10,中間層120之介電常數大約為蝕刻停止層118之一半(例如:約為3至8(例如:約為5)),而金屬間介電層122之介電常數約為中間層120之一半(約為2至4)。
此外,在蝕刻金屬間介電層122的製程中,蝕刻停止層118之材料相應於一相同之蝕刻製程相對於中間層120具有高蝕刻選擇性,而金屬間介電層122之材料相應於一相同之蝕刻製程相對於中間層120具有高蝕刻選擇性。在一實施例中,相應於一相同之蝕刻製程,金屬間介電層122之蝕刻速率比中間層120之蝕刻速率大了約2至3倍。蝕刻選擇性上的差異使得形成於中間層120中的導孔可具有較垂直的輪廓。
在一些實施例中,蝕刻停止層118可為金屬間介電層122下方唯一之蝕刻停止層。換句話說,金屬間介電層122與導線112之間並未形成有其他中間蝕刻停止層(除了蝕刻停止層118)。
緩衝層124係形成於金屬間介電層122之上,而罩幕層126係形成於緩衝層124之上。緩衝層124可由介電材料(例如:氧化矽)所形成,且可經由化學氣相沉積製程、物理氣相沉
積製程、原子層沉積製程、旋塗介電質製程或類似之製程形成緩衝層124。罩幕層126可由包括金屬之材料(例如:氮化鈦、鈦、氮化鉭、鉭或類似之材料)所形成,且可以物理氣相沉積製程、射頻物理氣相沉積製程(Radio Frequency PVD,RFPVD)、原子層沉積製程或類似之製程形成罩幕層126。在後續之製程步驟中,形成一圖案於罩幕層126上。接著,使用罩幕層126作為蝕刻罩幕,且使用罩幕層126之圖案蝕刻金屬間介電層122。緩衝層124減小了金屬間介電層122以及罩幕層126之間的應力。
在第5圖中,形成導孔開口128於罩幕層126中。形成導孔開口128之步驟可包括進行微影製程蝕刻罩幕層126以形成初始導孔開口。上述微影製程可包括形成光阻於罩幕層126之上、圖案化上述光阻以使之具有對應於導孔開口128之開口、延伸出穿過罩幕層126之導孔開口128然後移除上述光阻。
在第6圖中,延伸導孔開口128並穿過緩衝層124以及金屬間介電層122。可使用適當之蝕刻技術延伸導孔開口128。在一實施例中,可以異向性(anisotropic)乾蝕刻製程形成導孔開口128。舉例而言,上述蝕刻製程可包括乾蝕刻製程,上述乾蝕刻製程所使用之反應氣體選擇性地蝕刻金屬間介電層122而未顯著地蝕刻罩幕層126。舉例而言,上述蝕刻製程可包括使用電漿以自蝕刻劑氣體形成反應性種類(reactive species)。在一些實施例中,上述電漿可為遠距電漿(remote plasma)。上述蝕刻劑氣體可包括氟碳化學組成(fluorocarbon chemistry,例如:C4F6/CF4/C5F)以及NF3/O2/N2/Ar/H3/H2、類似之蝕刻劑氣體或上述之組合。在一實施例中,上述蝕刻劑係使
用氟碳化學組成以及作為載送氣體(carrier gas)之O2或Ar。金屬間介電層122中之導孔開口128的側壁與平行於基板102之主要表面的平面形成角度θ1。角度θ1可較小。在一實施例中,角度θ1約為45°至50°。
在第7圖中,使用適當之蝕刻技術將導孔開口128延伸穿過中間層120。根據各實施例,用來將導孔開口128延伸穿過中間層120之蝕刻製程使用與用於蝕刻金屬間介電層122之蝕刻製程相同之蝕刻製程參數(例如:相同之蝕刻劑)。在一實施例中,用於蝕刻金屬間介電層122之蝕刻製程繼續將導孔開口128延伸穿過中間層120。進行上述蝕刻製程直到導孔開口128露出蝕刻停止層118。由於中間層120與金屬間介電層122之間的蝕刻選擇性,上述蝕刻製程以低於金屬間介電層122之速率蝕刻中間層120。
金屬間介電層122之材料(例如:極低介電常數介電材料)的蝕刻形式可產生斜率較平緩之側壁。由於中間層120之蝕刻速率較低,因此相較於金屬間介電層122,中間層120之材料的蝕刻形式可產生斜率較陡之側壁。中間層120中之導孔開口128的側壁與平行於基板102之主要表面的平面形成角度θ2。角度θ2大於角度θ1。在一實施例中,角度θ2大於約70°,例如約為70°至約為80°。因此,導孔開口128的寬度隨著導孔開口128從金屬間介電層122之頂表面朝向基板102延伸而減小,且寬度的變化率隨著其朝向基板102延伸而減小。
可將中間層120形成至足夠的厚度(例如:約為10Å至約為300Å),使得導孔之大多數部分被中間層120圍繞。因
此,所形成之導孔之側壁的大多數部分可為傾斜角度為θ2之側壁。形成於導孔開口128中之導孔因而可具有較為垂直的輪廓。隨著裝置間距持續地降低,將導孔改善成具有較為垂直的輪廓可改善裝置之可靠度。
在第8圖中,形成光阻於基板102之上。上述光阻可為三層光阻(tri-layer photoresist),其包括導孔開口128中以及罩幕層126上之底層130、底層130上之中間層132以及中間層132上之上層134。底層130以及上層134可由光阻所形成,上述光阻包括有機材料。底層130可為底部抗反射塗層(bottom anti-reflective coating,BARC)。中間層132可包括或由無機材料所形成,上述無機材料可為氮化物(例如:氮化矽)、氮氧化物(例如:氮氧化矽)、氧化物(例如:氧化矽)或類似之材料。上層134係為光敏材料(photosensitive material)。中間層132相對於上層134以及底層130具有高蝕刻選擇性。因此,上層134被用來作為蝕刻罩幕以圖案化中間層132,而中間層132被用來作為蝕刻罩幕以圖案化底層130。在一些實施例中,形成於基板102上之光阻可為其他類型的光阻,例如:單層光阻、雙層光阻或類似之光阻。
使用任何適當之微影技術形成溝槽開口136於上層134中以圖案化上層134。舉一個圖案化上層134的例子,可設置光罩(未繪示於圖中)於上層134之上,其可於後續被暴露於輻射光束中,上述輻射光束包括紫外光或準分子雷射(excimer laser),例如:由氟化氪(Krypton Fluoride,KrF)準分子雷射所形成之248nm的光束、由氟化氬(Argon Fluoride,ArF)準分子雷
射所形成之193nm的光束或由氟(F2)準分子雷射所形成之157nm的光束。可使用浸入微影系統(immersion lithography system)進行上方光阻層之曝光以增加解析度並降低可達到之最小節距。可進行烘烤或固化(cure)之步驟以硬化上層134,且可使用顯影劑移除上層134之曝光或未曝光的部分,其取決於是使用正型光阻或負型光阻。
於第9圖中,使用適當之蝕刻製程將上層134之圖案轉移至中間層132。在一些實施例中,可修剪(trim)中間層132以增加中間層132中之溝槽開口136的側邊。在上述修剪製程之後,可進行適當之蝕刻製程將中間層132之圖案轉移至底層130,以將溝槽開口136延伸穿過底層130。
此外,使用適當之蝕刻製程將底層130之圖案轉移至罩幕層126。在一實施例中,用於蝕刻底層130之蝕刻製程繼續蝕刻罩幕層126。於蝕刻製程中可消耗上層134、中間層132以及底層130。在一些實施例中,可進行灰化製程(ashing process)移除殘留之底層130殘留物。
在第10圖中,將溝槽開口136延伸穿過緩衝層124且部分通過金屬間介電層122。可以適當之蝕刻技術延伸溝槽開口136,例如:異向性蝕刻製程。在一實施例中,用於圖案化罩幕層126之蝕刻製程可繼續蝕刻緩衝層124以及金屬間介電層122。在一實施例中,上述蝕刻製程可為一單獨之蝕刻製程。在一實施例中,用於圖案化罩幕層126之蝕刻製程可類似於用於在中間層120以及金屬間介電層122中形成導孔開口128之蝕刻製程(例如:可使用相同之蝕刻劑及/或其他蝕刻製程參數)。
延伸溝槽開口136,使得溝槽開口136自金屬間介電層122之頂表面延伸至在金屬間介電層122之頂表面以及底表面之間的中間水平。可使用時間模式(time-mode)進行將溝槽開口136延伸至如上述深度的步驟,且可在上述蝕刻步驟進行所預定之一段時間之後將其終止。其他蝕刻步驟以及終止點偵測技術亦可被考慮。
在第11圖中,移除導孔開口128中之蝕刻停止層118之部分以露出下方之目標導線112以及相連之金屬蓋(如果有的話)。可使用對於蝕刻停止層118之材料具有選擇性之異向性濕式或乾式蝕刻移除蝕刻停止層118。舉例而言,可使用異向性濕式蝕刻移除蝕刻停止層118,上述異向性濕式蝕刻使用如H2O2之蝕刻劑反應物。用於移除蝕刻停止層118之蝕刻製程可不同於用於形成導孔開口128以及溝槽開口136之蝕刻製程(例如:可使用不同之蝕刻劑及/或其他蝕刻製程參數)。
在第12圖中,形成擴散阻障層140於晶圓100之露出的表面上。根據一些實施例,形成擴散阻障層140的步驟包括物理氣相沉積製程。在一些例示性的沉積製程中,將Ar引入其中放置有晶圓100之相應沉積腔體(未繪示於圖中)中,以自被使用於物理氣相沉積製程中之相應靶材(未繪示於圖中)濺射出(sputter)金屬離子(例如:鈦或鉭(Ta+))或不帶電的原子(例如:鈦或鉭(Ta))。可將氮加入製程氣體中。所濺射出的金屬離子被沉積至晶圓100上而形成導電之擴散阻障層140。在沉積擴散阻障層140的步驟中,可使用直流電源(DC power)及/或射頻電源(radio frequency(RF)power)。在上述沉積步驟之後,擴散阻
障層140包括直接在金屬間介電層122上方之部分、在溝槽開口136側壁上之部分、在導孔開口128底部上之部分、在溝槽開口136底部上之部分以及在導孔開口128側壁上之部分。
在第13圖中,將導電材料142填入導孔開口128以及溝槽開口136中。沿著金屬間介電層122之頂表面亦可形成有多餘的導電材料142。導電材料142可為包括金屬或金屬合金之金屬材料,例如:銅、銀、金、鎢、鈷、鋁及其合金。在一些實施例中,形成導電材料142之步驟包括沉積可包括銅或銅合金之薄的晶種層(未繪示於圖中),並使用如電化學電鍍(ECP)或無電鍍填充導孔開口128以及溝槽開口136之剩餘部分。亦可考慮使用沉積之方法。
在第14圖中,可進行平坦化製程移除多餘的導電材料142以及擴散阻障層140,以於導孔開口128以及溝槽開口136中各自形成導孔144以及導線146。舉例而言,上述平坦化製程可為研磨或化學機械研磨製程,且可進行上述平坦化製程使得導電材料142、擴散阻障層140以及金屬間介電層122之頂表面對齊或大抵上對齊。導孔144以及導線146包括導電材料142以及沿著導電材料142之側壁及底部延伸之擴散阻障層140之剩餘部分。導孔144以及導線146係物理且電性連接至目標導線112。
如上所述,導孔144之側壁具有良好之垂直輪廓。導孔144之總垂直尺寸(例如:高度)約20nm。導孔144之垂直尺寸的大多數部分(例如:大於約50%)可沿著中間層120延伸且可被中間層120圍繞。因此,所形成之導孔144之側壁的大多數部
分相對於基板102之角度較大。導孔144垂直輪廓之改善係增加了導孔144與相鄰於目標導線112之導線112之間的距離D1。經由增加導孔144與相鄰導線112之間的距離D1,可降低於導孔144與相鄰導線112之間流動的漏電流。這可增加將導孔144自相鄰導線112絕緣之材料(例如:金屬間介電層122以及中間層120)的崩潰電壓。導孔144垂直輪廓之改善亦可增加所形成之半導體裝置之電性測試之尺寸操作範圍。
於介電層(例如:中間層120以及金屬間介電層122)中形成導孔144以及導線146之後,可進行晶圓100之後續的後段製程(back end of line processing)。舉例而言,可繼續進行雙鑲嵌製程於互連結構中形成後續之介電層、導孔以及導線。可形成連接至互連結構之最頂層的外部連接,其可包括微凸塊(microbumps)、導電墊、凸塊下金屬化結構(underbump metallization structures)、焊接連接部(solder connectors)及/或類似之外部連接。
第15圖至27圖根據一些實施例繪示出形成積體電路之互連結構之中間步驟的剖面圖。第15圖至27圖可繪示出使用雙鑲嵌製程形成互連結構。第15圖至27圖所繪示之實施例的導孔開口係形成於溝槽開口之後,其亦可被稱為“導孔後(via last)”製程。雖然第15圖至第27圖繪示出形成單一導孔及導電溝槽,應理解的是,於所示的步驟中可同時形成多個導孔以及導電溝槽。
第15圖至第27圖中所示實施例的一些特徵係類似於第1圖至第14圖中所示實施例的特徵。除非特別說明,第15
圖至第27圖中之相應的標號及符號大抵上代表第1圖至第14圖之相應部分。於此將不重複關於類似特徵之形成細節。
在第15圖中,提供晶圓100,其包括基板102。層間介電層104係形成於基板102上,接點插塞106係形成於層間介電層104中。
在第16圖中,形成蝕刻停止層108於基板102之積體電路裝置(如果有的話)、層間介電層104以及接點插塞106上。金屬間介電層110係形成於蝕刻停止層108之上。
在第17圖中,形成導線112於金屬間介電層110中。導線112包括擴散阻障層114以及導電材料116,其係形成於金屬間介電層110之開口中。
在第18圖中,形成薄膜堆疊於金屬間介電層110以及導線112上。薄膜堆疊包括蝕刻停止層118、中間層120、金屬間介電層122、緩衝層124以及罩幕層126。
在第19圖中,形成溝槽開口202於罩幕層126中。形成溝槽開口202的步驟可包括進行適當之微影以及蝕刻製程蝕刻罩幕層126以形成初始溝槽開口。
在第20圖中,將溝槽開口202延伸通過緩衝層124以及金屬間介電層122。可使用適當之蝕刻技術延伸溝槽開口202,例如:前文關於形成導孔開口128之異向性乾蝕刻製程。可使用時間模式進行上述蝕刻製程,且可在上述蝕刻製程進行所預定之一段時間之後將其終止。亦可考慮其他蝕刻以及終止點偵測技術。
在第21圖中,形成光阻於基板102之上。光阻可為
三層光阻,其包括溝槽開口202中以及罩幕層126上之底層204、底層204上之中間層206以及中間層206上之上層208。底層204、中間層206以及上層208之形成材料各自可選自相同於底層130、中間層132以及上層134之候選材料,且其各自之形成方法可選自形成底層130、中間層132以及上層134之候選方法之群組。使用任何適當之微影技術圖案化上層134以於其中形成導孔開口210。在一些實施例中,形成於基板102上之光阻可為其他類型之光阻,例如:單層光阻、雙層光阻或類似之光阻。
在第22圖中,於一或多個蝕刻製程中將上層208中之導孔開口210的圖案轉移至中間層206、底層204以及金屬間介電層122。在一些實施例中,可修剪中間層206以增加中間層206中之導孔開口210的尺寸。可在蝕刻金屬間介電層122的時候或之後移除底層204、中間層206以及上層208。金屬間介電層122中之導孔開口210之側壁與平行於基板102之主要表面的平面形成角度θ1。
在第23圖中,使用蝕刻製程將導孔開口210延伸穿過中間層120直到露出蝕刻停止層118。用於將導孔開口210延伸穿過中間層120之蝕刻製程使用相同於用於蝕刻金屬間介電層122之蝕刻製程的蝕刻製程參數(例如:相同的蝕刻劑)。在一實施例中,用於蝕刻金屬間介電層122之蝕刻製程繼續將導孔開口210延伸穿過中間層120。中間層120中之導孔開口128的側壁與平行於基板102之主要表面的平面形成角度θ2。
在第24圖中,移除導孔開口210中之蝕刻停止層
118之部分以露出下方之目標導線112以及相連之金屬蓋(如果有的話)。可使用對於蝕刻停止層118之材料具有選擇性之異向性濕式或乾式蝕刻移除蝕刻停止層118。用於移除蝕刻停止層118之蝕刻製程可不同於用於形成導孔開口210以及溝槽開口202之蝕刻製程(例如:可使用不同之蝕刻劑及/或其他蝕刻製程參數)。
於第25圖中,形成擴散阻障層140於晶圓100之露出的表面上。在沉積步驟之後,擴散阻障層140包括直接位於金屬間介電層122上方之部分、在溝槽開口202側壁上之部分、在導孔開口210底部上之部分、在溝槽開口202底部上之部分以及在導孔開口210側壁上之部分。
在第26圖中,將導電材料142填充至導孔開口210以及溝槽開口202中。亦可沿著金屬間介電層122之頂表面形成多餘之導電材料142。
在第27圖中,可進行平坦化製程移除多餘之導電材料142以及擴散阻障層140以於導孔開口210以及溝槽開口202中各自形成導孔144以及導線146。在於介電層(例如:中間層120以及金屬間介電層122)中形成導孔144以及導線146之後,可進行晶圓100之後續的後段製程。
經由形成穿過蝕刻速率不同於金屬間介電層122之中間層120的導孔144,導孔144之側壁可具有良好之垂直輪廓。良好之垂直輪廓可降低互連結構中的漏電流並增加互連結構之崩潰電壓,且亦可增加電性測試之尺寸操作範圍。
在一實施例中,一種方法包括形成第一金屬線於
基板上;沉積第一介電層於上述第一金屬線上;沉積第二介電層於上述第一介電層上,上述第二介電層包括不同於上述第一介電層之材料;圖案化出導孔開口於上述第一介電層以及第二介電層中,其中使用第一蝕刻製程參數圖案化上述第一介電層且使用上述第一蝕刻製程參數圖案化上述第二介電層;圖案化出溝槽開口於上述第二介電層中;於上述導孔開口之底部上並沿著上述導孔開口之側壁以及於上述溝槽開口之底部上並沿著上述溝槽開口之側壁沉積擴散阻障層;以及以導電材料填充上述導孔開口以及溝槽開口。
在一些實施例中,於上述第一介電層以及第二介電層中圖案化出上述導孔開口的步驟包括連續地使用上述第一蝕刻製程參數蝕刻上述第一介電層以及第二介電層。在一些實施例中,上述方法更包括形成蝕刻停止層於上述第一導線上,而上述第一介電層係形成於上述蝕刻停止層之上。在一些實施例中,上述方法更包括在圖案化出上述導孔開口以及形成上述溝槽開口之後移除導孔開口所露出之上述蝕刻停止層之部分,且使用不同於第一蝕刻製程參數之第二蝕刻製程參數移除蝕刻停止層之上述部分。在一些實施例中,相應於上述第一蝕刻製程參數,上述第一介電層以及第二介電層相對於上述蝕刻停止層具有蝕刻選擇性。在一些實施例中,形成上述溝槽開口於上述第二介電層中的步驟包括在上述第一介電層以及第二介電層中圖案化出上述導孔開口之後於上述導孔開口之中及之上形成光阻;以溝槽開口之圖案圖案化上述光阻;以及使用上述光阻作為蝕刻罩幕於上述第二介電層中蝕刻出上述溝
槽開口。在一些實施例中,在上述第一介電層以及上述第二介電層中圖案化出導孔開口的步驟包括在於上述第二介電層中形成溝槽開口之後於上述溝槽開口之中以及之上形成光阻;以導孔開口之圖案圖案化上述光阻;以及使用上述光阻作為蝕刻罩幕於上述第一介電層以及上述第二介電層中蝕刻出導孔開口。在一些實施例中,上述方法更包括平坦化上述導電材料,使得上述導電材料、上述擴散阻障層以及上述第二介電層之頂表面對齊。在一些實施例中,第一介電層中之導孔開口之側壁與平行於上述基板之主要表面的平面形成第一夾角,第二介電層中之導孔開口之側壁與平行於上述基板之主要表面的平面形成第二夾角,第一夾角大於第二夾角。在一些實施例中,第一夾角為70°至80°,而第二夾角為45°至50°。
在一實施例中,一種方法包括形成第一導線於基板上;沉積蝕刻停止層於上述第一導線之上;形成數個介電層於上述蝕刻停止層之上;圖案化出導孔開口延伸進入此些介電層之每一者的至少一部分中並露出上述蝕刻停止層,使用第一蝕刻製程參數形成穿過此些介電層之每一者的上述導孔開口;移除部分之上述蝕刻停止層以露出上述第一導線;於此些介電層之上、沿著導孔開口之側壁以及於上述第一導線之上沉積擴散阻障層;形成導電材料於上述擴散阻障層之上以及上述導孔開口之中;以及平坦化上述導電材料,使得上述導電材料、上述擴散阻障層以及此些介電層之最頂介電層之頂表面對齊。
在一些實施例中,圖案化出上述導孔開口的步驟
包括連續地以上述第一蝕刻製程參數蝕刻此些介電層之每一者的至少一部分。在一些實施例中,在上述最頂介電層中之導孔開口之側壁與平行於上述基板之主要表面的平面形成第一夾角,在最底介電層中之導孔開口之側壁與平行於上述基板之主要表面的平面形成第二夾角,上述第二夾角大於上述第一夾角。在一些實施例中,上述方法更包括在圖案化出上述導孔開口之前,形成溝槽開口延伸穿過此些介電層的一部分。在一些實施例中,上述方法更包括在圖案化出上述導孔開口之後,形成溝槽開口延伸穿過此些介電層的一部分。
在一實施例中,一種結構包括位於包括主動裝置之基板上的第一金屬線;上述第一金屬線上之蝕刻停止層;上述蝕刻停止層上之第一介電層;上述第一介電層上之第二介電層;延伸穿過上述蝕刻停止層、上述第一介電層以及上述第二介電層之導孔,上述導孔具有側壁,其中在上述第一介電層中之上述側壁之部分與平行於上述基板之主要表面的平面形成第一夾角,在上述第二介電層中之上述側壁之部分與平行於上述基板之主要表面的平面形成第二夾角,第一夾角大於第二夾角;以及上述導孔上之第二導線,上述第二導線經由上述導孔以及上述第一導線電性連接至上述基板之主動裝置。
在一些實施例中,上述第一介電層之介電常數為3至8,上述第二介電層之介電常數為2至4。在一些實施例中,上述第一介電層包括氧化矽,而上述蝕刻停止層包括氮化矽。在一些實施例中,上述第一介電層包括摻雜C、H或N之氧化矽。在一些實施例中,相應於一相同之蝕刻製程,上述第一介
電層與上述第二介電層之蝕刻速率的比值為2至3。
前述內文概述了許多實施例的特徵,使本技術領域中具有通常知識者可以從各個方面更佳地了解本揭露。本技術領域中具有通常知識者應可理解,且可輕易地以本揭露為基礎來設計或修飾其他製程及結構,並以此達到相同的目的及/或達到與在此介紹的實施例等相同之優點。本技術領域中具有通常知識者也應了解這些相等的結構並未背離本揭露的發明精神與範圍。在不背離本揭露的發明精神與範圍之前提下,可對本揭露進行各種改變、置換或修改。
100:晶圓
102:基板
104:層間介電層
106:接點插塞
108:蝕刻停止層
110:金屬間介電層
112:導線
118:蝕刻停止層
120:中間層
122:金屬間介電層
140:擴散阻障層
142:導電材料
144:導孔
146:導線
D1:距離
Claims (11)
- 一種半導體結構之形成方法,包括:形成一第一導線於一基板上;沉積一第一介電層於該第一導線上;沉積一第二介電層於該第一介電層上,該第二介電層包括一不同於該第一介電層之介電材料;於該第一介電層以及該第二介電層中圖案化出一導孔開口(via opening),其中使用第一蝕刻製程參數圖案化該第一介電層,以及使用該第一蝕刻製程參數圖案化該第二介電層;於該第二介電層中圖案化出一溝槽開口;於該導孔開口之一底部上、沿著該導孔開口之側壁、於該溝槽開口之一底部上以及沿著該溝槽開口之側壁沉積一擴散阻障層;以及使用一導電材料填充該導孔開口以於該導孔開口中形成一導孔,並且填充該溝槽開口以於該溝槽開口中形成一第二導線,其中該導孔包括位於該第二介電層中的一上部以及位於該第一介電層中的一下部,且其中相對於一平行於該基板之一主要表面之平面,該下部的側壁較陡於該上部的側壁,其中在沿著該溝槽開口之寬度方向的剖面圖中,該第二導線之側壁的斜率大於該導孔之該上部的側壁之斜率。
- 如申請專利範圍第1項所述之半導體結構之形成方法,其中於該第一介電層以及該第二介電層中圖案化出該導孔開口之步驟包括: 連續地使用該第一蝕刻製程參數蝕刻該第一介電層以及該第二介電層。
- 如申請專利範圍第1項所述之半導體結構之形成方法,其中於該第二介電層中形成該溝槽開口之步驟包括:於該第一介電層以及該第二介電層中圖案化出該導孔開口之後形成一光阻於該導孔開口之中及之上;以一用於該溝槽開口的圖案來圖案化該光阻;以及使用該光阻作為一蝕刻罩幕以於該第二介電層中蝕刻出該溝槽開口。
- 如申請專利範圍第1項所述之半導體結構之形成方法,其中於該第一介電層以及該第二介電層中圖案化出該導孔開口之步驟包括:於該第二介電層中形成該溝槽開口之後形成一光阻於該溝槽開口之中及之上;以一用於該導孔開口的圖案來圖案化該光阻;以及使用該光阻作為一蝕刻罩幕以於該第一介電層以及該第二介電層中蝕刻出該導孔開口。
- 如申請專利範圍第1至4項中之任一項所述之半導體結構之形成方法,其中:在該第一介電層中之該導孔開口之側壁與平行於該基板之主要表面之該平面係形成一第一角度;在該第二介電層中之該導孔開口之側壁與平行於該基板之主要表面之該平面係形成一第二角度;以及該第一角度大於該第二角度。
- 一種半導體結構之形成方法,包括:形成一第一導線於一基板上;沉積一蝕刻停止層於該第一導線上;形成數個介電層於該蝕刻停止層上;圖案化出一導孔開口延伸至該些介電層之每一者的至少一部分中並露出該蝕刻停止層,其中使用第一蝕刻製程參數形成通過該些介電層之每一者的該導孔開口;形成一溝槽開口於該些介電層中之一最頂介電層中;移除部分之蝕刻停止層以露出該第一導線;於該些介電層上、沿著該導孔開口的側壁以及於該第一導線上沉積一擴散阻障層;形成一導電材料於該擴散阻障層上以及該導孔開口中和該溝槽開口中,其中相對於一平行於該基板之一主要表面之平面,該導孔開口中的該導電材料的一下部的側壁較陡於該導孔開口中的該導電材料的一上部的側壁,其中在沿著該溝槽開口之寬度方向的剖面圖中,該溝槽開口中的該導電材料之側壁的斜率大於該導孔開口中的該導電材料的該上部的側壁之斜率;以及平坦化該導電材料,使得該導電材料、該擴散阻障層以及該些介電層中之該最頂介電層之頂表面對齊。
- 如申請專利範圍第6項所述之半導體結構之形成方法,其中:在該最頂介電層中之該導孔開口之側壁與平行於該基板之主要表面之該平面係形成一第一角度; 在一最底介電層中之該導孔開口之側壁與平行於該基板之主要表面之該平面係形成一第二角度;以及該第二角度大於該第一角度。
- 一種半導體結構,包括:一接點插塞,連接至一基板的一主動裝置;一第一導線,位於上述接點插塞上且接觸上述接點插塞;一蝕刻停止層,位於該第一導線上;一第一介電層,位於該蝕刻停止層上;一第二介電層,位於該第一介電層上;一導孔,延伸通過該蝕刻停止層、該第一介電層以及該第二介電層,該導孔具有側壁,其中該第一介電層中之該側壁之部分與一平行於該基板之一主要表面之平面係形成一第一角度,該第二介電層中之該側壁之部分與平行於該基板之主要表面之該平面係形成一第二角度,且該第一角度大於該第二角度;以及一第二導線,位於該導孔上且在該第二介電層中,其中該第二導線經由該導孔以及該第一導線電性連接至該基板之上述主動裝置,其中在沿著該第二導線之寬度方向的剖面圖中,該第二介電層中之該第二導線的側壁的斜率大於該第二介電層中之該導孔之該側壁之部分的斜率。
- 如申請專利範圍第8項所述之半導體結構,其中該第一介電層包括摻雜有碳、氫或氮之氧化矽。
- 如申請專利範圍第8或9項所述之半導體結構,其中該第一介電層以及該第二介電層相應於一相同之蝕刻製程之蝕 刻速率的比值為2至3。
- 如申請專利範圍第8項所述之半導體結構,更包括:一擴散阻障層,位於該第二導線之該側壁上,以將該第二導線與該第二介電層間隔。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US15/664,109 US10522468B2 (en) | 2017-07-31 | 2017-07-31 | Interconnect structure and method |
US15/664,109 | 2017-07-31 |
Publications (2)
Publication Number | Publication Date |
---|---|
TW201911435A TW201911435A (zh) | 2019-03-16 |
TWI738894B true TWI738894B (zh) | 2021-09-11 |
Family
ID=65003883
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW106135673A TWI738894B (zh) | 2017-07-31 | 2017-10-18 | 半導體結構及其形成方法 |
Country Status (5)
Country | Link |
---|---|
US (3) | US10522468B2 (zh) |
KR (1) | KR102087183B1 (zh) |
CN (1) | CN109326554B (zh) |
DE (1) | DE102017127530A1 (zh) |
TW (1) | TWI738894B (zh) |
Families Citing this family (21)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US10978384B2 (en) * | 2018-08-31 | 2021-04-13 | Samsung Electronics Co., Ltd. | Integrated circuits including multi-layer conducting lines |
US10784151B2 (en) * | 2018-09-11 | 2020-09-22 | Taiwan Semiconductor Manufacturing Company Ltd. | Interconnect structure and manufacturing method for the same |
JP7237672B2 (ja) * | 2019-03-15 | 2023-03-13 | 株式会社東芝 | 半導体装置 |
WO2020208698A1 (ja) * | 2019-04-09 | 2020-10-15 | 日本碍子株式会社 | 接合基板及び接合基板の製造方法 |
TWI714093B (zh) * | 2019-05-21 | 2020-12-21 | 友達光電股份有限公司 | 陣列基板 |
CN112151497B (zh) | 2019-06-28 | 2023-08-22 | 台湾积体电路制造股份有限公司 | 半导体结构以及形成半导体结构的方法 |
US11211352B2 (en) * | 2019-10-01 | 2021-12-28 | Taiwan Semiconductor Manufacturing Company, Ltd. | Bump structure to prevent metal redeposit and to prevent bond pad consumption and corrosion |
JP2021082703A (ja) * | 2019-11-19 | 2021-05-27 | キオクシア株式会社 | 半導体装置およびその製造方法 |
CN113086937B (zh) * | 2019-12-23 | 2024-03-19 | 财团法人工业技术研究院 | 微机电系统装置与其制造方法 |
US11939212B2 (en) | 2019-12-23 | 2024-03-26 | Industrial Technology Research Institute | MEMS device, manufacturing method of the same, and integrated MEMS module using the same |
US11289404B2 (en) | 2020-01-17 | 2022-03-29 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method |
US11189525B2 (en) * | 2020-02-21 | 2021-11-30 | Taiwan Semiconductor Manufacturing Company, Ltd. | Via-first process for connecting a contact and a gate electrode |
JP7471861B2 (ja) * | 2020-02-27 | 2024-04-22 | Tdk株式会社 | 薄膜キャパシタ及びこれを内蔵する回路基板 |
US11315893B2 (en) | 2020-03-25 | 2022-04-26 | Nanya Technology Corporation | Semiconductor device with composite connection structure and method for fabricating the same |
TWI752464B (zh) * | 2020-04-14 | 2022-01-11 | 華邦電子股份有限公司 | 半導體結構及其形成方法 |
US11615983B2 (en) * | 2020-04-22 | 2023-03-28 | Taiwan Semiconductor Manufacturing Company Limited | Semiconductor structure and method for forming the same |
CN113555433A (zh) * | 2020-04-23 | 2021-10-26 | 中芯国际集成电路制造(上海)有限公司 | 半导体结构及其形成方法 |
US11411180B2 (en) | 2020-04-28 | 2022-08-09 | Taiwan Semiconductor Manufacturing Co., Ltd. | Phase-change memory device and method |
US11189568B2 (en) | 2020-04-29 | 2021-11-30 | International Business Machines Corporation | Top via interconnect having a line with a reduced bottom dimension |
US11652049B2 (en) | 2021-03-10 | 2023-05-16 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method of forming thereof |
TWI775623B (zh) * | 2021-09-29 | 2022-08-21 | 力晶積成電子製造股份有限公司 | 電容器結構及其製造方法 |
Citations (6)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6300683B1 (en) * | 1997-07-23 | 2001-10-09 | Kabushiki Kaisha Toshiba | Semiconductor device having high density interconnections and method for manufacturing the same |
US20020019129A1 (en) * | 2000-08-10 | 2002-02-14 | Mitsubishi Denki Kabushiki Kaisha | Semiconductor device and method of manufacturing the same |
US20070275554A1 (en) * | 2003-05-30 | 2007-11-29 | Nec Electronics Corporation | Semiconductor device with interconnection structure for reducing stress migration |
US20120161327A1 (en) * | 2010-12-28 | 2012-06-28 | Globalfoundries Inc. | Shrinkage of Contact Elements and Vias in a Semiconductor Device by Incorporating Additional Tapering Material |
TW201724192A (zh) * | 2015-12-28 | 2017-07-01 | 台灣積體電路製造股份有限公司 | 積體電路結構與其形成方法 |
TW201724436A (zh) * | 2015-12-21 | 2017-07-01 | 台灣積體電路製造股份有限公司 | 互連線結構與其製造方法 |
Family Cites Families (28)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6294799B1 (en) * | 1995-11-27 | 2001-09-25 | Semiconductor Energy Laboratory Co., Ltd. | Semiconductor device and method of fabricating same |
US6211071B1 (en) * | 1999-04-22 | 2001-04-03 | Advanced Micro Devices, Inc. | Optimized trench/via profile for damascene filling |
TW428268B (en) | 1999-06-23 | 2001-04-01 | United Microelectronics Corp | Manufacturing method of shallow trench isolation structure |
TW454256B (en) * | 2000-11-03 | 2001-09-11 | Mosel Vitelic Inc | Manufacturing method of the dielectric layer in the semiconductor devices by using etching stop layer |
DE10056866C2 (de) * | 2000-11-16 | 2002-10-24 | Advanced Micro Devices Inc | Verfahren zur Bildung einer Ätzstoppschicht während der Herstellung eines Halbleiterbauteils |
JP2002170885A (ja) * | 2000-12-04 | 2002-06-14 | Fujitsu Ltd | 半導体装置の製造方法 |
JP4169950B2 (ja) * | 2001-05-18 | 2008-10-22 | Necエレクトロニクス株式会社 | 半導体装置の製造方法 |
US6649517B2 (en) | 2001-05-18 | 2003-11-18 | Chartered Semiconductor Manufacturing Ltd. | Copper metal structure for the reduction of intra-metal capacitance |
KR100450671B1 (ko) * | 2002-02-26 | 2004-10-01 | 삼성전자주식회사 | 스토리지 노드 콘택플러그를 갖는 반도체 소자의 제조방법 |
US6890851B2 (en) * | 2003-05-29 | 2005-05-10 | United Microelectronics Corp. | Interconnection structure and fabrication method thereof |
US6774032B1 (en) * | 2003-05-30 | 2004-08-10 | Intel Corporation | Method of making a semiconductor device by forming a masking layer with a tapered etch profile |
KR100571409B1 (ko) * | 2003-12-31 | 2006-04-14 | 동부아남반도체 주식회사 | 반도체 소자의 배선 형성 방법 |
US7687407B2 (en) * | 2004-12-02 | 2010-03-30 | Texas Instruments Incorporated | Method for reducing line edge roughness for conductive features |
US20070057305A1 (en) | 2005-09-13 | 2007-03-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | MIM capacitor integrated into the damascene structure and method of making thereof |
US8264086B2 (en) | 2005-12-05 | 2012-09-11 | Taiwan Semiconductor Manufacturing Company, Ltd. | Via structure with improved reliability |
US7781892B2 (en) * | 2005-12-22 | 2010-08-24 | Taiwan Semiconductor Manufacturing Company, Ltd. | Interconnect structure and method of fabricating same |
US8034722B2 (en) | 2006-04-07 | 2011-10-11 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of forming dual damascene semiconductor device |
US7723226B2 (en) * | 2007-01-17 | 2010-05-25 | Taiwan Semiconductor Manufacturing Company, Ltd. | Interconnects containing bilayer porous low-k dielectrics using different porogen to structure former ratio |
US7880303B2 (en) * | 2007-02-13 | 2011-02-01 | Taiwan Semiconductor Manufacturing Company, Ltd. | Stacked contact with low aspect ratio |
DE102008045036B4 (de) | 2008-08-29 | 2011-06-22 | GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG, 01109 | Verringern kritischer Abmessungen von Kontaktdurchführungen und Kontakten über der Bauteilebene von Halbleiterbauelementen |
US8168528B2 (en) | 2009-06-18 | 2012-05-01 | Kabushiki Kaisha Toshiba | Restoration method using metal for better CD controllability and Cu filing |
US8803286B2 (en) * | 2010-11-05 | 2014-08-12 | Taiwan Semiconductor Manufacturing Company, Ltd. | Low cost metal-insulator-metal capacitors |
US20130178068A1 (en) | 2012-01-10 | 2013-07-11 | Taiwan Semiconductor Manufacturing Co., Ltd. | Dual damascene process and apparatus |
US8835305B2 (en) * | 2012-07-31 | 2014-09-16 | International Business Machines Corporation | Method of fabricating a profile control in interconnect structures |
WO2014057734A1 (ja) | 2012-10-09 | 2014-04-17 | 日本電気株式会社 | 配線形成方法 |
KR102014197B1 (ko) * | 2012-10-25 | 2019-08-26 | 삼성전자주식회사 | 반도체 장치 및 이의 형성 방법 |
US8883648B1 (en) * | 2013-09-09 | 2014-11-11 | United Microelectronics Corp. | Manufacturing method of semiconductor structure |
US9368348B2 (en) * | 2013-10-01 | 2016-06-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | Self-aligned patterning process |
-
2017
- 2017-07-31 US US15/664,109 patent/US10522468B2/en active Active
- 2017-10-18 TW TW106135673A patent/TWI738894B/zh active
- 2017-11-22 DE DE102017127530.4A patent/DE102017127530A1/de active Pending
- 2017-11-30 CN CN201711239729.4A patent/CN109326554B/zh active Active
- 2017-12-08 KR KR1020170168150A patent/KR102087183B1/ko active IP Right Grant
-
2019
- 2019-12-20 US US16/722,365 patent/US11251127B2/en active Active
-
2022
- 2022-02-14 US US17/671,052 patent/US20220173042A1/en active Pending
Patent Citations (6)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6300683B1 (en) * | 1997-07-23 | 2001-10-09 | Kabushiki Kaisha Toshiba | Semiconductor device having high density interconnections and method for manufacturing the same |
US20020019129A1 (en) * | 2000-08-10 | 2002-02-14 | Mitsubishi Denki Kabushiki Kaisha | Semiconductor device and method of manufacturing the same |
US20070275554A1 (en) * | 2003-05-30 | 2007-11-29 | Nec Electronics Corporation | Semiconductor device with interconnection structure for reducing stress migration |
US20120161327A1 (en) * | 2010-12-28 | 2012-06-28 | Globalfoundries Inc. | Shrinkage of Contact Elements and Vias in a Semiconductor Device by Incorporating Additional Tapering Material |
TW201724436A (zh) * | 2015-12-21 | 2017-07-01 | 台灣積體電路製造股份有限公司 | 互連線結構與其製造方法 |
TW201724192A (zh) * | 2015-12-28 | 2017-07-01 | 台灣積體電路製造股份有限公司 | 積體電路結構與其形成方法 |
Also Published As
Publication number | Publication date |
---|---|
KR20190013413A (ko) | 2019-02-11 |
US10522468B2 (en) | 2019-12-31 |
DE102017127530A1 (de) | 2019-01-31 |
US20190035734A1 (en) | 2019-01-31 |
US20220173042A1 (en) | 2022-06-02 |
US20200126915A1 (en) | 2020-04-23 |
CN109326554B (zh) | 2022-02-01 |
US11251127B2 (en) | 2022-02-15 |
CN109326554A (zh) | 2019-02-12 |
KR102087183B1 (ko) | 2020-03-11 |
TW201911435A (zh) | 2019-03-16 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TWI738894B (zh) | 半導體結構及其形成方法 | |
US9412648B1 (en) | Via patterning using multiple photo multiple etch | |
TWI581326B (zh) | 積體電路中的蝕刻停止層 | |
KR101757520B1 (ko) | 집적회로 구조물 및 그 제조방법 | |
US9679804B1 (en) | Multi-patterning to form vias with straight profiles | |
US11594419B2 (en) | Reduction of line wiggling | |
US11488857B2 (en) | Semiconductor device and method of manufacture using a contact etch stop layer (CESL) breakthrough process | |
TWI610399B (zh) | 積體電路結構及其製造方法 | |
US20200135552A1 (en) | High breakdown voltage inter-metal dielectric layer | |
TWI780550B (zh) | 半導體結構、半導體裝置及其形成方法 | |
US6576545B1 (en) | Semiconductor devices with dual nature capping/ARC layers on fluorine doped silica glass inter-layer dielectrics and method of forming capping/ARC layers | |
US9130022B2 (en) | Method of back-end-of-line (BEOL) fabrication, and devices formed by the method | |
CN113782486B (zh) | 半导体结构及其形成方法 | |
TWI730142B (zh) | 內連線結構的製造方法 | |
US20230041753A1 (en) | Semiconductor Device and Method of Manufacture | |
US20230178379A1 (en) | Film deposition for patterning process |