US11362096B2 - Semiconductor device structure and method for forming the same - Google Patents

Semiconductor device structure and method for forming the same Download PDF

Info

Publication number
US11362096B2
US11362096B2 US16/838,198 US202016838198A US11362096B2 US 11362096 B2 US11362096 B2 US 11362096B2 US 202016838198 A US202016838198 A US 202016838198A US 11362096 B2 US11362096 B2 US 11362096B2
Authority
US
United States
Prior art keywords
gate stack
gate
layer
semiconductor
spacer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
US16/838,198
Other versions
US20210202497A1 (en
Inventor
Ta-Chun Lin
Kuo-Hua Pan
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Assigned to TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD. reassignment TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: PAN, KUO-HUA, LIN, TA-CHUN
Priority to US16/838,198 priority Critical patent/US11362096B2/en
Priority to DE102020110792.7A priority patent/DE102020110792B4/en
Priority to KR1020200084679A priority patent/KR102414339B1/en
Priority to CN202011563607.2A priority patent/CN113053890A/en
Priority to TW109146158A priority patent/TWI776329B/en
Publication of US20210202497A1 publication Critical patent/US20210202497A1/en
Priority to US17/839,047 priority patent/US20220320116A1/en
Publication of US11362096B2 publication Critical patent/US11362096B2/en
Application granted granted Critical
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • H01L27/1108
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8221Three dimensional integrated circuits stacked in different levels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02603Nanowires
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/82385Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different shapes, lengths or dimensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823864Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823878Complementary field-effect transistors, e.g. CMOS isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0688Integrated circuits having a three-dimensional layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0922Combination of complementary transistors having a different structure, e.g. stacked CMOS, high-voltage and low-voltage CMOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66553Unipolar field-effect transistors with an insulated gate, i.e. MISFET using inside spacers, permanent or not
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78618Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • H10B10/12Static random access memory [SRAM] devices comprising a MOSFET load element
    • H10B10/125Static random access memory [SRAM] devices comprising a MOSFET load element the MOSFET being a thin film transistor [TFT]
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • H10B10/18Peripheral circuit regions

Definitions

  • Semiconductor devices are used in a variety of electronic applications, such as personal computers, cell phones, digital cameras, and other electronic equipment. Semiconductor devices are typically fabricated by sequentially depositing insulating or dielectric layers, conductive layers, and semiconductive layers of material over a semiconductor substrate, and patterning the various material layers using lithography to form circuit components and elements thereon. Many integrated circuits are typically manufactured on a single semiconductor wafer, and individual dies on the wafer are singulated by sawing between the integrated circuits along a scribe line. The individual dies are typically packaged separately, in multi-chip modules, for example, or in other types of packaging.
  • FIGS. 1A-1F, 1G-1, 1G-2, 1H-1, 1H-2, 1L-1, 1L-2, 1J, 1K-1, 1K-2, 1L-1 , 1 L- 2 , 1 M- 1 , 1 M- 2 , 1 N- 1 show perspective representations of various stages of forming a semiconductor device structure, in accordance with some embodiments of the disclosure.
  • FIGS. 2A-2Q show perspective representations of various stages of forming a semiconductor device structure, in accordance with some embodiments of the disclosure.
  • FIGS. 3A-3Q show perspective representations of various stages of forming a semiconductor device structure, in accordance with some embodiments of the disclosure.
  • FIGS. 4A-4Q show perspective representations of various stages of forming a semiconductor device structure, in accordance with some embodiments of the disclosure.
  • first and second features are formed in direct contact
  • additional features may be formed between the first and second features, such that the first and second features may not be in direct contact
  • present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.
  • the nanostructure transistor for example, gate all around (GAA) transistor structures described below may be patterned by any suitable method.
  • the structures may be patterned using one or more photolithography processes, including double-patterning or multi-patterning processes.
  • double-patterning or multi-patterning processes combine photolithography and self-aligned processes, allowing patterns to be created that have, for example, pitches smaller than what is otherwise obtainable using a single, direct photolithography process.
  • a sacrificial layer is formed over a substrate and patterned using a photolithography process. Spacers are formed alongside the patterned sacrificial layer using a self-aligned process. The sacrificial layer is then removed, and the remaining spacers may then be used to pattern the GAA device.
  • a nanostructure device for example, gate-all-around (GAA), includes a device that has its gate structure, or portions thereof, formed on four-sides of a channel region (e.g., surrounding a portion of a channel region).
  • the channel region of a nanostructure device may include nanostructure channels, for example, nanowire channels, bar-shaped channels, and/or other suitable channel configurations.
  • the channel region of a GAA device may have multiple horizontal nanostructures (such as horizontal nanowires or horizontal bars) vertically spaced, making the GAA device a stacked horizontal GAA (S-HGAA) device.
  • the GAA devices may have one or more nanostructures channel regions (e.g. nanowires, nanosheets) associated with a single, contiguous gate structure, or multiple gate structures.
  • nanostructures channel regions e.g. nanowires, nanosheets
  • One of ordinary skill may recognize other examples of semiconductor devices that may benefit from aspects of the present disclosure.
  • FIGS. 1A-1Q show perspective representations of various stages of forming a semiconductor device structure 100 a , in accordance with some embodiments of the disclosure.
  • the semiconductor device structure may include a static random access memory (SRAM) and/or logic circuits, passive components (such as resistors, capacitors, and inductors), and active components (such as p-type field effect transistors (PFETs), n-type FETs (NFETs), multi-gate FETs), metal-oxide semiconductor field effect transistors (MOSFETs), complementary metal-oxide semiconductor (CMOS) transistors, bipolar transistors, high voltage transistors, high frequency transistors, other memory cells, and combinations thereof.
  • SRAM static random access memory
  • PFETs p-type field effect transistors
  • NFETs n-type FETs
  • MOSFETs metal-oxide semiconductor field effect transistors
  • CMOS complementary metal-oxide semiconductor
  • a substrate 110 is provided.
  • the substrate 110 may be made of silicon or other semiconductor materials. Alternatively or additionally, the substrate 110 may include other elementary semiconductor materials such as germanium.
  • the substrate 110 is made of a compound semiconductor such as silicon carbide, gallium arsenic, indium arsenide, or indium phosphide.
  • the substrate 110 is made of an alloy semiconductor such as silicon germanium, silicon germanium carbide, gallium arsenic phosphide, or gallium indium phosphide.
  • the substrate 110 includes an epitaxial layer.
  • the substrate 110 has an epitaxial layer overlying a bulk semiconductor.
  • a first sacrificial layer 120 is formed on the substrate 110 .
  • the first sacrificial layer 120 may be epitaxially grown on the substrate 110 , such that the first sacrificial layer 120 forms a crystalline layer.
  • the first sacrificial layer 120 and the substrate 110 have different materials and/or components, such that the first sacrificial layer 120 and the substrate 110 have different etching rates.
  • the first sacrificial layer 120 is made of silicon germanium (SiGe).
  • the germanium percentage (atomic percentage) of the first sacrificial layer 120 is in a range between about 40 percent and about 60 percent, while higher or lower germanium percentages may be used. It is noted that the values recited throughout the description are examples, and may be changed to different values.
  • the thickness of the first sacrificial layer 120 is in a range between about 20 nm to about 100 nm.
  • a first semiconductor stack 130 is formed over the first sacrificial layer 120 .
  • the first semiconductor stack 130 includes a first fin structure 132 and a semiconductor layer 134 .
  • the first fin structure 132 is formed over the first sacrificial layer 120 .
  • the first fin structure 132 is made of silicon (Si).
  • the first fin structure 132 is made of silicon that is free from germanium (Ge).
  • the first fin structure 132 is substantially pure silicon layers, for example, with a germanium percentage lower than about 1 percent.
  • the first fin structure 132 may be intrinsic, which are not doped with p-type and n-type impurities.
  • the semiconductor layer 134 is formed over the first fin structure 132 .
  • the semiconductor layer 134 is made of SiGe layers having a germanium percentage lower than the germanium percentage in the first sacrificial layer 120 .
  • the germanium percentage of the semiconductor layer 134 is in a range between about 20 percent and about 30 percent.
  • a difference between the germanium percentages of the first sacrificial layer 120 and the germanium percentage of the semiconductor layer 134 may be greater than about 20 percent or higher.
  • the thickness of the semiconductor layer 134 is in a range between about 10 nm and about 20 nm.
  • a second sacrificial layer 140 is formed on the first semiconductor stack 130 .
  • the second sacrificial layer 140 may be epitaxially grown on the first semiconductor stack 130 , such that the second sacrificial layer 140 forms a crystalline layer.
  • the second sacrificial layer 140 and the substrate 110 have different materials and/or components, such that the second sacrificial layer 140 and the substrate 110 have different etching rates.
  • the second sacrificial layer 140 and the first sacrificial layer 120 have substantially the same material and/or component, such that the second sacrificial layer 140 and the first sacrificial layer 120 have substantially the same etching rate.
  • the second sacrificial layer 140 is made of silicon germanium (SiGe).
  • the germanium percentage (atomic percentage) of the second sacrificial layer 140 is in a range between about 40 percent and about 60 percent, while higher or lower germanium percentages may be used. It is noted that the values recited throughout the description are examples, and may be changed to different values.
  • the thickness of the second sacrificial layer 140 is in a range between about 20 nm to about 100 nm.
  • a second semiconductor stack 150 is formed over the second sacrificial layer 140 through epitaxy, such that the second semiconductor stack 150 forms crystalline layers.
  • the second semiconductor stack 150 includes a number of semiconductor layers 152 and 154 stacked alternatively.
  • the semiconductor layers 152 can be SiGe layers having a germanium percentage lower than the germanium percentage in the second sacrificial layer 140 .
  • the germanium percentage of the semiconductor layers 152 is in a range between about 20 percent and about 30 percent.
  • a difference between the germanium percentage of the second sacrificial layer 140 and the germanium percentage of the semiconductor layers 152 may be greater than about 20 percent or higher.
  • the thickness of each of the semiconductor layers 152 is in a range between about 10 nm and about 20 nm.
  • the semiconductor layers 154 may be pure silicon layers that are free from germanium.
  • the semiconductor layers 154 may also be substantially pure silicon layers, for example, with a germanium percentage lower than about 1 percent.
  • the semiconductor layers 154 may be intrinsic, which are not doped with p-type and n-type impurities. There may be two, three, four, or more of the semiconductor layers 154 .
  • the thickness of each of the semiconductor layers 154 is in a range between about 3 nm and about 10 nm.
  • the semiconductor layers 154 can be silicon germanium or germanium for p-type semiconductor device, or can be III-V materials, such as InAs, InGaAs, InGaAsSb, GaAs, InPSb, or another suitable material.
  • a patterned hard mask 160 is formed over the second semiconductor stack 150 .
  • the patterned hard mask 160 is made of silicon nitride, silicon oxynitride, silicon carbide, silicon carbo-nitride, or the like.
  • the patterned hard mask 160 covers a portion of the second semiconductor stack 150 while leaves another portion of the second semiconductor stack 150 uncovered.
  • the substrate 110 , the first sacrificial layer 120 , the first semiconductor stack 130 , the second sacrificial layer 140 , and the second semiconductor stack 150 are patterned by using the patterned hard mask 160 to form a semiconductor strip 210 , in accordance with some embodiments of the disclosure.
  • trenches 202 are formed.
  • the width W of the semiconductor strip 210 is in a range of about 10 nm to about 100 nm.
  • an insulating material is formed over the substrate 110 and over the semiconductor strip 210 .
  • a portion of the insulating material is removed to form an isolation structure 220 , in accordance with some embodiments.
  • the top portion of the semiconductor strip 210 is above the isolation structure 220 .
  • the insulating material is made of silicon oxide, silicon nitride, silicon oxynitride (SiON), another applicable insulating material, or a combination thereof.
  • the insulating material is formed by a LPCVD process, plasma enhanced CVD (PECVD) process, high density plasma CVD (HDP-CVD) process, high aspect ratio process (HARP) process, flowable CVD (FCVD) process, atomic layer deposition (ALD) process, another suitable method, or a combination thereof.
  • a dummy dielectric layer 230 is conformally formed to cover the semiconductor strip 210 and the top surface of the isolation layer 220 . Afterwards, a portion of the dummy dielectric layer 230 is removed. The dummy dielectric layer 230 can be used to protect the semiconductor strip 210 from being damaged by the subsequent processing (e.g., subsequent formation of the dummy gate structure).
  • the dummy dielectric layer 230 includes silicon dioxide, silicon nitride, a high-k dielectric material or another suitable material.
  • the dummy dielectric layer 230 is deposited by an ALD process, a CVD process, a subatmospheric CVD (SACVD) process, a flowable CVD process, a PVD process, or another suitable process.
  • a first dummy gate layer 310 is formed on the isolation structure 220 and at least on opposite sides of the semiconductor strip 210 .
  • the first dummy gate layer 310 includes polycrystalline silicon (polysilicon).
  • the first dummy gate layer 310 is formed by various process operations such as deposition, planarazation, etching, as well as other suitable processing operations.
  • the deposition processes includes CVD (including both low-pressure CVD and plasma-enhanced CVD), PVD, ALD, thermal oxidation, e-beam evaporation, another suitable deposition technique, or a combination thereof.
  • a planarization process such as a chemical mechanical planarization (CMP) process, may then be performed to expose the top surface of the dummy dielectric layer 230 .
  • CMP chemical mechanical planarization
  • the CMP process may remove portions of the first dummy gate layer 310 overlying the semiconductor strip 210 and may planarize the top surface of the structure. Then, an etching back process is performed to reduce the thickness of the first dummy gate layer 310 until the top surface of the first dummy gate layer 310 is substantially leveled with the top surface, the bottom surface, or intermediate level of the second sacrificial layer 140 .
  • the etching process includes dry etching (e.g., RIE etching), wet etching, and/or other etching methods.
  • An etch stop layer 320 is formed on the first dummy gate layer 310 .
  • the top surface of the etch stop layer 320 is substantially leveled with the top surface of the second sacrificial layer 240 .
  • the etch stop layer 320 is made of silicon dioxide.
  • the etch stop layer 320 is formed by implanting the oxygen ions into portions of the first dummy gate layer 310 beneath the top surface of the first dummy gate layer 310 , and performing a thermal operation (such as a thermal operation) to anneal the first dummy gate layer 310 .
  • the etch stop layer 320 can be made of silicon dioxide.
  • the anneal process is a rapid thermal annealing (RTA) process, laser spike annealing (LSA) process, or another suitable annealing process.
  • the etch stop layer 320 is made of dielectric materials such as oxide, SiN, SiOCN, and is formed by a deposition and then etching back process.
  • a second dummy gate layer 330 is formed on the etch stop layer 320 and covers the semiconductor strip 210 .
  • the second dummy gate layer 330 includes polycrystalline silicon (polysilicon).
  • the second dummy gate layer 330 is formed by various process operations, such as deposition, planarization, as well as other suitable processing operations.
  • the deposition processes includes CVD (including both low-pressure CVD and plasma-enhanced CVD), PVD, ALD, thermal oxidation, e-beam evaporation, or another suitable deposition technique, or a combination thereof.
  • a planarization process such as a CMP process, may then be performed. The CMP process may remove portions of the second dummy gate layer 330 and may planarize the top surface of the structure.
  • a patterned hard mask 340 is formed over the second dummy gate layer 330 .
  • the patterned hard mask 340 is made of silicon nitride, silicon oxynitride, silicon carbide, silicon carbo-nitride, or the like.
  • the patterned hard mask 340 covers a portion of the second dummy gate layer 330 while leaves another portion of the second dummy gate layer 330 uncovered.
  • the first dummy gate layer 310 , the etch stop layer 320 and the second dummy gate layer 330 are patterned by using the patterned hard mask 340 as a mask to form at least one dummy gate stack 410 crossing the semiconductor strip 210 , in accordance with some embodiments of the disclosure.
  • the dummy gate stack 410 covers a portion of the semiconductor strip 210 and leaves other portions of the semiconductor strip 210 uncovered.
  • the portion of the semiconductor strip 210 covered by the dummy gate stack 410 can be referred to as a channel region of the semiconductor strip 210
  • the portions of the semiconductor strip 210 uncovered by the dummy gate stack 410 can be referred to as source/drain regions of the semiconductor strip 210 .
  • the length L of the dummy gate stack 410 is in a range of about 5 nm to about 500 nm.
  • a number of gate spacers 420 are respectively formed on sidewalls of the dummy gate stack 410 .
  • the gate spacers 420 may include a seal spacer and a main spacer (not shown).
  • the gate spacers 420 include one or more dielectric materials, such as silicon oxide, silicon nitride, silicon oxynitride, SiCN, SiCxOyNz, or a combination thereof.
  • the gate spacers 420 can be formed by using a deposition method, such as plasma enhanced chemical vapor deposition (PECVD), low-pressure chemical vapor deposition (LPCVD), sub-atmospheric chemical vapor deposition (SACVD), or the like.
  • PECVD plasma enhanced chemical vapor deposition
  • LPCVD low-pressure chemical vapor deposition
  • SACVD sub-atmospheric chemical vapor deposition
  • the formation of the gate spacers 420 may include blanket forming spacer layers, and then performing etching operations to remove the horizontal portions of the space
  • a portion of the semiconductor strip 210 and the dummy dielectric layer 230 uncovered by the dummy gate stack 410 and the gate spacers 420 are removed, in accordance with some embodiments of the disclosure. As such, the channel portion of the semiconductor strip 210 and the top surface of the substrate 110 are exposed.
  • the semiconductor strip 210 and the dummy dielectric layer 230 are etched by using the dummy gate stack 410 and the gate spacers 420 as an etching mask.
  • the etching process includes a dry etching process, a wet etching process, or a combination thereof.
  • An insulation layer 510 is formed on the top surface of the substrate 110 .
  • the insulating layer 510 is made of silicon dioxide, dielectric materials, or other applicable materials.
  • oxygen ions are implanted into portions of the substrate 110 beneath the top surface of the substrate 110 , and a thermal operation (such as a thermal operation) is performed to anneal the substrate 110 . Therefore, a reaction occurs between the implanted oxygen and the surrounding substrate 110 to provide the insulation layer 510 on the substrate 110 . That is, the insulating layer 510 can be made of silicon dioxide.
  • the anneal process includes a rapid thermal annealing (RTA) process, laser spike annealing (LSA) process, or another suitable annealing process.
  • RTA rapid thermal annealing
  • LSA laser spike annealing
  • the insulating layer 510 is made of dielectric materials, and formed by a deposition and then etching back process. In some embodiments, the thickness of the insulating layer 510 is in a range of about 20 nm to about 100 nm.
  • the first sacrificial layer 120 and the second sacrificial layer 140 are removed, in accordance with some embodiments of the disclosure.
  • an opening 122 is formed between the first semiconductor stack 130 and the substrate 110
  • an opening 142 is formed between the second semiconductor stack 150 and the first semiconductor stack 130 .
  • the first sacrificial layer 120 and the second sacrificial layer 140 are removed by performing an etching process.
  • the etching process can be a wet etch process which has high etching selectivity between germanium and silicon. Since the materials of the first sacrificial layer 120 and the second sacrificial layer 140 are different from the first semiconductor stack 130 and the second semiconductor stack 150 , etching rates thereof are different, and the first semiconductor stack 130 and the second semiconductor stack 150 remain in place while the first sacrificial layer 120 and the second sacrificial layer 140 are removed.
  • a first inner gate spacer 610 is formed in the opening 122 and between the first semiconductor stack 130 and the substrate 110
  • a second inner gate spacer 620 is formed in the opening 142 and between the second semiconductor stack 150 and the first semiconductor stack 130 .
  • the second inner gate spacer 620 is not formed but the first inner gate spacer 610 is formed.
  • the top surface of the first inner gate spacer 610 is higher than the top surface of the isolation structure 220 .
  • the top surface of the first inner gate spacer 610 is higher than the top surface of the insulating layer 510 .
  • the first inner gate spacer 610 and the second inner gate spacer 620 may be made of silicon nitride, oxide, metal oxide, or other dielectric such as SiCxOyNz.
  • the first inner gate spacer 610 and the second inner gate spacer 620 are formed by performing an ALD process or another suitable process.
  • a trimming process is performed after the first inner gate spacer 610 and the second inner gate spacer 620 are deposited to remove portions of the first inner gate spacer 610 and the second inner gate spacer 620 outside the openings 122 and 142 .
  • FIGS. 1G-1 and 1G-2 show a cross-sectional view taking along line A-A′ of FIG. 1G-1 .
  • the semiconductor layer 134 and the semiconductor layers 152 are removed by performing an etching process.
  • the etching process is a wet etch process which has high etching selectivity between germanium and silicon. Since the materials of the semiconductor layer 134 and semiconductor layers 152 are different from the first fin structure 132 and the semiconductor layers 154 , etching rates thereof are different, and the first fin structure 132 and the semiconductor layers 154 remain in place while portions of the semiconductor layer 134 and the semiconductor layers 152 are trimmed.
  • the first sidewall spacers 710 and the second sidewall spacers 720 are respectively made of silicon nitride, oxide, metal oxide, or other dielectric such as SiCxOyNz. In some embodiments, the first sidewall spacers 710 and the second sidewall spacers 720 are formed by an ALD process or another applicable process. In some embodiments, a trimming process is performed after the first sidewall spacers 710 and the second sidewall spacers 720 are deposited in order to remove portions of the first sidewall spacers 710 and the second sidewall spacers 720 external to the gate spacers 420 .
  • FIGS. 1H-1 and 1H-2 a number of first epitaxy structures 810 are formed on opposite sidewalls of the first fin structure 132 and the semiconductor layers 154 , in accordance with some embodiments of the disclosure.
  • FIG. 1H-2 shows a cross-sectional view taking along line A-A′ of FIG. 1H-1 .
  • the second sidewall spacers 720 are between two adjacent semiconductor layers 154 .
  • first epitaxy structures 810 are not in direct contact with the outer sidewall of the second sidewall spacers 720 , and therefore there is an air space 811 between the first epitaxy structures 810 and the second sidewall spacers 720 .
  • the first epitaxy structures 810 is in direct contact with the outer sidewall of the first fin structure 132 .
  • the interface between the first epitaxy structures 810 and the first fin structure 132 is substantially aligned with the outer sidewall of the first sidewall spacer 710 .
  • the first epitaxy structures 810 are formed by performing a selectively growing process. Therefore, the first epitaxy structures 810 are in contact with the first fin structure 132 .
  • the first epitaxy structures 810 are formed by epitaxially growing a semiconductor material.
  • the semiconductor material includes single element semiconductor material such as germanium (Ge) or silicon (Si); or compound semiconductor materials, such as gallium arsenide (GaAs), aluminum gallium arsenide (AlGaAs); or semiconductor alloy, such as silicon germanium (SiGe), gallium arsenide phosphide (GaAsP).
  • the first epitaxy structures 810 have suitable crystallographic orientations (e.g., a (100), (110), or (111) crystallographic orientation).
  • the first epitaxy structures 810 include source/drain epitaxial structures.
  • the first epitaxy structures 810 may include an epitaxially growing silicon germanium (SiGe).
  • the epitaxial processes include CVD deposition techniques (e.g., vapor-phase epitaxy (VPE) and/or ultra-high vacuum CVD (UHV-CVD)), molecular beam epitaxy, and/or another suitable process.
  • the germanium concentration is tuned if the first epitaxy structures 810 are made of silicon germanium.
  • the first epitaxy structures 810 is doped, for example, boron-doped, and the dopant concentration can be tuned.
  • the sizes and/or the shapes of the first epitaxy structures 810 can be tuned.
  • the first epitaxy structures 810 can be cube-shaped as shown in FIG. 1H-1 , or be diamond shaped in some other embodiments.
  • a bottom interlayer dielectric (ILD) 910 is formed on the isolation structures 220 , the insulation layer 510 , and at least on opposite sides of the dummy gate stack 410 , in accordance with some embodiments of the disclosure.
  • FIG. 1I-2 shows a cross-sectional view taking along line A-A′ of FIG. 1I-1 .
  • the bottom ILD 910 surrounds the first epitaxy structures 810 in contact with the first fin structure 132 and exposes the first epitaxy structures 810 in contact with the semiconductor layers 154 .
  • the bottom ILD 910 includes amorphous silicon (a-Si) or amorphous germanium (a-Ge).
  • the bottom ILD 910 is formed by various process operations, such as deposition, planarazation, etching, as well as other suitable processing operations.
  • the deposition processes includes CVD, PVD, ALD, thermal oxidation, e-beam evaporation, another suitable deposition technique, or a combination thereof.
  • a planarization process such as a CMP process, may then be performed to expose the top surface of the patterned hard mask 340 .
  • the CMP process may remove portions of the bottom ILD 910 overlying the patterned hard mask 340 and may planarize the top surface of the structure.
  • an etch back process is performed to reduce the thickness of the bottom ILD 910 until the top surface of the bottom ILD 910 is substantially leveled with the top surface, the bottom surface, or intermediate level of the second inner gate spacer 620 .
  • the etching process includes dry etching (e.g., RIE etching), wet etching, and/or other etching methods.
  • the first epitaxy structures 810 in contact with the semiconductor layers 154 is also be removed. As such, the semiconductor layers 154 and the second sidewall spacers 720 are exposed.
  • an etch stop layer 920 is formed on the bottom ILD 910 .
  • oxygen ions are implanted into portions of the bottom ILD 910 beneath the top surface of the bottom ILD 910 , and a thermal operation (such as a thermal operation) is performed to anneal the bottom ILD 910 . Therefore, a reaction occurs between the implanted oxygen and the surrounding the bottom ILD 910 to provide the etch stop layer 920 on the bottom ILD 910 .
  • the etch stop layer 920 can be made of silicon dioxide.
  • the anneal process includes a rapid thermal annealing (RTA) process, laser spike annealing (LSA) process, or another suitable annealing process.
  • the etch stop layer 920 is made of dielectric materials such as oxide, SiN, SiOCN, and is formed by a deposition and then etching back process.
  • a number of second epitaxy structures 930 are formed on opposite sidewalls of the semiconductor layers 154 by performing, for example, a selectively growing process. Therefore, the second epitaxy structures 930 are in direct contact with the semiconductor layers 154 and separated from the first epitaxy structures 810 .
  • the second epitaxy structures 930 are formed by epitaxially growing a semiconductor material.
  • the semiconductor material includes single element semiconductor material such as germanium (Ge) or silicon (Si); or compound semiconductor materials, such as gallium arsenide (GaAs), aluminum gallium arsenide (AlGaAs); or semiconductor alloy, such as silicon germanium (SiGe), gallium arsenide phosphide (GaAsP).
  • the second epitaxy structures 930 have suitable crystallographic orientations (e.g., a (100), (110), or (111) crystallographic orientation).
  • the second epitaxy structures 930 include source/drain epitaxial structures.
  • the second epitaxy structures 930 may include an epitaxially growing silicon phosphorus (SiP) or silicon carbon (SiC).
  • the epitaxial processes include CVD deposition techniques (e.g., vapor-phase epitaxy (VPE) and/or ultra-high vacuum CVD (UHV-CVD)), molecular beam epitaxy, and/or another suitable process.
  • the second epitaxy structures 930 is doped, for example, P-doped or As-doped, and the dopant concentration can be tuned.
  • the sizes and/or the shapes of the second epitaxy structures 930 can be tuned.
  • the second epitaxy structures 930 can be cube-shaped as shown in FIG. 1I-1 , or be diamond shaped in some other embodiments.
  • the first epitaxy structures 810 are p-type epitaxy structures, and the second epitaxy structures 930 are n-type epitaxy structures. In some other embodiments, the first epitaxy structures 810 can be n-type epitaxy structures, and the second epitaxy structures 930 can be p-type epitaxy structures. Embodiments fall within the present disclosure if the first epitaxy structures 810 and the second epitaxy structures 930 are different types or the same type of epitaxy structures. The first epitaxy structures 810 and the second epitaxy structures 930 are formed by different steps, and therefore the first epitaxy structures 810 and the second epitaxy structures 930 can have different types.
  • a top ILD 1010 is formed on the etch stop layer 920 and at least on opposite sides of the dummy gate stack 410 , in accordance with some embodiments of the disclosure.
  • the top ILD 1010 includes materials different from the bottom ILD 910 .
  • the top ILD 1010 is made of dielectric materials, such as an oxide layer.
  • the top ILD 1010 is formed by various process operations such as deposition, planarization, as well as other suitable processing operations.
  • the deposition processes includes Flowable Chemical Vapor Deposition (FCVD), low-pressure CVD, plasma-enhanced CVD, PVD, ALD, thermal oxidation, e-beam evaporation, another suitable deposition technique, or a combination thereof.
  • a planarization process such as a CMP process, may then be performed to expose the top surface of the second dummy gate layer 330 .
  • the CMP process may remove portions of the top ILD 1010 and the patterned hard mask 340 overlying the second dummy gate layer 330 and may planarize the top surface of the structure.
  • FIG. 1K-2 is a cross-sectional view taking along line B-B′ of FIG. 1K-1 .
  • the patterned hard mask 1110 is made of silicon nitride, silicon oxynitride, silicon carbide, silicon carbo-nitride, or the like.
  • the patterned hard mask 1110 covers portions of the second dummy gate layer 330 , the gate spacers 420 , and the top ILD 1010 while leaves other portions of the second dummy gate layer 330 , the gate spacers 420 , and the top ILD 1010 uncovered.
  • the patterned hard mask 1110 covers the first epitaxy structures 810 , the second epitaxy structures 930 , and the semiconductor stacks 130 and 150 .
  • the second dummy gate layer 330 and the etch stop layer 320 are patterned by using the patterned hard mask 1110 as a mask to form at least one trench 1120 between the gate spacers 420 . Therefore, the trench 1120 exposes the first dummy gate layer 310 . However, the trench 1120 does not expose the dummy dielectric layer 230 . It should be noted that the size of the trench 1120 is controlled by control the size of the patterned hard mask 1110 .
  • a third inner gate spacer 1130 is formed at least on sidewalls of the second dummy gate layer 330 and the etch stop layer 320 exposed by the trench 1120 .
  • the third inner gate spacers 1130 are formed by the following steps.
  • a dielectric layer is firstly conformally formed on the exposed surfaces of the trench 1120 , and then an etching process, such as a dry etching process, is performed to remove portions of the dielectric layer to form the third inner gate spacer 1130 on the sidewalls of the second dummy gate layer 330 and the etch stop layer 320 .
  • the third inner gate spacer 1130 may be formed on the sidewall of the patterned hard mask layer 1110 .
  • the third inner gate spacer 1130 is made of SiN, oxide, metal oxide, or other dielectric such as SiCxOyNz. In some embodiments, the third inner gate spacer 1130 is formed by performing an ALD process or another suitable process.
  • FIGS. 1L-1 and 1L-2 are removed to form a recess 1210 , in accordance with some embodiments of the disclosure.
  • FIG. 1L-2 is a cross-sectional view taking along line B-B′ of FIG. 1L-1 .
  • a first gate stack 1220 is formed and/or filled in the recess 1210 . Therefore, the first gate stack 1220 encircles (wraps) the first fin structure 132 .
  • the first gate stack 1220 includes a first portion and a second portion.
  • the first portion is next to sidewall of the second gate stack 1320 ( FIG. 1M-2 ), and the second portion is directly below the second gate stack 1320 .
  • the first gate stack 1220 has a L-shaped structure.
  • a first etching process is performed to remove the remaining first dummy gate layer 310 , and the portion of the dummy dielectric layer 230 is exposed.
  • a second etching process is then performed to remove the exposed dummy dielectric layer 230 , and the first fin structure 132 and the semiconductor layer 134 are exposed.
  • a third etching process is performed to selectively remove the semiconductor layer 134 but not the first fin structure 132 . Therefore, the first fin structure 132 is formed on the first inner gate spacer 610 .
  • the recess 1210 is defined by the isolation structures 220 , the first inner gate spacer 610 , the etch stop layer 320 , the second inner gate spacer 620 , the third inner gate spacer 1130 , and the gate spacers 420 .
  • the gate spacers 420 are disposed on opposite sides of the first gate stack 1220 .
  • the first gate stack 1220 includes a high-k gate dielectric layer 1220 a , a work function metal layer 1220 b , and a gate electrode 1220 c .
  • the high-k gate dielectric layer 1220 a is conformally formed in the recess 1210 . Therefore, the high-k gate dielectric layer 1220 a is in contact with the isolation structures 220 , the first inner gate spacer 610 , the etch stop layer 320 , the second inner gate spacer 620 , the third inner gate spacer 1130 , the gate spacers 420 , and the semiconductor layer 134 .
  • the high-k gate dielectric layer 1220 a surrounds the first fin structure 132 .
  • the high-k gate dielectric layer 1220 a includes a material such as hafnium oxide (HfO 2 ), zirconium oxide (ZrO 2 ) or lanthanum oxide (La 2 O 3 ).
  • the high-k gate dielectric layer 1220 a may be formed by performing an ALD process or another suitable process.
  • the work function metal layer 1220 b is conformally formed on the high-k gate dielectric layer, and the work function metal layer 1220 b surrounds the semiconductor layer 134 in some embodiments.
  • the work function metal layer 1220 b may include materials such as TiN, TaN, TiAlSi, TiSiN, TiAl, TaAl, or another suitable material.
  • the work function metal layer 1220 b may be formed by performing an ALD process or another suitable process.
  • the gate electrode 1220 c fills the remaining space in the recess 1210 . Therefore, the work function metal layer 1220 b is in contact with and between the high-k gate dielectric layer 1220 a and the gate electrode 1220 c .
  • the gate electrode 1220 c may include material such as tungsten or aluminum.
  • a planarization process such as a CMP process, may then be performed to remove portions of the high-k gate dielectric layer 1220 a , the work function metal layer 1220 b , and the gate electrode 1220 c outside the recess 1210 to form the first gate stack 1220 .
  • the first gate stack 1220 is a p-type metal gate stack.
  • FIG. 1M-2 is a cross-sectional view taking along line B-B′ of FIG. 1M-1 .
  • a second gate stack 1320 is formed and/or filled in the recess 1310 . Therefore, the second gate stack 1320 encircles (wraps) the semiconductor layers 154 and is formed over the first gate stack 1220 .
  • the gate spacers 420 are disposed on opposite sides of the second gate stack 1320 .
  • the second gate stack 1320 wraps the semiconductor layers 154 (or nanostructures 154 ), the second sidewall spacers 720 are between the second gate stack 1320 and the second epitaxy structures 930 to prevent leakage between second gate stack 1320 and source/drain (S/D) regions.
  • the remaining second dummy gate layer 330 , the remaining dummy dielectric layer 230 , and the semiconductor layers 152 are removed by performing multiple etching processes.
  • a first etching process is performed to remove the remaining second dummy gate layer 330 , and the remaining dummy dielectric layer 230 is exposed.
  • a second etching process is then performed to remove the exposed dummy dielectric layer 230 , and the semiconductor layers 152 and 154 are exposed.
  • a third etching process is performed to selectively remove the semiconductor layers 152 but not the semiconductor layers 154 . As such, the semiconductor layers 154 remain, are spaced apart from each other, and are suspended over the second inner gate spacer 620 .
  • the thickness of the etch stop layer 320 can be thick enough to prevent the etch stop layer 320 from removing during the second etching process.
  • the second gate stack 1320 includes a high-k gate dielectric layer 1320 a , a work function metal layer 1320 b , and a gate electrode 1320 c .
  • the high-k gate dielectric layer 1320 a is conformally formed in the recess 1310 .
  • the high-k gate dielectric layer 1320 a is in contact with the etch stop layer 320 , the second inner gate spacer 620 , the third inner gate spacer 1130 , the gate spacers 420 , and the semiconductor layers 154 .
  • the high-k gate dielectric layer 1320 a surrounds the semiconductor layers 154 , and spaces between the semiconductor layers 154 are still left after the deposition of the high-k gate dielectric layer 1320 a .
  • the high-k gate dielectric layer 1320 a includes a material such as hafnium oxide (HFO 2 ), zirconium oxide (ZrO 2 ) or lanthanum oxide (La 2 O 3 ).
  • the high-k gate dielectric layer 1320 a may be formed by performing an ALD process or another suitable process.
  • the work function metal layer 1320 b is conformally formed on the high-k gate dielectric layer, and the work function metal layer 1320 b surrounds the semiconductor layers 154 in some embodiments.
  • the work function metal layer 1320 b may include materials such as TiN, TaN, TiAlSi, TiSiN, TiAl, TaAl, or another suitable material.
  • the work function metal layer 1320 b may be formed by performing an ALD process or another suitable process.
  • the gate electrode 1320 c fills the remaining space in the recess. Therefore, the work function metal layer 1320 b is in contact with and between the high-k gate dielectric layer 1320 a and the gate electrode 1320 c .
  • the gate electrode 1320 c may include material such as tungsten or aluminum.
  • a planarization process such as a chemical mechanical planarization (CMP) process, may then be performed to remove portions of the high-k gate dielectric layer 1320 a , the work function metal layer 1320 b , and the gate electrode 1320 c outside the recess 1310 to form the second gate stack 1320 .
  • CMP chemical mechanical planarization
  • the second gate stack 1320 is an n-type metal gate stack.
  • the first gate stack 1220 is a p-type metal gate stack
  • the second gate stack 1320 is an n-type metal gate stack.
  • the first gate stack 1220 is an n-type metal gate stack
  • the second gate stack 1320 is a p-type metal gate stack.
  • the first fin structure 132 , the first epitaxy structures 810 , and the first gate stack 1220 form a first device 10 , such as a p-type FET (PFET).
  • the semiconductor layers 154 , the second epitaxy structures 930 , and the second gate stack 1320 form a second device 20 , such as an n-type FET (NFET).
  • the first device 10 is a FinFET device, and the second device 20 is horizontal-gate-all-around (HGAA) device. Therefore, the first device 10 and the second device 20 are stacked on the substrate 110 , and the first device 10 is disposed between the second device 20 and the substrate 110 .
  • the channels of the first device 10 (i.e., the first fin structure 132 ) is disposed between the substrate 110 and the channels of the second device 20 (i.e., the semiconductor layers 154 ).
  • one of the first device 10 and the second device 20 is a logic circuit device, and the other is the static random access memory (SRAM).
  • the first device 10 is separated from the second device 20 by the etch stop layer 320 , the second inner gate spacer 620 , and the third inner gate spacer 1130 . More specifically, the etch stop layer 320 , the second inner gate spacer 620 , and the third inner gate spacer 1130 are disposed between and in contact with the first gate stack 1220 and the second gate stack 1320 . That is, the first gate stack 1220 is isolated from the second gate stack 1320 . Furthermore, the second inner gate spacer 620 is disposed between the first fin structure 132 and the semiconductor layers 154 .
  • the second inner gate spacer 620 includes a top surface in direct contact with the second gate stack 1320 and a bottom surface in direct contact with the first gate stack 1220 .
  • the second gate stack 1320 is disposed over the first gate stack 1220 . More specifically, the thickness T 1 of the first gate stack 1220 is greater than the thickness T 2 of the second gate stack 1320 .
  • the bottom surface of the first gate stack 1220 is lower than the bottom surface of the second gate stack 1320 .
  • the topmost surface of the first gate stack 1220 is higher than the bottom surface of the second gate stack 1320 .
  • the top surface 1222 of the first gate stack 1220 is substantially coplanar with the top surface 1322 of the second gate stack 1320 .
  • the isolation structure 220 is disposed between the first gate stack 1220 and the substrate 110
  • the second gate stack 1320 is disposed over the isolation structure 220 and is spaced from the isolation structure 220 by the first gate stack 1220 .
  • the top ILD 1010 is patterned to form a number of trenches 1410 on opposite sides of the first gate stack 1220 , in accordance with some embodiments of the disclosure.
  • a number of contact spacers 1420 are formed at least on sidewalls of the remaining top ILD 1010 and the etch stop layer 920 exposed by the trenches 1410 .
  • a dielectric layer is conformally formed on the exposed surfaces of the trenches 1410 , and then an etching process, such as a dry etching process, is performed to remove portions of the dielectric layer to form the contact spacers 1420 on the sidewalls of the remaining top ILD 1010 and the etch stop layer 920 .
  • the contact spacers 1420 are formed by SiN, oxide, metal oxide, or other dielectric such as SiCxOyNz.
  • the contact spacers 1420 are formed by performing an ALD process or another suitable process.
  • the remaining top ILD 1010 is recessed to form a number of trenches 1510 on opposite sides of the second gate stack 1320 , in accordance with some embodiments of the disclosure.
  • the trenches 1510 respectively expose at least portions of the second epitaxy structures 930 .
  • the remaining top ILD 1010 is partially removed, such that a portion of the top ILD 1010 remains on the etch stop layer 920 as shown in FIG. 1O . In some other embodiments, the remaining top ILD 1010 is removed, such that the etch stop layer 920 is exposed by the trenches 1510 .
  • the remaining bottom ILD 910 is removed to form a number of recesses 1610 on opposite sides of the first gate stack 1220 , in accordance with some embodiments of the disclosure.
  • the respective recesses 1610 expose the first epitaxy structures 810 , the isolation structures 220 , and the insulation layers 510 .
  • the first epitaxy structures 810 and the second epitaxy structures 930 undergo a silicide process to form a first metal silicide layer 1705 surrounding the first epitaxy structures 810 and a second metal silicide layer 1715 surrounding a portion of the second epitaxy structures 930 , in accordance with some embodiments of the disclosure.
  • the first metal silicide layer 1705 and the second metal silicide layer 1715 are formed by the following steps.
  • a thin metal layer (not shown) is firstly formed, and the substrate 110 is then heated, which causes silicon and germanium to react with the metal where contacted.
  • the thin metal layer is made of nickel, platinum, palladium, vanadium, titanium, cobalt, tantalum, ytterbium, zirconium, and combinations thereof.
  • the first metal silicide layer 1705 is formed between the first epitaxy structure 810 and the metal layer
  • the second metal silicide layer 1715 is formed between the second epitaxy structures 930 and the metal layer.
  • the un-reacted metal layer is selectively removed through the use of an etchant that attacks metal but does not attack the first metal silicide layer 1705 and the second metal silicide layer 1715 .
  • first contacts 1710 are respectively formed in the recesses 1610
  • second contacts 1720 are respectively formed in the trenches 1510 and over the first contacts 1710 . Therefore, the first contacts 1710 are in contact with and wrap the first metal silicide layer 1705 while the second contacts 1720 are in contact with and wrap the second metal silicide layer 1715 .
  • the first contacts 1710 and the second contacts 1720 are respectively made of metal, such as tungsten (W), cobalt (Co), ruthenium (Ru), aluminum (Al), copper (Cu), or another suitable material.
  • a planarization process such as a chemical mechanical planarization (CMP) process, may then be performed. Therefore, the top surface of the first contact 1710 and the top surface of the second contact 1720 are coplanar.
  • CMP chemical mechanical planarization
  • the first contact 1710 is separated from the second contact 1720 by the etch stop layer 920 , the top ILD 1010 , and the contact spacer 1420 . More specifically, the etch stop layer 920 , the top ILD 1010 , and the contact spacer 1420 are disposed between and in contact with the first contact 1710 and the second contact 1720 . That is, the first contact 1710 is isolated from the second contact 1720 . Furthermore, the etch stop layer 920 is disposed between the first epitaxy structure 810 and the second epitaxy structure 930 .
  • the first device 10 such as a p-type FET (PFET) is formed over the substrate 110
  • the second device 20 such as n-type FET (NFET) is formed over the first device 10
  • the first device 10 includes a first fin structure having Si as a channel
  • the second device includes a number of nanostructures (nanowires, nanosheets, etc.) having a number of Si channels.
  • the semiconductor device structure 100 a includes a FinFET device and a nanostructure GAA device stacked together.
  • the second inner gate spacer 620 and third inner gate spacer 1130 between channels of the first device 10 and the second device 20 , the channels can be stacked together while isolated from each other.
  • the second inner gate spacer 620 and third inner gate spacer 1130 further isolates the gate stacks of the first device 10 and the second device 20 .
  • the first contact 1710 and the second contact 1720 of the first device 10 and the second device 20 are stacked together and isolated from each other. With this configuration, the layout area of the semiconductor device 100 a is reduced and the device density thereof is increased.
  • FIGS. 2A-2Q show perspective representations of various stages of forming a semiconductor device structure 100 b , in accordance with some embodiments of the disclosure. Some processes and materials used to form the semiconductor device structure 100 a are similar to, or the same as, those used to form the semiconductor device structure 100 b and are not repeated herein.
  • the semiconductor device structure 100 b of FIG. 2Q is similar to the semiconductor device structure 100 a of FIG. 1Q , the differences between FIG. 2Q and FIG. 1Q are that the first fin structure 132 is made of SiGe to form the first device 10 (such as p-type FET (PFET)) in FIG. 2Q .
  • PFET p-type FET
  • FIG. 2A is similar to, or the same as FIG. 1A , the first fin structure 132 is formed over the first sacrificial layer 120 , and the semiconductor layer 134 is formed over the first fin structure 132 .
  • the first fin structure 132 is made of SiGe layers having a germanium percentage lower than the germanium percentage in the first sacrificial layer 120 .
  • the germanium percentage of the first fin structure 132 is in a range between about 20 percent and about 30 percent. Furthermore, a difference between the germanium percentages of the first sacrificial layer 120 and the germanium percentage of the first fin structure 132 may be greater than about 20 percent or higher.
  • the semiconductor layer 134 is made of silicon (Si). In some embodiments, the semiconductor layer 134 is made of silicon that is free from germanium (Ge). In some embodiments, the semiconductor layer 134 is substantially pure silicon layers, for example, with a germanium percentage lower than about 1 percent. Furthermore, the semiconductor layer 134 may be intrinsic, which are not doped with p-type and n-type impurities.
  • the semiconductor strip 210 is formed, and the isolation structure 220 is formed, in accordance with some embodiments of the disclosure. Subsequently, the dummy dielectric layer 230 is conformally formed to cover the semiconductor strip 210 .
  • the first dummy gate layer 310 , the etch stop layer 320 and the second dummy gate layer 330 are formed on the semiconductor strip 210 , in accordance with some embodiments of the disclosure.
  • the patterned hard mask 340 is formed over the second dummy gate layer 330 .
  • the dummy gate stack 410 crossing the semiconductor strip 210 is formed, and then the gate spacers 420 are formed on sidewalls of the dummy gate stack 410 , in accordance with some embodiments of the disclosure.
  • a portion of the semiconductor strip 210 and the dummy dielectric layer 230 uncovered by the dummy gate stack 410 and the gate spacers 420 are removed to expose the channel portion of the semiconductor strip 210 and the top surface of the substrate 110 , in accordance with some embodiments of the disclosure. Subsequently, the insulation layer 510 is formed on the top surface of the substrate 110 .
  • the first sacrificial layer 120 and the second sacrificial layer 140 are removed to form the opening 122 and the opening 142 , in accordance with some embodiments of the disclosure.
  • the first inner gate spacer 610 is formed in the opening 122 and the second inner gate spacer 620 is formed in the opening 142 .
  • the semiconductor layer 134 and the semiconductor layers 152 are trimmed to form the first sidewall spacers 710 on opposite sides of the semiconductor layer 134 , and the second sidewall spacers 720 on opposite sides of the semiconductor layers 152 , in accordance with some embodiments of the disclosure.
  • the second inner gate spacer 620 has the top surface in direct contact with the bottom surfaces of the second sidewall spacers 720 and the bottom surface in direct contact with the top surfaces of the first sidewall spacers 710 .
  • the materials of the semiconductor layer 134 and semiconductor layers 152 are different from the first fin structure 132 and the semiconductor layers 154 , etching rates thereof are different, and the first fin structure 132 and the semiconductor layers 154 remain in place while the semiconductor layer 134 and the semiconductor layers 152 are trimmed.
  • the first epitaxy structures 810 are formed on opposite sidewalls of the first fin structure 132 and the semiconductor layers 154 , in accordance with some embodiments of the disclosure.
  • the bottom ILD 910 is formed to surround the first epitaxy structures 810 in contact with the first fin structure 132 and exposes the first epitaxy structures 810 in contact with the semiconductor layers 154 , in accordance with some embodiments of the disclosure.
  • the etch stop layer 920 is formed on the bottom ILD 910
  • the second epitaxy structures 930 are formed on opposite sidewalls of the semiconductor layers 154 .
  • the top ILD 1010 is formed on the etch stop layer 920 and at least on opposite sides of the dummy gate stack 410 , in accordance with some embodiments of the disclosure.
  • the second dummy gate layer 330 and the etch stop layer 320 are patterned by using the patterned hard mask 1110 as a mask to form the trench 1120 between the gate spacers 420 , in accordance with some embodiments of the disclosure.
  • the third inner gate spacer 1130 is formed at least on sidewalls of the second dummy gate layer 330 and the etch stop layer 320 exposed by the trench 1120 .
  • the remaining first dummy gate layer 310 , a portion of the dummy dielectric layer 230 , and the semiconductor layer 134 are removed to form the recess 1210 , in accordance with some embodiments of the disclosure.
  • the first gate stack 1220 is filled in the recess 1210 . Since the first fin structure 132 and the semiconductor layer 134 are made of different materials, the semiconductor layer 134 is removed, but the first fin structure 132 remains.
  • the first gate stack 1220 wraps the first fin structure 132 .
  • FIGS. 2M-1-2Q are the same as, or similar to the process performed on FIGS. 1M-1-1Q , and thus are omitted for brevity.
  • the first device 10 such as a p-type FET (PFET) is formed over the substrate 110
  • the second device 20 such as n-type FET (NFET) is formed over the first device 10
  • the first device 10 includes a first fin structure 132 having SiGe as a channel
  • the second device 20 includes a number of nanostructures (such as semiconductor layers 154 ) having a number of Si channels.
  • FIGS. 3A-3Q show perspective representations of various stages of forming a semiconductor device structure 100 c , in accordance with some embodiments of the disclosure. Some processes and materials used to form the semiconductor device structure 100 c are similar to, or the same as, those used to form the semiconductor device structure 100 a and are not repeated herein.
  • the first semiconductor stack 130 is formed over the first sacrificial layer 120 .
  • the first semiconductor stack 130 includes the semiconductor layers 132 and the semiconductor layers 134 .
  • the second sacrificial layer 140 is formed on the first semiconductor stack 130 .
  • a second fin structure 155 is formed over the second sacrificial layer 140 .
  • the patterned hard mask 160 is formed over the second fin structure 155 .
  • each of the semiconductor layers 132 is made of Si
  • each of the semiconductor layers 134 is made of SiGe.
  • the second fin structure 155 is made of silicon (Si). In some embodiments, the second fin structure 155 is made of silicon that is free from germanium (Ge). In some embodiments, the second fin structure 155 is substantially pure silicon layers, for example, with a germanium percentage lower than about 1 percent. Furthermore, the second fin structure 155 may be intrinsic, which are not doped with p-type and n-type impurities.
  • the substrate 110 , the first sacrificial layer 120 , the first semiconductor stack 130 , the second sacrificial layer 140 , the second fin structure 155 are patterned by using the patterned hard mask 160 to form a semiconductor strip 210 , in accordance with some embodiments of the disclosure.
  • the first dummy gate layer 310 , the etch stop layer 320 and the second dummy gate layer 330 are formed on the semiconductor strip 210 , in accordance with some embodiments of the disclosure.
  • the patterned hard mask 340 is formed over the second dummy gate layer 330 .
  • the dummy gate stack 410 crossing the semiconductor strip 210 is formed, and then the gate spacers 420 are formed on sidewalls of the dummy gate stack 410 , in accordance with some embodiments of the disclosure.
  • a portion of the semiconductor strip 210 and the dummy dielectric layer 230 uncovered by the dummy gate stack 410 and the gate spacers 420 are removed to expose the channel portion of the semiconductor strip 210 and the top surface of the substrate 110 , in accordance with some embodiments of the disclosure. Subsequently, the insulation layer 510 is formed on the top surface of the substrate 110 .
  • the first sacrificial layer 120 and the second sacrificial layer 140 are removed to form the opening 122 and the opening 142 , in accordance with some embodiments of the disclosure.
  • the first inner gate spacer 610 is formed in the opening 122 and the second inner gate spacer 620 is formed in the opening 142 .
  • the semiconductor layers 134 are trimmed to form the first sidewall spacers 710 on opposite sides of the semiconductor layer 134 , in accordance with some embodiments of the disclosure.
  • the materials of the semiconductor layers 134 are different from the second fin structure 155 and the semiconductor layers 132 , etching rates thereof are different, and the second fin structure 155 and the semiconductor layers 132 remain in place while the semiconductor layers 134 are trimmed.
  • the first epitaxy structures 810 are formed on opposite sidewalls of the semiconductor layers 132 and the second fin structure 155 , in accordance with some embodiments of the disclosure. It should be noted that, since the first epitaxy structures 810 are not in direct contact with the first sidewall spacers 710 , and therefore there is an air space 811 between the first epitaxy structures 810 and the first sidewall spacers 710 .
  • the bottom ILD 910 is formed to surround the first epitaxy structures 810 in contact with the semiconductor layers 134 and exposes the first epitaxy structures 810 in contact with the second fin structure 155 , in accordance with some embodiments of the disclosure.
  • the etch stop layer 920 is formed on the bottom ILD 910
  • the second epitaxy structures 930 are formed on opposite sidewalls of the second fin structure 155 .
  • the top ILD 1010 is formed on the etch stop layer 920 and at least on opposite sides of the dummy gate stack 410 , in accordance with some embodiments of the disclosure.
  • the second dummy gate layer 330 and the etch stop layer 320 are patterned by using the patterned hard mask 1110 as a mask to form the trench 1120 between the gate spacers 420 , in accordance with some embodiments of the disclosure.
  • the third inner gate spacer 1130 is formed at least on sidewalls of the second dummy gate layer 330 and the etch stop layer 320 exposed by the trench 1120 .
  • the remaining first dummy gate layer 310 , a portion of the dummy dielectric layer 230 , and the semiconductor layers 132 are removed to form the recess 1210 , in accordance with some embodiments of the disclosure.
  • the first gate stack 1220 is filled in the recess 1210 . Since the semiconductor layers 132 and the semiconductor layers 134 are made of different materials, the semiconductor layers 134 are removed, but the semiconductor layers 132 remain.
  • the first gate stack 1220 wraps the semiconductor layers 132 .
  • the remaining second dummy gate layer 330 and the remaining dummy dielectric layer 230 are removed to form the recess 1310 , in accordance with some embodiments of the disclosure.
  • the second fin structure 155 is exposed.
  • the second gate stack 1320 is formed and/or filled in the recess 1310 . Therefore, the second gate stack 1320 encircles (wraps) the second fin structure 155 and is formed over the first gate stack 1220 .
  • the second fin structure 155 is in direct contact with the second gate stack 1320 .
  • the first gate stack 1220 is a n-type metal gate stack
  • the second gate stack 1320 is an p-type metal gate stack.
  • the first gate stack 1220 is an p-type metal gate stack
  • the second gate stack 1320 is a n-type metal gate stack.
  • the semiconductor layers 132 , the first epitaxy structures 810 , and the first gate stack 1220 form the first device 10 , such as an n-type FET (NFET).
  • the second fin structure 155 , the second epitaxy structures 930 , and the second gate stack 1320 form the second device 20 , such as a p-type FET (PFET).
  • the first device 10 has a horizontal-gate-all-around (HGAA) device structure and the second device 20 has a FinFET device. Therefore, the first device 10 and the second device 20 are stacked on the substrate 110 , and the first device 10 is disposed between the second device 20 and the substrate 110 .
  • FIGS. 3N-3Q are the same as, or similar to the process performed on FIGS. 1N-1-1Q , and thus are omitted for brevity.
  • the first device 10 such as a n-type FET (NFET) is formed over the substrate 110
  • the second device 20 such as p-type FET (PFET) is formed over the first device 10
  • the first device 10 includes a number of nanostructures (or called nanowires, nanosheets) having a number of Si channels
  • the second device 20 includes the second fin structure 155 having Si as a channel.
  • the first device 10 includes a number of nanostructures (nanowires) having a number of Si channels
  • the second device 20 includes the second fin structure having SiGe as a channel.
  • FIGS. 4A-4Q show perspective representations of various stages of forming a semiconductor device structure 100 d , in accordance with some embodiments of the disclosure. Some processes and materials used to form the semiconductor device structure 100 d are similar to, or the same as, those used to form the semiconductor device structure 100 c and are not repeated herein.
  • the first semiconductor stack 130 is formed over the first sacrificial layer 120
  • the second semiconductor stack 150 is formed over the second sacrificial layer 140 .
  • the second semiconductor stack 150 includes the semiconductor layer 152 and the semiconductor layer 154 .
  • the semiconductor layer 152 is made of SiGe, and the semiconductor layer 154 is made of Si. In some embodiments, the thickness of the semiconductor layer 152 is smaller than the semiconductor layer 154 .
  • the substrate 110 , the first sacrificial layer 120 , the first semiconductor stack 130 , the second sacrificial layer 140 , the second semiconductor stack 150 are patterned by using the patterned hard mask 160 to form the semiconductor strip 210 , in accordance with some embodiments of the disclosure.
  • the first dummy gate layer 310 , the etch stop layer 320 and the second dummy gate layer 330 are formed on the semiconductor strip 210 , in accordance with some embodiments of the disclosure.
  • the patterned hard mask 340 is formed over the second dummy gate layer 330 .
  • the dummy gate stack 410 crossing the semiconductor strip 210 is formed, and then the gate spacers 420 are formed on sidewalls of the dummy gate stack 410 , in accordance with some embodiments of the disclosure.
  • a portion of the semiconductor strip 210 and the dummy dielectric layer 230 uncovered by the dummy gate stack 410 and the gate spacers 420 are removed to expose the channel portion of the semiconductor strip 210 and the top surface of the substrate 110 , in accordance with some embodiments of the disclosure. Subsequently, the insulation layer 510 is formed on the top surface of the substrate 110 .
  • the first sacrificial layer 120 and the second sacrificial layer 140 are removed to form the opening 122 and the opening 142 , in accordance with some embodiments of the disclosure.
  • the first inner gate spacer 610 is formed in the opening 122 and the second inner gate spacer 620 is formed in the opening 142 .
  • the semiconductor layers 134 are trimmed to form the first sidewall spacers 710 on opposite sides of the semiconductor layer 134 , the semiconductor layers 152 is trimmed to form the second sidewall spacer 720 below the semiconductor layer 154 , in accordance with some embodiments of the disclosure.
  • the materials of the semiconductor layer 152 and semiconductor layers 134 are different from the semiconductor layer 154 and the semiconductor layers 132 , etching rates thereof are different, and the semiconductor layer 154 and the semiconductor layers 132 remain in place while the semiconductor layer 152 and the semiconductor layers 134 are trimmed.
  • the first epitaxy structures 810 are formed on opposite sidewalls of the semiconductor layers 132 and the semiconductor layer 154 , in accordance with some embodiments of the disclosure.
  • the bottom ILD 910 is formed to surround the first epitaxy structures 810 in contact with the semiconductor layers 132 and exposes the first epitaxy structures 810 in contact with the second semiconductor layer 154 , in accordance with some embodiments of the disclosure.
  • the etch stop layer 920 is formed on the bottom ILD 910
  • the second epitaxy structures 930 are formed on opposite sidewalls of the second semiconductor layer 154 .
  • the top ILD 1010 is formed on the etch stop layer 920 and at least on opposite sides of the dummy gate stack 410 , in accordance with some embodiments of the disclosure.
  • the second dummy gate layer 330 and the etch stop layer 320 are patterned using the patterned hard mask 1110 as a mask to form the trench 1120 between the gate spacers 420 , in accordance with some embodiments of the disclosure.
  • the third inner gate spacer 1130 is formed at least on sidewalls of the second dummy gate layer 330 and the etch stop layer 320 exposed by the trench 1120 .
  • the remaining first dummy gate layer 310 , a portion of the dummy dielectric layer 230 , and the semiconductor layer 134 are removed to form the recess 1210 , in accordance with some embodiments of the disclosure.
  • the first gate stack 1220 is filled in the recess 1210 .
  • the remaining second dummy gate layer 330 , the remaining dummy dielectric layer 230 , and the semiconductor layer 152 are removed to form the recess 1310 , in accordance with some embodiments of the disclosure.
  • the semiconductor layer 154 is exposed.
  • the second gate stack 1320 is formed and/or filled in the recess 1310 . Therefore, the second gate stack 1320 encircles (wraps) the semiconductor layer 154 and is formed over the first gate stack 1220 .
  • the first gate stack 1220 is a n-type metal gate stack
  • the second gate stack 1320 is an p-type metal gate stack.
  • the first gate stack 1220 is an p-type metal gate stack
  • the second gate stack 1320 is a n-type metal gate stack.
  • the semiconductor layers 132 , the first epitaxy structures 810 , and the first gate stack 1220 form the first device 10 , such as an n-type FET (NFET).
  • the semiconductor layer 154 , the second epitaxy structures 930 , and the second gate stack 1320 form the second device 20 , such as a p-type FET (PFET).
  • the first device 10 has a horizontal-gate-all-around (HGAA) device, and the second device 20 also has a horizontal-gate-all-around (HGAA) device. Therefore, the first device 10 and the second device 20 are stacked on the substrate 110 , and the first device 10 is disposed between the second device 20 and the substrate 110 .
  • FIGS. 4N-4Q are the same as, or similar to the process performed on FIGS. 3A-3Q , and thus are omitted for brevity.
  • the first device 10 such as a n-type FET (NFET) is formed over the substrate 110
  • the second device 20 such as p-type FET (PFET) is formed over the first device 10
  • the first device 10 includes a number of nanostructures (or called nanowires or nanosheets) having a number of Si channels
  • the second device 20 includes a nanostructure (or called nanowire or nanosheet) having a Si channel.
  • the first device 10 includes a number of nanostructures (or called nanowires or nanosheets) having a number of Si channels
  • the second device 20 includes a nanostructure (nanowire) having a SiGe channel.
  • Embodiments for forming a semiconductor device structure and method for formation the same are provided.
  • the FinFET device and the GAA device are stacked in a vertical direction.
  • the FinFET device and the GAA device are isolated from each other by inner gate spacers.
  • a semiconductor device structure in some embodiments, includes a first device formed over a substrate, and the first device comprises a first fin structure.
  • the semiconductor device structure also includes a second device formed over or below the first device, and the second device comprises a plurality of second nanostructures stacked in a vertical direction.
  • a semiconductor device structure includes a first device formed over a substrate, and the first device comprises a first fin structure.
  • the semiconductor device structure includes a first gate stack wrapped around the first fin structure, and a second device formed over the first device.
  • the second device includes a plurality of second nanostructures stacked in a vertical direction.
  • the semiconductor device structure includes a second gate stack wrapped around the second nanostructures, and a topmost surface of the first gate stack is higher than a bottom surface of the second gate stack.
  • a semiconductor device structure in some embodiments, includes a plurality of first nanostructures formed over a substrate, and the first nanostructures stacked in a vertical direction.
  • the semiconductor device structure also includes a first gate stack wrapped around the first nanostructures, and a first inner gate spacer formed over the first nanostructures.
  • the semiconductor device structure further includes a second fin structure formed over the first inner gate spacer, and a second gate stack wrapped around the second fin structure.

Abstract

A semiconductor device structure is provided. The semiconductor device structure includes a first device formed over a substrate, and the first device includes a first fin structure. The semiconductor device structure also includes a second device formed over or below the first device, and the second device includes a plurality of second nanostructures stacked in a vertical direction.

Description

CROSS REFERENCE TO RELATED APPLICATIONS
This application claims the benefit of U.S. Provisional Application No. 62/954,191 filed on Dec. 27, 2019, the entirety of which is incorporated by reference herein.
BACKGROUND
Semiconductor devices are used in a variety of electronic applications, such as personal computers, cell phones, digital cameras, and other electronic equipment. Semiconductor devices are typically fabricated by sequentially depositing insulating or dielectric layers, conductive layers, and semiconductive layers of material over a semiconductor substrate, and patterning the various material layers using lithography to form circuit components and elements thereon. Many integrated circuits are typically manufactured on a single semiconductor wafer, and individual dies on the wafer are singulated by sawing between the integrated circuits along a scribe line. The individual dies are typically packaged separately, in multi-chip modules, for example, or in other types of packaging.
As the semiconductor industry has progressed into nanometer technology process nodes in pursuit of higher device density, higher performance, and lower costs, challenges from both fabrication and design issues have resulted in the development of three-dimensional designs.
Although existing semiconductor devices have generally been adequate for their intended purposes, they have not been entirely satisfactory in all respects.
BRIEF DESCRIPTION OF THE DRAWINGS
Aspects of the present disclosure are best understood from the following detailed description when read with the accompanying figures. It should be noted that, in accordance with the standard practice in the industry, various features are not drawn to scale. In fact, the dimensions of the various features may be arbitrarily increased or reduced for clarity of discussion.
FIGS. 1A-1F, 1G-1, 1G-2, 1H-1, 1H-2, 1L-1, 1L-2, 1J, 1K-1, 1K-2, 1L-1, 1L-2, 1M-1, 1M-2, 1N-1, show perspective representations of various stages of forming a semiconductor device structure, in accordance with some embodiments of the disclosure.
FIGS. 2A-2Q show perspective representations of various stages of forming a semiconductor device structure, in accordance with some embodiments of the disclosure.
FIGS. 3A-3Q show perspective representations of various stages of forming a semiconductor device structure, in accordance with some embodiments of the disclosure.
FIGS. 4A-4Q show perspective representations of various stages of forming a semiconductor device structure, in accordance with some embodiments of the disclosure.
DETAILED DESCRIPTION
The following disclosure provides many different embodiments, or examples, for implementing different features of the subject matter provided. Specific examples of components and arrangements are described below to simplify the present disclosure. These are, of course, merely examples and are not intended to be limiting. For example, the formation of a first feature over or on a second feature in the description that follows may include embodiments in which the first and second features are formed in direct contact, and may also include embodiments in which additional features may be formed between the first and second features, such that the first and second features may not be in direct contact. In addition, the present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.
Some variations of the embodiments are described. Throughout the various views and illustrative embodiments, like reference numbers are used to designate like elements. It should be understood that additional operations can be provided before, during, and after the method, and some of the operations described can be replaced or eliminated for other embodiments of the method.
The nanostructure transistor, for example, gate all around (GAA) transistor structures described below may be patterned by any suitable method. For example, the structures may be patterned using one or more photolithography processes, including double-patterning or multi-patterning processes. Generally, double-patterning or multi-patterning processes combine photolithography and self-aligned processes, allowing patterns to be created that have, for example, pitches smaller than what is otherwise obtainable using a single, direct photolithography process. For example, in one embodiment, a sacrificial layer is formed over a substrate and patterned using a photolithography process. Spacers are formed alongside the patterned sacrificial layer using a self-aligned process. The sacrificial layer is then removed, and the remaining spacers may then be used to pattern the GAA device.
The present disclosure is related to semiconductor devices and methods of forming the same. More particularly, the present disclosure is related to a nanostructure device integrated with a FinFET device. A nanostructure device, for example, gate-all-around (GAA), includes a device that has its gate structure, or portions thereof, formed on four-sides of a channel region (e.g., surrounding a portion of a channel region). The channel region of a nanostructure device may include nanostructure channels, for example, nanowire channels, bar-shaped channels, and/or other suitable channel configurations. In some embodiments, the channel region of a GAA device may have multiple horizontal nanostructures (such as horizontal nanowires or horizontal bars) vertically spaced, making the GAA device a stacked horizontal GAA (S-HGAA) device. Further, the GAA devices may have one or more nanostructures channel regions (e.g. nanowires, nanosheets) associated with a single, contiguous gate structure, or multiple gate structures. One of ordinary skill may recognize other examples of semiconductor devices that may benefit from aspects of the present disclosure.
Embodiments for forming a semiconductor device structure 100 a are provided. FIGS. 1A-1Q show perspective representations of various stages of forming a semiconductor device structure 100 a, in accordance with some embodiments of the disclosure. The semiconductor device structure may include a static random access memory (SRAM) and/or logic circuits, passive components (such as resistors, capacitors, and inductors), and active components (such as p-type field effect transistors (PFETs), n-type FETs (NFETs), multi-gate FETs), metal-oxide semiconductor field effect transistors (MOSFETs), complementary metal-oxide semiconductor (CMOS) transistors, bipolar transistors, high voltage transistors, high frequency transistors, other memory cells, and combinations thereof.
As shown in FIG. 1A, a substrate 110 is provided. The substrate 110 may be made of silicon or other semiconductor materials. Alternatively or additionally, the substrate 110 may include other elementary semiconductor materials such as germanium. In some embodiments, the substrate 110 is made of a compound semiconductor such as silicon carbide, gallium arsenic, indium arsenide, or indium phosphide. In some embodiments, the substrate 110 is made of an alloy semiconductor such as silicon germanium, silicon germanium carbide, gallium arsenic phosphide, or gallium indium phosphide. In some embodiments, the substrate 110 includes an epitaxial layer. For example, the substrate 110 has an epitaxial layer overlying a bulk semiconductor.
A first sacrificial layer 120 is formed on the substrate 110. The first sacrificial layer 120 may be epitaxially grown on the substrate 110, such that the first sacrificial layer 120 forms a crystalline layer. In some embodiments, the first sacrificial layer 120 and the substrate 110 have different materials and/or components, such that the first sacrificial layer 120 and the substrate 110 have different etching rates. In some embodiments, the first sacrificial layer 120 is made of silicon germanium (SiGe). The germanium percentage (atomic percentage) of the first sacrificial layer 120 is in a range between about 40 percent and about 60 percent, while higher or lower germanium percentages may be used. It is noted that the values recited throughout the description are examples, and may be changed to different values. In some embodiments, the thickness of the first sacrificial layer 120 is in a range between about 20 nm to about 100 nm.
A first semiconductor stack 130 is formed over the first sacrificial layer 120. The first semiconductor stack 130 includes a first fin structure 132 and a semiconductor layer 134. The first fin structure 132 is formed over the first sacrificial layer 120. In some embodiments, the first fin structure 132 is made of silicon (Si). In some embodiments, the first fin structure 132 is made of silicon that is free from germanium (Ge). In some embodiments, the first fin structure 132 is substantially pure silicon layers, for example, with a germanium percentage lower than about 1 percent. Furthermore, the first fin structure 132 may be intrinsic, which are not doped with p-type and n-type impurities.
The semiconductor layer 134 is formed over the first fin structure 132. In some embodiments, the semiconductor layer 134 is made of SiGe layers having a germanium percentage lower than the germanium percentage in the first sacrificial layer 120. In some embodiments, the germanium percentage of the semiconductor layer 134 is in a range between about 20 percent and about 30 percent. Furthermore, a difference between the germanium percentages of the first sacrificial layer 120 and the germanium percentage of the semiconductor layer 134 may be greater than about 20 percent or higher. In some embodiments, the thickness of the semiconductor layer 134 is in a range between about 10 nm and about 20 nm.
A second sacrificial layer 140 is formed on the first semiconductor stack 130. The second sacrificial layer 140 may be epitaxially grown on the first semiconductor stack 130, such that the second sacrificial layer 140 forms a crystalline layer. In some embodiments, the second sacrificial layer 140 and the substrate 110 have different materials and/or components, such that the second sacrificial layer 140 and the substrate 110 have different etching rates. Furthermore, the second sacrificial layer 140 and the first sacrificial layer 120 have substantially the same material and/or component, such that the second sacrificial layer 140 and the first sacrificial layer 120 have substantially the same etching rate. In some embodiments, the second sacrificial layer 140 is made of silicon germanium (SiGe). The germanium percentage (atomic percentage) of the second sacrificial layer 140 is in a range between about 40 percent and about 60 percent, while higher or lower germanium percentages may be used. It is noted that the values recited throughout the description are examples, and may be changed to different values. In some embodiments, the thickness of the second sacrificial layer 140 is in a range between about 20 nm to about 100 nm.
A second semiconductor stack 150 is formed over the second sacrificial layer 140 through epitaxy, such that the second semiconductor stack 150 forms crystalline layers. The second semiconductor stack 150 includes a number of semiconductor layers 152 and 154 stacked alternatively. The semiconductor layers 152 can be SiGe layers having a germanium percentage lower than the germanium percentage in the second sacrificial layer 140. In some embodiments, the germanium percentage of the semiconductor layers 152 is in a range between about 20 percent and about 30 percent. Furthermore, a difference between the germanium percentage of the second sacrificial layer 140 and the germanium percentage of the semiconductor layers 152 may be greater than about 20 percent or higher. In some embodiments, the thickness of each of the semiconductor layers 152 is in a range between about 10 nm and about 20 nm.
The semiconductor layers 154 may be pure silicon layers that are free from germanium. The semiconductor layers 154 may also be substantially pure silicon layers, for example, with a germanium percentage lower than about 1 percent. Furthermore, the semiconductor layers 154 may be intrinsic, which are not doped with p-type and n-type impurities. There may be two, three, four, or more of the semiconductor layers 154. In some embodiments, the thickness of each of the semiconductor layers 154 is in a range between about 3 nm and about 10 nm. In some other embodiments, however, the semiconductor layers 154 can be silicon germanium or germanium for p-type semiconductor device, or can be III-V materials, such as InAs, InGaAs, InGaAsSb, GaAs, InPSb, or another suitable material.
A patterned hard mask 160 is formed over the second semiconductor stack 150. In some embodiments, the patterned hard mask 160 is made of silicon nitride, silicon oxynitride, silicon carbide, silicon carbo-nitride, or the like. The patterned hard mask 160 covers a portion of the second semiconductor stack 150 while leaves another portion of the second semiconductor stack 150 uncovered.
Afterwards, as shown in FIG. 1B, the substrate 110, the first sacrificial layer 120, the first semiconductor stack 130, the second sacrificial layer 140, and the second semiconductor stack 150 are patterned by using the patterned hard mask 160 to form a semiconductor strip 210, in accordance with some embodiments of the disclosure. As a result, trenches 202 are formed. In some embodiments, the width W of the semiconductor strip 210 is in a range of about 10 nm to about 100 nm.
Next, an insulating material is formed over the substrate 110 and over the semiconductor strip 210. Next, a portion of the insulating material is removed to form an isolation structure 220, in accordance with some embodiments. As a result, the top portion of the semiconductor strip 210 is above the isolation structure 220.
In some embodiments, the insulating material is made of silicon oxide, silicon nitride, silicon oxynitride (SiON), another applicable insulating material, or a combination thereof. In some embodiments, the insulating material is formed by a LPCVD process, plasma enhanced CVD (PECVD) process, high density plasma CVD (HDP-CVD) process, high aspect ratio process (HARP) process, flowable CVD (FCVD) process, atomic layer deposition (ALD) process, another suitable method, or a combination thereof.
A dummy dielectric layer 230 is conformally formed to cover the semiconductor strip 210 and the top surface of the isolation layer 220. Afterwards, a portion of the dummy dielectric layer 230 is removed. The dummy dielectric layer 230 can be used to protect the semiconductor strip 210 from being damaged by the subsequent processing (e.g., subsequent formation of the dummy gate structure). In some embodiments, the dummy dielectric layer 230 includes silicon dioxide, silicon nitride, a high-k dielectric material or another suitable material. In some embodiments, the dummy dielectric layer 230 is deposited by an ALD process, a CVD process, a subatmospheric CVD (SACVD) process, a flowable CVD process, a PVD process, or another suitable process.
Afterwards, as shown in FIG. 1C, a first dummy gate layer 310 is formed on the isolation structure 220 and at least on opposite sides of the semiconductor strip 210.
In some embodiments, the first dummy gate layer 310 includes polycrystalline silicon (polysilicon). In some embodiments, the first dummy gate layer 310 is formed by various process operations such as deposition, planarazation, etching, as well as other suitable processing operations. The deposition processes includes CVD (including both low-pressure CVD and plasma-enhanced CVD), PVD, ALD, thermal oxidation, e-beam evaporation, another suitable deposition technique, or a combination thereof. A planarization process, such as a chemical mechanical planarization (CMP) process, may then be performed to expose the top surface of the dummy dielectric layer 230. The CMP process may remove portions of the first dummy gate layer 310 overlying the semiconductor strip 210 and may planarize the top surface of the structure. Then, an etching back process is performed to reduce the thickness of the first dummy gate layer 310 until the top surface of the first dummy gate layer 310 is substantially leveled with the top surface, the bottom surface, or intermediate level of the second sacrificial layer 140. In some embodiments, the etching process includes dry etching (e.g., RIE etching), wet etching, and/or other etching methods.
An etch stop layer 320 is formed on the first dummy gate layer 310. In some embodiments, the top surface of the etch stop layer 320 is substantially leveled with the top surface of the second sacrificial layer 240. In some embodiments, the etch stop layer 320 is made of silicon dioxide. In some embodiments, the etch stop layer 320 is formed by implanting the oxygen ions into portions of the first dummy gate layer 310 beneath the top surface of the first dummy gate layer 310, and performing a thermal operation (such as a thermal operation) to anneal the first dummy gate layer 310. Therefore, a reaction occurs between the implanted oxygen and the surrounding the first dummy gate layer 310 to provide the etch stop layer 320 on the first dummy gate layer 310. That is, the etch stop layer 320 can be made of silicon dioxide. In some embodiments, the anneal process is a rapid thermal annealing (RTA) process, laser spike annealing (LSA) process, or another suitable annealing process. In some other embodiments, the etch stop layer 320 is made of dielectric materials such as oxide, SiN, SiOCN, and is formed by a deposition and then etching back process.
A second dummy gate layer 330 is formed on the etch stop layer 320 and covers the semiconductor strip 210. In some embodiments, the second dummy gate layer 330 includes polycrystalline silicon (polysilicon). In some embodiments, the second dummy gate layer 330 is formed by various process operations, such as deposition, planarization, as well as other suitable processing operations. The deposition processes includes CVD (including both low-pressure CVD and plasma-enhanced CVD), PVD, ALD, thermal oxidation, e-beam evaporation, or another suitable deposition technique, or a combination thereof. A planarization process, such as a CMP process, may then be performed. The CMP process may remove portions of the second dummy gate layer 330 and may planarize the top surface of the structure.
A patterned hard mask 340 is formed over the second dummy gate layer 330. In some embodiments, the patterned hard mask 340 is made of silicon nitride, silicon oxynitride, silicon carbide, silicon carbo-nitride, or the like. The patterned hard mask 340 covers a portion of the second dummy gate layer 330 while leaves another portion of the second dummy gate layer 330 uncovered.
Afterwards, as shown in FIG. 1D, the first dummy gate layer 310, the etch stop layer 320 and the second dummy gate layer 330 are patterned by using the patterned hard mask 340 as a mask to form at least one dummy gate stack 410 crossing the semiconductor strip 210, in accordance with some embodiments of the disclosure.
The dummy gate stack 410 covers a portion of the semiconductor strip 210 and leaves other portions of the semiconductor strip 210 uncovered. The portion of the semiconductor strip 210 covered by the dummy gate stack 410 can be referred to as a channel region of the semiconductor strip 210, and the portions of the semiconductor strip 210 uncovered by the dummy gate stack 410 can be referred to as source/drain regions of the semiconductor strip 210. In some embodiments, the length L of the dummy gate stack 410 is in a range of about 5 nm to about 500 nm.
A number of gate spacers 420 are respectively formed on sidewalls of the dummy gate stack 410. The gate spacers 420 may include a seal spacer and a main spacer (not shown). The gate spacers 420 include one or more dielectric materials, such as silicon oxide, silicon nitride, silicon oxynitride, SiCN, SiCxOyNz, or a combination thereof. The gate spacers 420 can be formed by using a deposition method, such as plasma enhanced chemical vapor deposition (PECVD), low-pressure chemical vapor deposition (LPCVD), sub-atmospheric chemical vapor deposition (SACVD), or the like. The formation of the gate spacers 420 may include blanket forming spacer layers, and then performing etching operations to remove the horizontal portions of the spacer layers. The remaining vertical portions of the gate spacer layers form the gate spacers 420.
Afterwards, as shown in FIG. 1E, a portion of the semiconductor strip 210 and the dummy dielectric layer 230 uncovered by the dummy gate stack 410 and the gate spacers 420 are removed, in accordance with some embodiments of the disclosure. As such, the channel portion of the semiconductor strip 210 and the top surface of the substrate 110 are exposed. In some embodiments, the semiconductor strip 210 and the dummy dielectric layer 230 are etched by using the dummy gate stack 410 and the gate spacers 420 as an etching mask. The etching process includes a dry etching process, a wet etching process, or a combination thereof.
An insulation layer 510 is formed on the top surface of the substrate 110. In some embodiments, the insulating layer 510 is made of silicon dioxide, dielectric materials, or other applicable materials. In some embodiments, oxygen ions are implanted into portions of the substrate 110 beneath the top surface of the substrate 110, and a thermal operation (such as a thermal operation) is performed to anneal the substrate 110. Therefore, a reaction occurs between the implanted oxygen and the surrounding substrate 110 to provide the insulation layer 510 on the substrate 110. That is, the insulating layer 510 can be made of silicon dioxide. In some embodiments, the anneal process includes a rapid thermal annealing (RTA) process, laser spike annealing (LSA) process, or another suitable annealing process. In some other embodiments, the insulating layer 510 is made of dielectric materials, and formed by a deposition and then etching back process. In some embodiments, the thickness of the insulating layer 510 is in a range of about 20 nm to about 100 nm.
Afterwards, as shown in FIG. 1F, the first sacrificial layer 120 and the second sacrificial layer 140 are removed, in accordance with some embodiments of the disclosure. As a result, an opening 122 is formed between the first semiconductor stack 130 and the substrate 110, and an opening 142 is formed between the second semiconductor stack 150 and the first semiconductor stack 130.
In some embodiments, the first sacrificial layer 120 and the second sacrificial layer 140 are removed by performing an etching process. In some embodiments, the etching process can be a wet etch process which has high etching selectivity between germanium and silicon. Since the materials of the first sacrificial layer 120 and the second sacrificial layer 140 are different from the first semiconductor stack 130 and the second semiconductor stack 150, etching rates thereof are different, and the first semiconductor stack 130 and the second semiconductor stack 150 remain in place while the first sacrificial layer 120 and the second sacrificial layer 140 are removed.
A first inner gate spacer 610 is formed in the opening 122 and between the first semiconductor stack 130 and the substrate 110, and a second inner gate spacer 620 is formed in the opening 142 and between the second semiconductor stack 150 and the first semiconductor stack 130. In some other embodiments, the second inner gate spacer 620 is not formed but the first inner gate spacer 610 is formed. In some embodiments, the top surface of the first inner gate spacer 610 is higher than the top surface of the isolation structure 220. In some embodiments, the top surface of the first inner gate spacer 610 is higher than the top surface of the insulating layer 510.
The first inner gate spacer 610 and the second inner gate spacer 620 may be made of silicon nitride, oxide, metal oxide, or other dielectric such as SiCxOyNz. In some embodiments, the first inner gate spacer 610 and the second inner gate spacer 620 are formed by performing an ALD process or another suitable process. In some embodiments, a trimming process is performed after the first inner gate spacer 610 and the second inner gate spacer 620 are deposited to remove portions of the first inner gate spacer 610 and the second inner gate spacer 620 outside the openings 122 and 142.
Afterwards, as shown in FIGS. 1G-1 and 1G-2, the semiconductor layer 134 and the semiconductor layers 152 are trimmed to form a number of first sidewall spacers 710 on opposite sides of the semiconductor layer 134, and a number of second sidewall spacers 720 on opposite sides of the semiconductor layers 152, in accordance with some embodiments of the disclosure. FIG. 1G-2 shows a cross-sectional view taking along line A-A′ of FIG. 1G-1.
In some embodiments, the semiconductor layer 134 and the semiconductor layers 152 are removed by performing an etching process. In some embodiments, the etching process is a wet etch process which has high etching selectivity between germanium and silicon. Since the materials of the semiconductor layer 134 and semiconductor layers 152 are different from the first fin structure 132 and the semiconductor layers 154, etching rates thereof are different, and the first fin structure 132 and the semiconductor layers 154 remain in place while portions of the semiconductor layer 134 and the semiconductor layers 152 are trimmed.
In some embodiments, the first sidewall spacers 710 and the second sidewall spacers 720 are respectively made of silicon nitride, oxide, metal oxide, or other dielectric such as SiCxOyNz. In some embodiments, the first sidewall spacers 710 and the second sidewall spacers 720 are formed by an ALD process or another applicable process. In some embodiments, a trimming process is performed after the first sidewall spacers 710 and the second sidewall spacers 720 are deposited in order to remove portions of the first sidewall spacers 710 and the second sidewall spacers 720 external to the gate spacers 420.
Afterwards, as shown in FIGS. 1H-1 and 1H-2, a number of first epitaxy structures 810 are formed on opposite sidewalls of the first fin structure 132 and the semiconductor layers 154, in accordance with some embodiments of the disclosure. FIG. 1H-2 shows a cross-sectional view taking along line A-A′ of FIG. 1H-1. In addition, the second sidewall spacers 720 are between two adjacent semiconductor layers 154.
It should be noted that since the first epitaxy structures 810 are not in direct contact with the outer sidewall of the second sidewall spacers 720, and therefore there is an air space 811 between the first epitaxy structures 810 and the second sidewall spacers 720. In some embodiments, the first epitaxy structures 810 is in direct contact with the outer sidewall of the first fin structure 132. The interface between the first epitaxy structures 810 and the first fin structure 132 is substantially aligned with the outer sidewall of the first sidewall spacer 710.
In some embodiments, the first epitaxy structures 810 are formed by performing a selectively growing process. Therefore, the first epitaxy structures 810 are in contact with the first fin structure 132. The first epitaxy structures 810 are formed by epitaxially growing a semiconductor material. The semiconductor material includes single element semiconductor material such as germanium (Ge) or silicon (Si); or compound semiconductor materials, such as gallium arsenide (GaAs), aluminum gallium arsenide (AlGaAs); or semiconductor alloy, such as silicon germanium (SiGe), gallium arsenide phosphide (GaAsP). The first epitaxy structures 810 have suitable crystallographic orientations (e.g., a (100), (110), or (111) crystallographic orientation). In some embodiments, the first epitaxy structures 810 include source/drain epitaxial structures. In some embodiments, where a PFET device is desired, the first epitaxy structures 810 may include an epitaxially growing silicon germanium (SiGe). The epitaxial processes include CVD deposition techniques (e.g., vapor-phase epitaxy (VPE) and/or ultra-high vacuum CVD (UHV-CVD)), molecular beam epitaxy, and/or another suitable process.
In some embodiments, the germanium concentration is tuned if the first epitaxy structures 810 are made of silicon germanium. In some embodiments, the first epitaxy structures 810 is doped, for example, boron-doped, and the dopant concentration can be tuned. The sizes and/or the shapes of the first epitaxy structures 810 can be tuned. In some embodiments, the first epitaxy structures 810 can be cube-shaped as shown in FIG. 1H-1, or be diamond shaped in some other embodiments.
Afterwards, as shown in FIGS. 1I-1 and 1I-2, a bottom interlayer dielectric (ILD) 910 is formed on the isolation structures 220, the insulation layer 510, and at least on opposite sides of the dummy gate stack 410, in accordance with some embodiments of the disclosure. FIG. 1I-2 shows a cross-sectional view taking along line A-A′ of FIG. 1I-1. The bottom ILD 910 surrounds the first epitaxy structures 810 in contact with the first fin structure 132 and exposes the first epitaxy structures 810 in contact with the semiconductor layers 154.
In some embodiments, the bottom ILD 910 includes amorphous silicon (a-Si) or amorphous germanium (a-Ge). In some embodiments, the bottom ILD 910 is formed by various process operations, such as deposition, planarazation, etching, as well as other suitable processing operations. The deposition processes includes CVD, PVD, ALD, thermal oxidation, e-beam evaporation, another suitable deposition technique, or a combination thereof. A planarization process, such as a CMP process, may then be performed to expose the top surface of the patterned hard mask 340. The CMP process may remove portions of the bottom ILD 910 overlying the patterned hard mask 340 and may planarize the top surface of the structure. Then, an etch back process is performed to reduce the thickness of the bottom ILD 910 until the top surface of the bottom ILD 910 is substantially leveled with the top surface, the bottom surface, or intermediate level of the second inner gate spacer 620. In some embodiments, the etching process includes dry etching (e.g., RIE etching), wet etching, and/or other etching methods.
It should be noted that, during the bottom ILD 910 is etched back, the first epitaxy structures 810 in contact with the semiconductor layers 154 is also be removed. As such, the semiconductor layers 154 and the second sidewall spacers 720 are exposed.
Subsequently, an etch stop layer 920 is formed on the bottom ILD 910. In some embodiments, oxygen ions are implanted into portions of the bottom ILD 910 beneath the top surface of the bottom ILD 910, and a thermal operation (such as a thermal operation) is performed to anneal the bottom ILD 910. Therefore, a reaction occurs between the implanted oxygen and the surrounding the bottom ILD 910 to provide the etch stop layer 920 on the bottom ILD 910. That is, the etch stop layer 920 can be made of silicon dioxide. In some embodiments, the anneal process includes a rapid thermal annealing (RTA) process, laser spike annealing (LSA) process, or another suitable annealing process. In some other embodiments, the etch stop layer 920 is made of dielectric materials such as oxide, SiN, SiOCN, and is formed by a deposition and then etching back process.
A number of second epitaxy structures 930 are formed on opposite sidewalls of the semiconductor layers 154 by performing, for example, a selectively growing process. Therefore, the second epitaxy structures 930 are in direct contact with the semiconductor layers 154 and separated from the first epitaxy structures 810. The second epitaxy structures 930 are formed by epitaxially growing a semiconductor material. The semiconductor material includes single element semiconductor material such as germanium (Ge) or silicon (Si); or compound semiconductor materials, such as gallium arsenide (GaAs), aluminum gallium arsenide (AlGaAs); or semiconductor alloy, such as silicon germanium (SiGe), gallium arsenide phosphide (GaAsP). The second epitaxy structures 930 have suitable crystallographic orientations (e.g., a (100), (110), or (111) crystallographic orientation). In some embodiments, the second epitaxy structures 930 include source/drain epitaxial structures. In some embodiments, where an NFET device is desired, the second epitaxy structures 930 may include an epitaxially growing silicon phosphorus (SiP) or silicon carbon (SiC). The epitaxial processes include CVD deposition techniques (e.g., vapor-phase epitaxy (VPE) and/or ultra-high vacuum CVD (UHV-CVD)), molecular beam epitaxy, and/or another suitable process.
In some embodiments, the second epitaxy structures 930 is doped, for example, P-doped or As-doped, and the dopant concentration can be tuned. The sizes and/or the shapes of the second epitaxy structures 930 can be tuned. For example, the second epitaxy structures 930 can be cube-shaped as shown in FIG. 1I-1, or be diamond shaped in some other embodiments.
In some embodiments, the first epitaxy structures 810 are p-type epitaxy structures, and the second epitaxy structures 930 are n-type epitaxy structures. In some other embodiments, the first epitaxy structures 810 can be n-type epitaxy structures, and the second epitaxy structures 930 can be p-type epitaxy structures. Embodiments fall within the present disclosure if the first epitaxy structures 810 and the second epitaxy structures 930 are different types or the same type of epitaxy structures. The first epitaxy structures 810 and the second epitaxy structures 930 are formed by different steps, and therefore the first epitaxy structures 810 and the second epitaxy structures 930 can have different types.
Afterwards, as shown in FIG. 1J, a top ILD 1010 is formed on the etch stop layer 920 and at least on opposite sides of the dummy gate stack 410, in accordance with some embodiments of the disclosure.
In some embodiments, the top ILD 1010 includes materials different from the bottom ILD 910. In some embodiments, the top ILD 1010 is made of dielectric materials, such as an oxide layer. In some embodiments, the top ILD 1010 is formed by various process operations such as deposition, planarization, as well as other suitable processing operations. The deposition processes includes Flowable Chemical Vapor Deposition (FCVD), low-pressure CVD, plasma-enhanced CVD, PVD, ALD, thermal oxidation, e-beam evaporation, another suitable deposition technique, or a combination thereof. A planarization process, such as a CMP process, may then be performed to expose the top surface of the second dummy gate layer 330. The CMP process may remove portions of the top ILD 1010 and the patterned hard mask 340 overlying the second dummy gate layer 330 and may planarize the top surface of the structure.
Afterwards, as shown in FIGS. 1K-1 and 1K-2, a patterned hard mask 1110 is formed over the second dummy gate layer 330, the gate spacers 420, and the top ILD 1010, in accordance with some embodiments of the disclosure. FIG. 1K-2 is a cross-sectional view taking along line B-B′ of FIG. 1K-1.
In some embodiments, the patterned hard mask 1110 is made of silicon nitride, silicon oxynitride, silicon carbide, silicon carbo-nitride, or the like. The patterned hard mask 1110 covers portions of the second dummy gate layer 330, the gate spacers 420, and the top ILD 1010 while leaves other portions of the second dummy gate layer 330, the gate spacers 420, and the top ILD 1010 uncovered. Specifically, the patterned hard mask 1110 covers the first epitaxy structures 810, the second epitaxy structures 930, and the semiconductor stacks 130 and 150.
The second dummy gate layer 330 and the etch stop layer 320 are patterned by using the patterned hard mask 1110 as a mask to form at least one trench 1120 between the gate spacers 420. Therefore, the trench 1120 exposes the first dummy gate layer 310. However, the trench 1120 does not expose the dummy dielectric layer 230. It should be noted that the size of the trench 1120 is controlled by control the size of the patterned hard mask 1110.
A third inner gate spacer 1130 is formed at least on sidewalls of the second dummy gate layer 330 and the etch stop layer 320 exposed by the trench 1120. In some embodiments, the third inner gate spacers 1130 are formed by the following steps. A dielectric layer is firstly conformally formed on the exposed surfaces of the trench 1120, and then an etching process, such as a dry etching process, is performed to remove portions of the dielectric layer to form the third inner gate spacer 1130 on the sidewalls of the second dummy gate layer 330 and the etch stop layer 320. Furthermore, the third inner gate spacer 1130 may be formed on the sidewall of the patterned hard mask layer 1110. In some embodiments, the third inner gate spacer 1130 is made of SiN, oxide, metal oxide, or other dielectric such as SiCxOyNz. In some embodiments, the third inner gate spacer 1130 is formed by performing an ALD process or another suitable process.
Afterwards, as shown in FIGS. 1L-1 and 1L-2, the remaining first dummy gate layer 310, a portion of the dummy dielectric layer 230, and the semiconductor layer 134 are removed to form a recess 1210, in accordance with some embodiments of the disclosure. FIG. 1L-2 is a cross-sectional view taking along line B-B′ of FIG. 1L-1. A first gate stack 1220 is formed and/or filled in the recess 1210. Therefore, the first gate stack 1220 encircles (wraps) the first fin structure 132.
It should be noted that the first gate stack 1220 includes a first portion and a second portion. The first portion is next to sidewall of the second gate stack 1320 (FIG. 1M-2), and the second portion is directly below the second gate stack 1320. In some embodiments, the first gate stack 1220 has a L-shaped structure.
In some embodiments, a first etching process is performed to remove the remaining first dummy gate layer 310, and the portion of the dummy dielectric layer 230 is exposed. A second etching process is then performed to remove the exposed dummy dielectric layer 230, and the first fin structure 132 and the semiconductor layer 134 are exposed. A third etching process is performed to selectively remove the semiconductor layer 134 but not the first fin structure 132. Therefore, the first fin structure 132 is formed on the first inner gate spacer 610.
After the removal of the semiconductor layer 134, the recess 1210 is defined by the isolation structures 220, the first inner gate spacer 610, the etch stop layer 320, the second inner gate spacer 620, the third inner gate spacer 1130, and the gate spacers 420.
The gate spacers 420 are disposed on opposite sides of the first gate stack 1220. The first gate stack 1220 includes a high-k gate dielectric layer 1220 a, a work function metal layer 1220 b, and a gate electrode 1220 c. The high-k gate dielectric layer 1220 a is conformally formed in the recess 1210. Therefore, the high-k gate dielectric layer 1220 a is in contact with the isolation structures 220, the first inner gate spacer 610, the etch stop layer 320, the second inner gate spacer 620, the third inner gate spacer 1130, the gate spacers 420, and the semiconductor layer 134.
Furthermore, the high-k gate dielectric layer 1220 a surrounds the first fin structure 132. In some embodiments, the high-k gate dielectric layer 1220 a includes a material such as hafnium oxide (HfO2), zirconium oxide (ZrO2) or lanthanum oxide (La2O3). In some embodiments, the high-k gate dielectric layer 1220 a may be formed by performing an ALD process or another suitable process.
The work function metal layer 1220 b is conformally formed on the high-k gate dielectric layer, and the work function metal layer 1220 b surrounds the semiconductor layer 134 in some embodiments. The work function metal layer 1220 b may include materials such as TiN, TaN, TiAlSi, TiSiN, TiAl, TaAl, or another suitable material. In some embodiments, the work function metal layer 1220 b may be formed by performing an ALD process or another suitable process.
The gate electrode 1220 c fills the remaining space in the recess 1210. Therefore, the work function metal layer 1220 b is in contact with and between the high-k gate dielectric layer 1220 a and the gate electrode 1220 c. The gate electrode 1220 c may include material such as tungsten or aluminum. After the deposition of the high-k gate dielectric layer 1220 a, the work function metal layer 1220 b, and the gate electrode 1220 c, a planarization process, such as a CMP process, may then be performed to remove portions of the high-k gate dielectric layer 1220 a, the work function metal layer 1220 b, and the gate electrode 1220 c outside the recess 1210 to form the first gate stack 1220. In some embodiments, the first gate stack 1220 is a p-type metal gate stack.
Afterwards, as shown in FIGS. 1M-1 and 1M-2, the remaining second dummy gate layer 330, the remaining dummy dielectric layer 230, and the semiconductor layers 152 are removed to form a recess 1310, in accordance with some embodiments of the disclosure. As a result, the semiconductor layers 154 are exposed. FIG. 1M-2 is a cross-sectional view taking along line B-B′ of FIG. 1M-1.
A second gate stack 1320 is formed and/or filled in the recess 1310. Therefore, the second gate stack 1320 encircles (wraps) the semiconductor layers 154 and is formed over the first gate stack 1220. The gate spacers 420 are disposed on opposite sides of the second gate stack 1320.
Furthermore, the second gate stack 1320 wraps the semiconductor layers 154 (or nanostructures 154), the second sidewall spacers 720 are between the second gate stack 1320 and the second epitaxy structures 930 to prevent leakage between second gate stack 1320 and source/drain (S/D) regions.
In some embodiments, the remaining second dummy gate layer 330, the remaining dummy dielectric layer 230, and the semiconductor layers 152 are removed by performing multiple etching processes. In some embodiments, a first etching process is performed to remove the remaining second dummy gate layer 330, and the remaining dummy dielectric layer 230 is exposed. A second etching process is then performed to remove the exposed dummy dielectric layer 230, and the semiconductor layers 152 and 154 are exposed. A third etching process is performed to selectively remove the semiconductor layers 152 but not the semiconductor layers 154. As such, the semiconductor layers 154 remain, are spaced apart from each other, and are suspended over the second inner gate spacer 620.
In some embodiments, the thickness of the etch stop layer 320 can be thick enough to prevent the etch stop layer 320 from removing during the second etching process. After the removal of the semiconductor layers 154, the recess 1310 is defined by the etch stop layer 320, the second inner gate spacer 620, the third inner gate spacer 1130, and the gate spacers 420.
The second gate stack 1320 includes a high-k gate dielectric layer 1320 a, a work function metal layer 1320 b, and a gate electrode 1320 c. The high-k gate dielectric layer 1320 a is conformally formed in the recess 1310. In addition, the high-k gate dielectric layer 1320 a is in contact with the etch stop layer 320, the second inner gate spacer 620, the third inner gate spacer 1130, the gate spacers 420, and the semiconductor layers 154.
Furthermore, the high-k gate dielectric layer 1320 a surrounds the semiconductor layers 154, and spaces between the semiconductor layers 154 are still left after the deposition of the high-k gate dielectric layer 1320 a. In some embodiments, the high-k gate dielectric layer 1320 a includes a material such as hafnium oxide (HFO2), zirconium oxide (ZrO2) or lanthanum oxide (La2O3). In some embodiments, the high-k gate dielectric layer 1320 a may be formed by performing an ALD process or another suitable process.
The work function metal layer 1320 b is conformally formed on the high-k gate dielectric layer, and the work function metal layer 1320 b surrounds the semiconductor layers 154 in some embodiments. The work function metal layer 1320 b may include materials such as TiN, TaN, TiAlSi, TiSiN, TiAl, TaAl, or another suitable material. In some embodiments, the work function metal layer 1320 b may be formed by performing an ALD process or another suitable process.
The gate electrode 1320 c fills the remaining space in the recess. Therefore, the work function metal layer 1320 b is in contact with and between the high-k gate dielectric layer 1320 a and the gate electrode 1320 c. The gate electrode 1320 c may include material such as tungsten or aluminum. After the deposition of the high-k gate dielectric layer 1320 a, the work function metal layer 1320 b, and the gate electrode 1320 c, a planarization process, such as a chemical mechanical planarization (CMP) process, may then be performed to remove portions of the high-k gate dielectric layer 1320 a, the work function metal layer 1320 b, and the gate electrode 1320 c outside the recess 1310 to form the second gate stack 1320. In FIGS. 1M-1 and 1M-2, the second gate stack 1320 is an n-type metal gate stack.
As shown in FIGS. 1M-1 and 1M-2, the first gate stack 1220 is a p-type metal gate stack, and the second gate stack 1320 is an n-type metal gate stack. In some other embodiments, the first gate stack 1220 is an n-type metal gate stack, and the second gate stack 1320 is a p-type metal gate stack.
As shown in FIGS. 1M-1 and 1M-2, the first fin structure 132, the first epitaxy structures 810, and the first gate stack 1220 form a first device 10, such as a p-type FET (PFET). The semiconductor layers 154, the second epitaxy structures 930, and the second gate stack 1320 form a second device 20, such as an n-type FET (NFET). The first device 10 is a FinFET device, and the second device 20 is horizontal-gate-all-around (HGAA) device. Therefore, the first device 10 and the second device 20 are stacked on the substrate 110, and the first device 10 is disposed between the second device 20 and the substrate 110. The channels of the first device 10 (i.e., the first fin structure 132) is disposed between the substrate 110 and the channels of the second device 20 (i.e., the semiconductor layers 154). In some embodiments, one of the first device 10 and the second device 20 is a logic circuit device, and the other is the static random access memory (SRAM).
The first device 10 is separated from the second device 20 by the etch stop layer 320, the second inner gate spacer 620, and the third inner gate spacer 1130. More specifically, the etch stop layer 320, the second inner gate spacer 620, and the third inner gate spacer 1130 are disposed between and in contact with the first gate stack 1220 and the second gate stack 1320. That is, the first gate stack 1220 is isolated from the second gate stack 1320. Furthermore, the second inner gate spacer 620 is disposed between the first fin structure 132 and the semiconductor layers 154. The second inner gate spacer 620 includes a top surface in direct contact with the second gate stack 1320 and a bottom surface in direct contact with the first gate stack 1220.
The second gate stack 1320 is disposed over the first gate stack 1220. More specifically, the thickness T1 of the first gate stack 1220 is greater than the thickness T2 of the second gate stack 1320. The bottom surface of the first gate stack 1220 is lower than the bottom surface of the second gate stack 1320. The topmost surface of the first gate stack 1220 is higher than the bottom surface of the second gate stack 1320. In some embodiments, the top surface 1222 of the first gate stack 1220 is substantially coplanar with the top surface 1322 of the second gate stack 1320. The isolation structure 220 is disposed between the first gate stack 1220 and the substrate 110, and the second gate stack 1320 is disposed over the isolation structure 220 and is spaced from the isolation structure 220 by the first gate stack 1220.
Afterwards, as shown in FIG. 1N, the top ILD 1010 is patterned to form a number of trenches 1410 on opposite sides of the first gate stack 1220, in accordance with some embodiments of the disclosure. A number of contact spacers 1420 are formed at least on sidewalls of the remaining top ILD 1010 and the etch stop layer 920 exposed by the trenches 1410.
In some embodiments, a dielectric layer is conformally formed on the exposed surfaces of the trenches 1410, and then an etching process, such as a dry etching process, is performed to remove portions of the dielectric layer to form the contact spacers 1420 on the sidewalls of the remaining top ILD 1010 and the etch stop layer 920. In some embodiments, the contact spacers 1420 are formed by SiN, oxide, metal oxide, or other dielectric such as SiCxOyNz. In some embodiments, the contact spacers 1420 are formed by performing an ALD process or another suitable process.
Next, as shown in FIG. 1O, the remaining top ILD 1010 is recessed to form a number of trenches 1510 on opposite sides of the second gate stack 1320, in accordance with some embodiments of the disclosure. The trenches 1510 respectively expose at least portions of the second epitaxy structures 930.
In some embodiments, the remaining top ILD 1010 is partially removed, such that a portion of the top ILD 1010 remains on the etch stop layer 920 as shown in FIG. 1O. In some other embodiments, the remaining top ILD 1010 is removed, such that the etch stop layer 920 is exposed by the trenches 1510.
Afterwards, as shown in FIG. 1P, the remaining bottom ILD 910 is removed to form a number of recesses 1610 on opposite sides of the first gate stack 1220, in accordance with some embodiments of the disclosure. As a result, the respective recesses 1610 expose the first epitaxy structures 810, the isolation structures 220, and the insulation layers 510.
Afterwards, as shown in FIG. 1Q, the first epitaxy structures 810 and the second epitaxy structures 930 undergo a silicide process to form a first metal silicide layer 1705 surrounding the first epitaxy structures 810 and a second metal silicide layer 1715 surrounding a portion of the second epitaxy structures 930, in accordance with some embodiments of the disclosure.
In some embodiments, the first metal silicide layer 1705 and the second metal silicide layer 1715 are formed by the following steps. A thin metal layer (not shown) is firstly formed, and the substrate 110 is then heated, which causes silicon and germanium to react with the metal where contacted. In some embodiments, the thin metal layer is made of nickel, platinum, palladium, vanadium, titanium, cobalt, tantalum, ytterbium, zirconium, and combinations thereof. After the reaction, the first metal silicide layer 1705 is formed between the first epitaxy structure 810 and the metal layer, and the second metal silicide layer 1715 is formed between the second epitaxy structures 930 and the metal layer. The un-reacted metal layer is selectively removed through the use of an etchant that attacks metal but does not attack the first metal silicide layer 1705 and the second metal silicide layer 1715.
After the silicide process, a number of first contacts 1710 are respectively formed in the recesses 1610, and a number of second contacts 1720 are respectively formed in the trenches 1510 and over the first contacts 1710. Therefore, the first contacts 1710 are in contact with and wrap the first metal silicide layer 1705 while the second contacts 1720 are in contact with and wrap the second metal silicide layer 1715.
In some embodiments, the first contacts 1710 and the second contacts 1720 are respectively made of metal, such as tungsten (W), cobalt (Co), ruthenium (Ru), aluminum (Al), copper (Cu), or another suitable material. After the deposition of the first contacts 1710 and the second contacts 1720, a planarization process, such as a chemical mechanical planarization (CMP) process, may then be performed. Therefore, the top surface of the first contact 1710 and the top surface of the second contact 1720 are coplanar.
The first contact 1710 is separated from the second contact 1720 by the etch stop layer 920, the top ILD 1010, and the contact spacer 1420. More specifically, the etch stop layer 920, the top ILD 1010, and the contact spacer 1420 are disposed between and in contact with the first contact 1710 and the second contact 1720. That is, the first contact 1710 is isolated from the second contact 1720. Furthermore, the etch stop layer 920 is disposed between the first epitaxy structure 810 and the second epitaxy structure 930.
In the first embodiment, the first device 10, such as a p-type FET (PFET) is formed over the substrate 110, and the second device 20, such as n-type FET (NFET) is formed over the first device 10. In some embodiments, the first device 10 includes a first fin structure having Si as a channel, and the second device includes a number of nanostructures (nanowires, nanosheets, etc.) having a number of Si channels.
In some embodiments, the semiconductor device structure 100 a includes a FinFET device and a nanostructure GAA device stacked together. By applying the second inner gate spacer 620 and third inner gate spacer 1130 between channels of the first device 10 and the second device 20, the channels can be stacked together while isolated from each other. In addition, the second inner gate spacer 620 and third inner gate spacer 1130 further isolates the gate stacks of the first device 10 and the second device 20. Moreover, the first contact 1710 and the second contact 1720 of the first device 10 and the second device 20 are stacked together and isolated from each other. With this configuration, the layout area of the semiconductor device 100 a is reduced and the device density thereof is increased.
FIGS. 2A-2Q show perspective representations of various stages of forming a semiconductor device structure 100 b, in accordance with some embodiments of the disclosure. Some processes and materials used to form the semiconductor device structure 100 a are similar to, or the same as, those used to form the semiconductor device structure 100 b and are not repeated herein. The semiconductor device structure 100 b of FIG. 2Q is similar to the semiconductor device structure 100 a of FIG. 1Q, the differences between FIG. 2Q and FIG. 1Q are that the first fin structure 132 is made of SiGe to form the first device 10 (such as p-type FET (PFET)) in FIG. 2Q.
FIG. 2A is similar to, or the same as FIG. 1A, the first fin structure 132 is formed over the first sacrificial layer 120, and the semiconductor layer 134 is formed over the first fin structure 132.
In some embodiments, the first fin structure 132 is made of SiGe layers having a germanium percentage lower than the germanium percentage in the first sacrificial layer 120. In some embodiments, the germanium percentage of the first fin structure 132 is in a range between about 20 percent and about 30 percent. Furthermore, a difference between the germanium percentages of the first sacrificial layer 120 and the germanium percentage of the first fin structure 132 may be greater than about 20 percent or higher.
In some embodiments, the semiconductor layer 134 is made of silicon (Si). In some embodiments, the semiconductor layer 134 is made of silicon that is free from germanium (Ge). In some embodiments, the semiconductor layer 134 is substantially pure silicon layers, for example, with a germanium percentage lower than about 1 percent. Furthermore, the semiconductor layer 134 may be intrinsic, which are not doped with p-type and n-type impurities.
Afterwards, as shown in FIG. 2B, the semiconductor strip 210 is formed, and the isolation structure 220 is formed, in accordance with some embodiments of the disclosure. Subsequently, the dummy dielectric layer 230 is conformally formed to cover the semiconductor strip 210.
Next, as shown in FIG. 2C, the first dummy gate layer 310, the etch stop layer 320 and the second dummy gate layer 330 are formed on the semiconductor strip 210, in accordance with some embodiments of the disclosure. Next, the patterned hard mask 340 is formed over the second dummy gate layer 330.
Afterwards, as shown in FIG. 2D, the dummy gate stack 410 crossing the semiconductor strip 210 is formed, and then the gate spacers 420 are formed on sidewalls of the dummy gate stack 410, in accordance with some embodiments of the disclosure.
Next, as shown in FIG. 2E, a portion of the semiconductor strip 210 and the dummy dielectric layer 230 uncovered by the dummy gate stack 410 and the gate spacers 420 are removed to expose the channel portion of the semiconductor strip 210 and the top surface of the substrate 110, in accordance with some embodiments of the disclosure. Subsequently, the insulation layer 510 is formed on the top surface of the substrate 110.
Afterwards, as shown in FIG. 2F, the first sacrificial layer 120 and the second sacrificial layer 140 are removed to form the opening 122 and the opening 142, in accordance with some embodiments of the disclosure. Next, the first inner gate spacer 610 is formed in the opening 122 and the second inner gate spacer 620 is formed in the opening 142.
Subsequently, as shown in FIGS. 2G-1 and 2G-2, the semiconductor layer 134 and the semiconductor layers 152 are trimmed to form the first sidewall spacers 710 on opposite sides of the semiconductor layer 134, and the second sidewall spacers 720 on opposite sides of the semiconductor layers 152, in accordance with some embodiments of the disclosure. The second inner gate spacer 620 has the top surface in direct contact with the bottom surfaces of the second sidewall spacers 720 and the bottom surface in direct contact with the top surfaces of the first sidewall spacers 710.
It should be noted that, since the materials of the semiconductor layer 134 and semiconductor layers 152 are different from the first fin structure 132 and the semiconductor layers 154, etching rates thereof are different, and the first fin structure 132 and the semiconductor layers 154 remain in place while the semiconductor layer 134 and the semiconductor layers 152 are trimmed.
Next, as shown in FIGS. 2H-1 and 2H-2, the first epitaxy structures 810 are formed on opposite sidewalls of the first fin structure 132 and the semiconductor layers 154, in accordance with some embodiments of the disclosure.
Next, as shown in FIGS. 2I-1 and 2I-2, the bottom ILD 910 is formed to surround the first epitaxy structures 810 in contact with the first fin structure 132 and exposes the first epitaxy structures 810 in contact with the semiconductor layers 154, in accordance with some embodiments of the disclosure. Afterwards, the etch stop layer 920 is formed on the bottom ILD 910, and the second epitaxy structures 930 are formed on opposite sidewalls of the semiconductor layers 154.
Subsequently, as shown in FIG. 2J, the top ILD 1010 is formed on the etch stop layer 920 and at least on opposite sides of the dummy gate stack 410, in accordance with some embodiments of the disclosure.
Afterwards, as shown in FIGS. 2K-1 and 2K-2, the second dummy gate layer 330 and the etch stop layer 320 are patterned by using the patterned hard mask 1110 as a mask to form the trench 1120 between the gate spacers 420, in accordance with some embodiments of the disclosure. The third inner gate spacer 1130 is formed at least on sidewalls of the second dummy gate layer 330 and the etch stop layer 320 exposed by the trench 1120.
Next, as shown in FIGS. 2L-1 and 2L-2, the remaining first dummy gate layer 310, a portion of the dummy dielectric layer 230, and the semiconductor layer 134 are removed to form the recess 1210, in accordance with some embodiments of the disclosure. The first gate stack 1220 is filled in the recess 1210. Since the first fin structure 132 and the semiconductor layer 134 are made of different materials, the semiconductor layer 134 is removed, but the first fin structure 132 remains. The first gate stack 1220 wraps the first fin structure 132.
Subsequently, the processes performed on FIGS. 2M-1-2Q are the same as, or similar to the process performed on FIGS. 1M-1-1Q, and thus are omitted for brevity.
In the second embodiment, the first device 10, such as a p-type FET (PFET) is formed over the substrate 110, and the second device 20, such as n-type FET (NFET) is formed over the first device 10. In some embodiments, the first device 10 includes a first fin structure 132 having SiGe as a channel, and the second device 20 includes a number of nanostructures (such as semiconductor layers 154) having a number of Si channels.
FIGS. 3A-3Q show perspective representations of various stages of forming a semiconductor device structure 100 c, in accordance with some embodiments of the disclosure. Some processes and materials used to form the semiconductor device structure 100 c are similar to, or the same as, those used to form the semiconductor device structure 100 a and are not repeated herein.
As shown in FIG. 3A, the first semiconductor stack 130 is formed over the first sacrificial layer 120. The first semiconductor stack 130 includes the semiconductor layers 132 and the semiconductor layers 134. The second sacrificial layer 140 is formed on the first semiconductor stack 130. A second fin structure 155 is formed over the second sacrificial layer 140. Afterwards, the patterned hard mask 160 is formed over the second fin structure 155. In some embodiments, each of the semiconductor layers 132 is made of Si, and each of the semiconductor layers 134 is made of SiGe.
In some embodiments, the second fin structure 155 is made of silicon (Si). In some embodiments, the second fin structure 155 is made of silicon that is free from germanium (Ge). In some embodiments, the second fin structure 155 is substantially pure silicon layers, for example, with a germanium percentage lower than about 1 percent. Furthermore, the second fin structure 155 may be intrinsic, which are not doped with p-type and n-type impurities.
Next, as shown in FIG. 3B, the substrate 110, the first sacrificial layer 120, the first semiconductor stack 130, the second sacrificial layer 140, the second fin structure 155 are patterned by using the patterned hard mask 160 to form a semiconductor strip 210, in accordance with some embodiments of the disclosure.
Afterwards, as shown in FIG. 3C, the first dummy gate layer 310, the etch stop layer 320 and the second dummy gate layer 330 are formed on the semiconductor strip 210, in accordance with some embodiments of the disclosure. Next, the patterned hard mask 340 is formed over the second dummy gate layer 330.
Afterwards, as shown in FIG. 3D, the dummy gate stack 410 crossing the semiconductor strip 210 is formed, and then the gate spacers 420 are formed on sidewalls of the dummy gate stack 410, in accordance with some embodiments of the disclosure.
Next, as shown in FIG. 3E, a portion of the semiconductor strip 210 and the dummy dielectric layer 230 uncovered by the dummy gate stack 410 and the gate spacers 420 are removed to expose the channel portion of the semiconductor strip 210 and the top surface of the substrate 110, in accordance with some embodiments of the disclosure. Subsequently, the insulation layer 510 is formed on the top surface of the substrate 110.
Subsequently, as shown in FIG. 3F, the first sacrificial layer 120 and the second sacrificial layer 140 are removed to form the opening 122 and the opening 142, in accordance with some embodiments of the disclosure. Next, the first inner gate spacer 610 is formed in the opening 122 and the second inner gate spacer 620 is formed in the opening 142.
Subsequently, as shown in FIGS. 3G-1 and 3G-2, the semiconductor layers 134 are trimmed to form the first sidewall spacers 710 on opposite sides of the semiconductor layer 134, in accordance with some embodiments of the disclosure.
It should be noted that, since the materials of the semiconductor layers 134 are different from the second fin structure 155 and the semiconductor layers 132, etching rates thereof are different, and the second fin structure 155 and the semiconductor layers 132 remain in place while the semiconductor layers 134 are trimmed.
Next, as shown in FIGS. 3H-1 and 3H-2, the first epitaxy structures 810 are formed on opposite sidewalls of the semiconductor layers 132 and the second fin structure 155, in accordance with some embodiments of the disclosure. It should be noted that, since the first epitaxy structures 810 are not in direct contact with the first sidewall spacers 710, and therefore there is an air space 811 between the first epitaxy structures 810 and the first sidewall spacers 710.
Next, as shown in FIGS. 3I-1 and 3I-2, the bottom ILD 910 is formed to surround the first epitaxy structures 810 in contact with the semiconductor layers 134 and exposes the first epitaxy structures 810 in contact with the second fin structure 155, in accordance with some embodiments of the disclosure. Afterwards, the etch stop layer 920 is formed on the bottom ILD 910, and the second epitaxy structures 930 are formed on opposite sidewalls of the second fin structure 155.
Subsequently, as shown in FIG. 3J, the top ILD 1010 is formed on the etch stop layer 920 and at least on opposite sides of the dummy gate stack 410, in accordance with some embodiments of the disclosure.
Afterwards, as shown in FIGS. 3K-1 and 3K-2, the second dummy gate layer 330 and the etch stop layer 320 are patterned by using the patterned hard mask 1110 as a mask to form the trench 1120 between the gate spacers 420, in accordance with some embodiments of the disclosure. The third inner gate spacer 1130 is formed at least on sidewalls of the second dummy gate layer 330 and the etch stop layer 320 exposed by the trench 1120.
Next, as shown in FIGS. 3L-1 and 3L-2, the remaining first dummy gate layer 310, a portion of the dummy dielectric layer 230, and the semiconductor layers 132 are removed to form the recess 1210, in accordance with some embodiments of the disclosure. The first gate stack 1220 is filled in the recess 1210. Since the semiconductor layers 132 and the semiconductor layers 134 are made of different materials, the semiconductor layers 134 are removed, but the semiconductor layers 132 remain. The first gate stack 1220 wraps the semiconductor layers 132.
Afterwards, as shown in FIGS. 3M-1 and 3M-2, the remaining second dummy gate layer 330 and the remaining dummy dielectric layer 230 are removed to form the recess 1310, in accordance with some embodiments of the disclosure. As a result, the second fin structure 155 is exposed. Next, the second gate stack 1320 is formed and/or filled in the recess 1310. Therefore, the second gate stack 1320 encircles (wraps) the second fin structure 155 and is formed over the first gate stack 1220. In some embodiments, the second fin structure 155 is in direct contact with the second gate stack 1320.
As shown in FIGS. 3M-1 and 3M-2, the first gate stack 1220 is a n-type metal gate stack, and the second gate stack 1320 is an p-type metal gate stack. In some other embodiments, the first gate stack 1220 is an p-type metal gate stack, and the second gate stack 1320 is a n-type metal gate stack.
As shown in FIGS. 3M-1 and 3M-2, the semiconductor layers 132, the first epitaxy structures 810, and the first gate stack 1220 form the first device 10, such as an n-type FET (NFET). The second fin structure 155, the second epitaxy structures 930, and the second gate stack 1320 form the second device 20, such as a p-type FET (PFET). The first device 10 has a horizontal-gate-all-around (HGAA) device structure and the second device 20 has a FinFET device. Therefore, the first device 10 and the second device 20 are stacked on the substrate 110, and the first device 10 is disposed between the second device 20 and the substrate 110.
Subsequently, the processes performed on FIGS. 3N-3Q are the same as, or similar to the process performed on FIGS. 1N-1-1Q, and thus are omitted for brevity.
In the third embodiment, the first device 10, such as a n-type FET (NFET) is formed over the substrate 110, and the second device 20, such as p-type FET (PFET) is formed over the first device 10. In some embodiments, the first device 10 includes a number of nanostructures (or called nanowires, nanosheets) having a number of Si channels, and the second device 20 includes the second fin structure 155 having Si as a channel. In some other embodiments, the first device 10 includes a number of nanostructures (nanowires) having a number of Si channels, and the second device 20 includes the second fin structure having SiGe as a channel.
FIGS. 4A-4Q show perspective representations of various stages of forming a semiconductor device structure 100 d, in accordance with some embodiments of the disclosure. Some processes and materials used to form the semiconductor device structure 100 d are similar to, or the same as, those used to form the semiconductor device structure 100 c and are not repeated herein.
As shown in FIG. 4A, the first semiconductor stack 130 is formed over the first sacrificial layer 120, the second semiconductor stack 150 is formed over the second sacrificial layer 140. The second semiconductor stack 150 includes the semiconductor layer 152 and the semiconductor layer 154.
In some embodiments, the semiconductor layer 152 is made of SiGe, and the semiconductor layer 154 is made of Si. In some embodiments, the thickness of the semiconductor layer 152 is smaller than the semiconductor layer 154.
Afterwards, as shown in FIG. 4B, the substrate 110, the first sacrificial layer 120, the first semiconductor stack 130, the second sacrificial layer 140, the second semiconductor stack 150 are patterned by using the patterned hard mask 160 to form the semiconductor strip 210, in accordance with some embodiments of the disclosure.
Afterwards, as shown in FIG. 4C, the first dummy gate layer 310, the etch stop layer 320 and the second dummy gate layer 330 are formed on the semiconductor strip 210, in accordance with some embodiments of the disclosure. Next, the patterned hard mask 340 is formed over the second dummy gate layer 330.
Afterwards, as shown in FIG. 4D, the dummy gate stack 410 crossing the semiconductor strip 210 is formed, and then the gate spacers 420 are formed on sidewalls of the dummy gate stack 410, in accordance with some embodiments of the disclosure.
Next, as shown in FIG. 4E, a portion of the semiconductor strip 210 and the dummy dielectric layer 230 uncovered by the dummy gate stack 410 and the gate spacers 420 are removed to expose the channel portion of the semiconductor strip 210 and the top surface of the substrate 110, in accordance with some embodiments of the disclosure. Subsequently, the insulation layer 510 is formed on the top surface of the substrate 110.
Subsequently, as shown in FIG. 4F, the first sacrificial layer 120 and the second sacrificial layer 140 are removed to form the opening 122 and the opening 142, in accordance with some embodiments of the disclosure. Next, the first inner gate spacer 610 is formed in the opening 122 and the second inner gate spacer 620 is formed in the opening 142.
Subsequently, as shown in FIGS. 4G-1 and 4G-2, the semiconductor layers 134 are trimmed to form the first sidewall spacers 710 on opposite sides of the semiconductor layer 134, the semiconductor layers 152 is trimmed to form the second sidewall spacer 720 below the semiconductor layer 154, in accordance with some embodiments of the disclosure.
It should be noted that, since the materials of the semiconductor layer 152 and semiconductor layers 134 are different from the semiconductor layer 154 and the semiconductor layers 132, etching rates thereof are different, and the semiconductor layer 154 and the semiconductor layers 132 remain in place while the semiconductor layer 152 and the semiconductor layers 134 are trimmed.
Next, as shown in FIGS. 4H-1 and 4H-2, the first epitaxy structures 810 are formed on opposite sidewalls of the semiconductor layers 132 and the semiconductor layer 154, in accordance with some embodiments of the disclosure.
Next, as shown in FIGS. 4I-1 and 4I-2, the bottom ILD 910 is formed to surround the first epitaxy structures 810 in contact with the semiconductor layers 132 and exposes the first epitaxy structures 810 in contact with the second semiconductor layer 154, in accordance with some embodiments of the disclosure. Afterwards, the etch stop layer 920 is formed on the bottom ILD 910, and the second epitaxy structures 930 are formed on opposite sidewalls of the second semiconductor layer 154.
Subsequently, as shown in FIG. 4J, the top ILD 1010 is formed on the etch stop layer 920 and at least on opposite sides of the dummy gate stack 410, in accordance with some embodiments of the disclosure.
Afterwards, as shown in FIGS. 4K-1 and 4K-2, the second dummy gate layer 330 and the etch stop layer 320 are patterned using the patterned hard mask 1110 as a mask to form the trench 1120 between the gate spacers 420, in accordance with some embodiments of the disclosure. The third inner gate spacer 1130 is formed at least on sidewalls of the second dummy gate layer 330 and the etch stop layer 320 exposed by the trench 1120.
Next, as shown in FIGS. 4L-1 and 4L-2, the remaining first dummy gate layer 310, a portion of the dummy dielectric layer 230, and the semiconductor layer 134 are removed to form the recess 1210, in accordance with some embodiments of the disclosure. The first gate stack 1220 is filled in the recess 1210.
Afterwards, as shown in FIGS. 4M-1 and 4M-2, the remaining second dummy gate layer 330, the remaining dummy dielectric layer 230, and the semiconductor layer 152 are removed to form the recess 1310, in accordance with some embodiments of the disclosure. As a result, the semiconductor layer 154 is exposed. Next, the second gate stack 1320 is formed and/or filled in the recess 1310. Therefore, the second gate stack 1320 encircles (wraps) the semiconductor layer 154 and is formed over the first gate stack 1220.
As shown in FIGS. 4M-1 and 4M-2, the first gate stack 1220 is a n-type metal gate stack, and the second gate stack 1320 is an p-type metal gate stack. In some other embodiments, the first gate stack 1220 is an p-type metal gate stack, and the second gate stack 1320 is a n-type metal gate stack.
As shown in FIGS. 4M-1 and 4M-2, the semiconductor layers 132, the first epitaxy structures 810, and the first gate stack 1220 form the first device 10, such as an n-type FET (NFET). The semiconductor layer 154, the second epitaxy structures 930, and the second gate stack 1320 form the second device 20, such as a p-type FET (PFET). The first device 10 has a horizontal-gate-all-around (HGAA) device, and the second device 20 also has a horizontal-gate-all-around (HGAA) device. Therefore, the first device 10 and the second device 20 are stacked on the substrate 110, and the first device 10 is disposed between the second device 20 and the substrate 110.
Subsequently, the processes performed on FIGS. 4N-4Q are the same as, or similar to the process performed on FIGS. 3A-3Q, and thus are omitted for brevity.
In the fourth embodiment, the first device 10, such as a n-type FET (NFET) is formed over the substrate 110, and the second device 20, such as p-type FET (PFET) is formed over the first device 10. In some embodiments, the first device 10 includes a number of nanostructures (or called nanowires or nanosheets) having a number of Si channels, and the second device 20 includes a nanostructure (or called nanowire or nanosheet) having a Si channel. In some other embodiments, the first device 10 includes a number of nanostructures (or called nanowires or nanosheets) having a number of Si channels, and the second device 20 includes a nanostructure (nanowire) having a SiGe channel.
Embodiments for forming a semiconductor device structure and method for formation the same are provided. The FinFET device and the GAA device are stacked in a vertical direction. The FinFET device and the GAA device are isolated from each other by inner gate spacers. By this design, the layout area of the semiconductor device is reduced and the device density thereof is increased.
In some embodiments, a semiconductor device structure is provided. The semiconductor device structure includes a first device formed over a substrate, and the first device comprises a first fin structure. The semiconductor device structure also includes a second device formed over or below the first device, and the second device comprises a plurality of second nanostructures stacked in a vertical direction.
In some embodiments, a semiconductor device structure is provided. The semiconductor device structure includes a first device formed over a substrate, and the first device comprises a first fin structure. The semiconductor device structure includes a first gate stack wrapped around the first fin structure, and a second device formed over the first device. The second device includes a plurality of second nanostructures stacked in a vertical direction. The semiconductor device structure includes a second gate stack wrapped around the second nanostructures, and a topmost surface of the first gate stack is higher than a bottom surface of the second gate stack.
In some embodiments, a semiconductor device structure is provided. The semiconductor device structure includes a plurality of first nanostructures formed over a substrate, and the first nanostructures stacked in a vertical direction. The semiconductor device structure also includes a first gate stack wrapped around the first nanostructures, and a first inner gate spacer formed over the first nanostructures. The semiconductor device structure further includes a second fin structure formed over the first inner gate spacer, and a second gate stack wrapped around the second fin structure.
The foregoing outlines features of several embodiments so that those skilled in the art may better understand the aspects of the present disclosure. Those skilled in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments introduced herein. Those skilled in the art should also realize that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that they may make various changes, substitutions, and alterations herein without departing from the spirit and scope of the present disclosure.

Claims (20)

What is claimed is:
1. A semiconductor device structure, comprising:
a first device formed over a substrate, wherein the first device comprises a first fin structure, wherein the first fin structure has a first height;
a second device formed over or below the first device, wherein the second device comprises a plurality of second nanostructures stacked in a vertical direction, and each of the second nanostructures has a second height, and the first height is greater than the second height; and
a first inner gate spacer and in direct contact with the first fin structure, wherein a topmost surface of the first inner gate spacer is in direct contact with a bottommost surface of the first fin structure.
2. The semiconductor device structure as claimed in claim 1, wherein one of the first device and the second device is a P-type device and the other is an N-type device.
3. The semiconductor device structure as claimed in claim 1, further comprising:
a first gate stack wrapped around the first fin structure; and
a second gate stack wrapped around the second nanostructures.
4. The semiconductor device structure as claimed in claim 3, further comprising:
a second inner gate spacer between the first gate stack and the second gate stack.
5. The semiconductor device structure as claimed in claim 3, wherein the first gate stack comprises a first portion and a second portion, the first portion is next to a sidewall of the second gate stack, and the second portion is directly below the second gate stack.
6. The semiconductor device structure as claimed in claim 1, further comprising:
an isolation structure formed over the substrate; and
the first inner gate spacer extended above the substrate, wherein a bottom surface of the first inner gate spacer is lower than a top surface of the isolation structure.
7. The semiconductor device structure as claimed in claim 1, further comprising:
a sidewall spacer between two adjacent second nanostructures;
a second S/D structure formed on a sidewall of one of the second nanostructures; and
a second gate stack wrapped around the second nanostructures, wherein the sidewall spacer is between the second gate stack and the second S/D structure.
8. The semiconductor device structure as claimed in claim 7, further comprising:
a first S/D structure formed on a sidewall of the first fin structure; and
an etch stop layer between the first S/D structure and the second S/D structure.
9. The semiconductor device structure as claimed in claim 1, wherein one of the first device and the second device is a logic circuit device, and the other is a static random access memory (SRAM).
10. A semiconductor device structure, comprising:
a first device formed over a substrate, wherein the first device comprises a first fin structure, the first fin structure has a first height;
a first gate stack wrapped around the first fin structure;
a second device formed over the first device, wherein the second device comprises a plurality of second nanostructures stacked in a vertical direction, each of the second nanostructures has a second height, and the first height is greater than the second height;
a second gate stack wrapped around the second nanostructures; and
a first inner gate spacer formed between a sidewall of the first gate stack and a sidewall of the second gate stack, wherein a top surface of the first gate stack, a top surface of the first inner gate spacer and a top surface of the second gate stack are at a same level.
11. The semiconductor device structure as claimed in claim 10, further comprising:
a sidewall spacer formed between two adjacent second nanostructures; and
an S/D structure formed on a sidewall of one of the second nanostructures,
wherein the sidewall spacer is between the second gate stack and the S/D structure.
12. The semiconductor device structure as claimed in claim 10, further comprising:
an etch stop layer between the first gate stack and the second gate stack.
13. The semiconductor device structure as claimed in claim 10, wherein one of the first device and the second device is a logic circuit device, and the other is a static random access memory (SRAM).
14. The semiconductor device structure as claimed in claim 10, wherein one of the first device and the second device is a P-type device and the other is an N-type device.
15. The method for forming the semiconductor device structure as claimed in claim 10, further comprising:
a second inner gate spacer below the second gate stack, wherein the second inner gate spacer comprises a top surface in direct contact with the second gate stack and a bottom surface in direct contact with the first gate stack.
16. A semiconductor device structure, comprising:
a plurality of first nanostructures formed over a substrate, wherein the first nanostructures are stacked in a vertical direction;
a first gate stack wrapped around the first nanostructures;
a first inner gate spacer formed over the first nanostructures;
a second fin structure formed over the first inner gate spacer; and
a second gate stack wrapped around the second fin structure, wherein a first sidewall of the first inner gate spacer is aligned with a first sidewall of the second fin structure, and a second sidewall of the first inner gate spacer is aligned with a second sidewall of the second fin structure,
wherein the first gate stack is different and separated from the second gate stack, and wherein the first inner gate spacer is between the first gate stack and the second gate stack.
17. The semiconductor device structure as claimed in claim 16, wherein the second fin structure is in direct contact with the first inner gate spacer.
18. The semiconductor device structure as claimed in claim 16, wherein the first gate stack comprises a first portion and a second portion, the first portion is next to a sidewall of the second gate stack, and the second portion is directly below the second gate stack.
19. The semiconductor device structure as claimed in claim 18, further comprising:
a second inner gate spacer between the first portion of the first gate stack and the second gate stack.
20. The semiconductor device structure as claimed in claim 16, wherein the first gate stack has a first thickness, the second gate stack has a second thickness, and the second thickness is smaller than the first thickness.
US16/838,198 2019-12-27 2020-04-02 Semiconductor device structure and method for forming the same Active US11362096B2 (en)

Priority Applications (6)

Application Number Priority Date Filing Date Title
US16/838,198 US11362096B2 (en) 2019-12-27 2020-04-02 Semiconductor device structure and method for forming the same
DE102020110792.7A DE102020110792B4 (en) 2019-12-27 2020-04-21 Semiconductor device structure with fin structure and multiple nanostructures and method of forming the same
KR1020200084679A KR102414339B1 (en) 2019-12-27 2020-07-09 Semiconductor device structure and method for forming the same
TW109146158A TWI776329B (en) 2019-12-27 2020-12-25 Semiconductor device structure
CN202011563607.2A CN113053890A (en) 2019-12-27 2020-12-25 Semiconductor device structure
US17/839,047 US20220320116A1 (en) 2019-12-27 2022-06-13 Semiconductor device structure

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201962954191P 2019-12-27 2019-12-27
US16/838,198 US11362096B2 (en) 2019-12-27 2020-04-02 Semiconductor device structure and method for forming the same

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US17/839,047 Continuation US20220320116A1 (en) 2019-12-27 2022-06-13 Semiconductor device structure

Publications (2)

Publication Number Publication Date
US20210202497A1 US20210202497A1 (en) 2021-07-01
US11362096B2 true US11362096B2 (en) 2022-06-14

Family

ID=76546549

Family Applications (1)

Application Number Title Priority Date Filing Date
US16/838,198 Active US11362096B2 (en) 2019-12-27 2020-04-02 Semiconductor device structure and method for forming the same

Country Status (3)

Country Link
US (1) US11362096B2 (en)
KR (1) KR102414339B1 (en)
TW (1) TWI776329B (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20230075966A1 (en) * 2021-09-07 2023-03-09 International Business Machines Corporation Hybrid complementary field effect transistor device

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20230073078A1 (en) * 2021-08-25 2023-03-09 Intel Corporation Gate-to-gate isolation for stacked transistor architecture via selective dielectric deposition structure
EP4300560A1 (en) * 2022-06-30 2024-01-03 Imec VZW A method for forming a stacked transistor device

Citations (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110014769A1 (en) 2007-12-21 2011-01-20 Nxp B.V. Manufacturing method for planar independent-gate or gate-all-around transistors
KR20150033496A (en) 2013-09-24 2015-04-01 삼성전자주식회사 Semiconductor device and method for forming the same
US9209247B2 (en) 2013-05-10 2015-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned wrapped-around structure
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US9412817B2 (en) 2014-12-19 2016-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Silicide regions in vertical gate all around (VGAA) devices and methods of forming same
US9412828B2 (en) 2012-08-24 2016-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Aligned gate-all-around structure
US9472618B2 (en) 2013-12-10 2016-10-18 Taiwan Semiconductor Manufacturing Company Limited Nanowire field effect transistor device having a replacement gate
US9502265B1 (en) 2015-11-04 2016-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Vertical gate all around (VGAA) transistors and methods of forming the same
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US9536738B2 (en) 2015-02-13 2017-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Vertical gate all around (VGAA) devices and methods of manufacturing the same
US9576814B2 (en) 2013-12-19 2017-02-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method of spacer patterning to form a target integrated circuit pattern
US9608116B2 (en) 2014-06-27 2017-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. FINFETs with wrap-around silicide and method forming the same
US9627540B1 (en) 2015-11-30 2017-04-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US20170141112A1 (en) 2015-11-16 2017-05-18 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-Gate Device and Method of Fabrication Thereof
US20170140996A1 (en) 2015-11-16 2017-05-18 Taiwan Semiconductor Manufacturing Company, Ltd. Horizontal Gate-All-Around Device Having Wrapped-Around Source and Drain
KR20170113171A (en) 2016-04-01 2017-10-12 램 리써치 코포레이션 Method for integrating germanides in high performance integrated circuits
KR20180070316A (en) 2016-12-16 2018-06-26 삼성전자주식회사 Semiconductor devices and method of manufacturing the same
DE112015007207T5 (en) 2015-12-22 2018-09-13 Intel Corporation Nanowire transistors with embedded dielectric spacers
US10141403B1 (en) 2017-11-16 2018-11-27 International Business Machines Corporation Integrating thin and thick gate dielectric nanosheet transistors on same chip
US10170484B1 (en) 2017-10-18 2019-01-01 Globalfoundries Inc. Integrated circuit structure incorporating multiple gate-all-around field effect transistors having different drive currents and method
KR20190024625A (en) 2017-08-31 2019-03-08 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Semiconductor device and method
KR20190024600A (en) 2017-08-30 2019-03-08 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Method of manufacturing a semiconductor device and a semiconductor device
US10229971B1 (en) 2017-11-16 2019-03-12 International Business Machines Corporation Integration of thick and thin nanosheet transistors on a single chip
KR101959625B1 (en) 2016-11-29 2019-03-18 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 A method of manufacturing a semiconductor device with multilayered channel structure
KR20190032150A (en) 2017-09-18 2019-03-27 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Method of manufacturing a semiconductor device and a semiconductor device
KR20190038282A (en) 2017-09-29 2019-04-08 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Method of manufacturing a semiconductor device and a semiconductor device
TW201916113A (en) 2017-09-18 2019-04-16 台灣積體電路製造股份有限公司 Semiconductor device and manufacturing method thereof
US20190131394A1 (en) * 2017-11-02 2019-05-02 International Business Machines Corporation Vertically stacked nfet and pfet with dual work function
US20190172755A1 (en) * 2017-12-04 2019-06-06 Tokyo Electron Limited Method for incorporating multiple channel materials in a complimentary field effective transistor (cfet) device
US20190172828A1 (en) * 2017-12-04 2019-06-06 Tokyo Electron Limited Semiconductor apparatus having stacked gates and method of manufacture thereof
WO2019132893A1 (en) 2017-12-27 2019-07-04 Intel Corporation Integrated circuits with stacked transistors and methods of manufacturing the same using processes which fabricate lower gate structures following completion of portions of an upper transistor
US20200126987A1 (en) * 2018-10-22 2020-04-23 International Business Machines Corporation Interlayer via contacts for monolithic three-dimensional semiconductor integrated circuit devices
US20200294969A1 (en) * 2019-03-15 2020-09-17 Intel Corporation Stacked transistors with dielectric between source/drain materials of different strata
US20200294998A1 (en) * 2019-03-15 2020-09-17 Intel Corporation Backside contacts for semiconductor devices

Patent Citations (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110014769A1 (en) 2007-12-21 2011-01-20 Nxp B.V. Manufacturing method for planar independent-gate or gate-all-around transistors
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US9412828B2 (en) 2012-08-24 2016-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Aligned gate-all-around structure
US9209247B2 (en) 2013-05-10 2015-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned wrapped-around structure
KR20150033496A (en) 2013-09-24 2015-04-01 삼성전자주식회사 Semiconductor device and method for forming the same
US9472618B2 (en) 2013-12-10 2016-10-18 Taiwan Semiconductor Manufacturing Company Limited Nanowire field effect transistor device having a replacement gate
US9576814B2 (en) 2013-12-19 2017-02-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method of spacer patterning to form a target integrated circuit pattern
US9608116B2 (en) 2014-06-27 2017-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. FINFETs with wrap-around silicide and method forming the same
US9412817B2 (en) 2014-12-19 2016-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Silicide regions in vertical gate all around (VGAA) devices and methods of forming same
US9536738B2 (en) 2015-02-13 2017-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Vertical gate all around (VGAA) devices and methods of manufacturing the same
US9502265B1 (en) 2015-11-04 2016-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Vertical gate all around (VGAA) transistors and methods of forming the same
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US20170141112A1 (en) 2015-11-16 2017-05-18 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-Gate Device and Method of Fabrication Thereof
US20170140996A1 (en) 2015-11-16 2017-05-18 Taiwan Semiconductor Manufacturing Company, Ltd. Horizontal Gate-All-Around Device Having Wrapped-Around Source and Drain
US9627540B1 (en) 2015-11-30 2017-04-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
DE112015007207T5 (en) 2015-12-22 2018-09-13 Intel Corporation Nanowire transistors with embedded dielectric spacers
KR20170113171A (en) 2016-04-01 2017-10-12 램 리써치 코포레이션 Method for integrating germanides in high performance integrated circuits
KR101959625B1 (en) 2016-11-29 2019-03-18 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 A method of manufacturing a semiconductor device with multilayered channel structure
KR20180070316A (en) 2016-12-16 2018-06-26 삼성전자주식회사 Semiconductor devices and method of manufacturing the same
KR20190024600A (en) 2017-08-30 2019-03-08 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Method of manufacturing a semiconductor device and a semiconductor device
KR20190024625A (en) 2017-08-31 2019-03-08 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Semiconductor device and method
TW201916113A (en) 2017-09-18 2019-04-16 台灣積體電路製造股份有限公司 Semiconductor device and manufacturing method thereof
KR20190032150A (en) 2017-09-18 2019-03-27 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Method of manufacturing a semiconductor device and a semiconductor device
KR20190038282A (en) 2017-09-29 2019-04-08 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Method of manufacturing a semiconductor device and a semiconductor device
US10170484B1 (en) 2017-10-18 2019-01-01 Globalfoundries Inc. Integrated circuit structure incorporating multiple gate-all-around field effect transistors having different drive currents and method
US20190131394A1 (en) * 2017-11-02 2019-05-02 International Business Machines Corporation Vertically stacked nfet and pfet with dual work function
US10229971B1 (en) 2017-11-16 2019-03-12 International Business Machines Corporation Integration of thick and thin nanosheet transistors on a single chip
US10141403B1 (en) 2017-11-16 2018-11-27 International Business Machines Corporation Integrating thin and thick gate dielectric nanosheet transistors on same chip
US20190172755A1 (en) * 2017-12-04 2019-06-06 Tokyo Electron Limited Method for incorporating multiple channel materials in a complimentary field effective transistor (cfet) device
US20190172828A1 (en) * 2017-12-04 2019-06-06 Tokyo Electron Limited Semiconductor apparatus having stacked gates and method of manufacture thereof
WO2019132893A1 (en) 2017-12-27 2019-07-04 Intel Corporation Integrated circuits with stacked transistors and methods of manufacturing the same using processes which fabricate lower gate structures following completion of portions of an upper transistor
US20200126987A1 (en) * 2018-10-22 2020-04-23 International Business Machines Corporation Interlayer via contacts for monolithic three-dimensional semiconductor integrated circuit devices
US20200294969A1 (en) * 2019-03-15 2020-09-17 Intel Corporation Stacked transistors with dielectric between source/drain materials of different strata
US20200294998A1 (en) * 2019-03-15 2020-09-17 Intel Corporation Backside contacts for semiconductor devices

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20230075966A1 (en) * 2021-09-07 2023-03-09 International Business Machines Corporation Hybrid complementary field effect transistor device
US11777034B2 (en) * 2021-09-07 2023-10-03 International Business Machines Corporation Hybrid complementary field effect transistor device

Also Published As

Publication number Publication date
TWI776329B (en) 2022-09-01
KR20210086432A (en) 2021-07-08
TW202125824A (en) 2021-07-01
KR102414339B1 (en) 2022-06-29
US20210202497A1 (en) 2021-07-01

Similar Documents

Publication Publication Date Title
US11855090B2 (en) High performance MOSFETs having varying channel structures
US11848242B2 (en) Method of manufacturing a semiconductor device and a semiconductor device
US10804278B2 (en) High density programmable e-fuse co-integrated with vertical FETs
US11171059B2 (en) Method of manufacturing a semiconductor device and a semiconductor device
US11855168B2 (en) Semiconductor device and manufacturing method thereof
US10134763B2 (en) Gate top spacer for finFET
US11362096B2 (en) Semiconductor device structure and method for forming the same
US11653507B2 (en) Gate all around semiconductor structure with diffusion break
US20180315833A1 (en) Semiconductor device and manufacturing method thereof
US20230215950A1 (en) Semiconductor device
US20230223305A1 (en) Semiconductor device and method of manufacturing the same
US20220320116A1 (en) Semiconductor device structure
US11855179B2 (en) Semiconductor devices and methods of manufacturing thereof
US20230369402A1 (en) Semiconductor devices with asymmetric source/drain design
US20230061323A1 (en) Semiconductor devices and methods of manufacturing thereof
US11158741B2 (en) Nanostructure device and method
US20230090588A1 (en) Stacked field effect transistor devices with replacement gate

Legal Events

Date Code Title Description
AS Assignment

Owner name: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD., TAIWAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:LIN, TA-CHUN;PAN, KUO-HUA;SIGNING DATES FROM 20200320 TO 20200325;REEL/FRAME:052298/0646

FEPP Fee payment procedure

Free format text: ENTITY STATUS SET TO UNDISCOUNTED (ORIGINAL EVENT CODE: BIG.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: FINAL REJECTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: ADVISORY ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: NOTICE OF ALLOWANCE MAILED -- APPLICATION RECEIVED IN OFFICE OF PUBLICATIONS

STPP Information on status: patent application and granting procedure in general

Free format text: AWAITING TC RESP., ISSUE FEE NOT PAID

STPP Information on status: patent application and granting procedure in general

Free format text: NOTICE OF ALLOWANCE MAILED -- APPLICATION RECEIVED IN OFFICE OF PUBLICATIONS

STPP Information on status: patent application and granting procedure in general

Free format text: PUBLICATIONS -- ISSUE FEE PAYMENT VERIFIED

STCF Information on status: patent grant

Free format text: PATENTED CASE