KR20190038282A - Method of manufacturing a semiconductor device and a semiconductor device - Google Patents

Method of manufacturing a semiconductor device and a semiconductor device Download PDF

Info

Publication number
KR20190038282A
KR20190038282A KR1020180078636A KR20180078636A KR20190038282A KR 20190038282 A KR20190038282 A KR 20190038282A KR 1020180078636 A KR1020180078636 A KR 1020180078636A KR 20180078636 A KR20180078636 A KR 20180078636A KR 20190038282 A KR20190038282 A KR 20190038282A
Authority
KR
South Korea
Prior art keywords
semiconductor
layer
semiconductor layer
layers
forming
Prior art date
Application number
KR1020180078636A
Other languages
Korean (ko)
Other versions
KR102121453B1 (en
Inventor
샤오-밍 유
퉁 잉 리
웨이-셩 윤
푸-시앙 양
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20190038282A publication Critical patent/KR20190038282A/en
Application granted granted Critical
Publication of KR102121453B1 publication Critical patent/KR102121453B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7855Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with at least two independent gates
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02603Nanowires
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8221Three dimensional integrated circuits stacked in different levels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0688Integrated circuits having a three-dimensional layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/068Nanowires or nanotubes comprising a junction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0684Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape, relative sizes or dispositions of the semiconductor regions or junctions between the regions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78618Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78684Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising semiconductor materials of Group IV not being silicon, or alloys including an element of the group IV, e.g. Ge, SiN alloys, SiC alloys
    • H01L29/78687Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising semiconductor materials of Group IV not being silicon, or alloys including an element of the group IV, e.g. Ge, SiN alloys, SiC alloys with a multilayer structure or superlattice structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66446Unipolar field-effect transistors with an active layer made of a group 13/15 material, e.g. group 13/15 velocity modulation transistor [VMT], group 13/15 negative resistance FET [NERFET]
    • H01L29/66469Unipolar field-effect transistors with an active layer made of a group 13/15 material, e.g. group 13/15 velocity modulation transistor [VMT], group 13/15 negative resistance FET [NERFET] with one- or zero-dimensional channel, e.g. quantum wire field-effect transistors, in-plane gate transistors [IPG], single electron transistors [SET], Coulomb blockade transistors, striped channel transistors

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Manufacturing & Machinery (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Theoretical Computer Science (AREA)
  • Mathematical Physics (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)

Abstract

The present invention relates to a manufacturing method of a semiconductor device and a semiconductor device. The manufacturing method of a semiconductor device comprises the steps of: forming a first semiconductor layer having a first composition over a semiconductor substrate; and forming a second semiconductor layer having a second composition over the first semiconductor layer. Another first semiconductor layer having the first composition is formed over the second semiconductor layer. A third semiconductor layer having a third composition is formed over the another first semiconductor layer. The first semiconductor layers, the second semiconductor layer, and the third semiconductor layer are patterned to form a fin structure. A part of the third semiconductor layer is removed thereby forming a nanowire including the second semiconductor layer, and a conductive material is formed surrounding the nanowire. The first semiconductor layers, the second semiconductor layer, and the third semiconductor layer include different materials.

Description

반도체 디바이스 제조 방법 및 반도체 디바이스{METHOD OF MANUFACTURING A SEMICONDUCTOR DEVICE AND A SEMICONDUCTOR DEVICE}Technical Field [0001] The present invention relates to a method of manufacturing a semiconductor device,

이 출원은 2017년 9월 29일자로 출원된 미국 가 특허 출원 제62/565,339호의 우선권을 청구하며, 이 가 특허 출원의 전체 내용은 인용에 의해 본 명세서에 통합된다.This application claims priority of U.S. Provisional Patent Application No. 62 / 565,339, filed September 29, 2017, the entire contents of which are incorporated herein by reference.

이 개시물은 반도체 집적 회로들을 제조하는 방법에 관한 것이며, 더욱 구체적으로는 핀 전계 효과 트랜지스터(FinFET, fin field effect transistor)들 및/또는 게이트-올-어라운드(GAA, gate-all-around) FET들을 포함하는 반도체 디바이스들을 제조하는 방법과 반도체 디바이스들에 관한 것이다.The present disclosure relates to a method of fabricating semiconductor integrated circuits, and more particularly to a method of fabricating semiconductor integrated circuits, and more particularly to a method of fabricating semiconductor integrated circuits, And semiconductor devices. ≪ RTI ID = 0.0 > [0002] < / RTI >

반도체 산업이 보다 높은 디바이스 밀도, 보다 높은 성능, 및 보다 낮은 비용을 추구하여 나노미터 기술 프로세스 노드들로 진행함에 따라, 제조 및 설계 쟁점들 모두로부터의 도전과제들은 핀 FET(Fin FET) 및 게이트-올-어라운드(GAA) FET를 포함하는 멀티-게이트 전계 효과 트랜지스터(FET)와 같은 3차원 설계들의 개발을 초래시켜 왔다. Fin FET에서, 게이트 전극은 채널 영역의 3개의 측면들에 인접하며, 게이트 유전체 층이 그들 사이에 개재된다. 게이트 구조물이 3면에서 핀을 에워싸기(둘러싸기) 때문에, 트랜지스터는 본질적으로 핀 또는 채널 영역을 통과하는 전류를 제어하는 3개의 게이트들을 갖는다. 불행히도, 네번째 면, 채널의 하단 부분은 게이트 전극으로부터 멀리 떨어져 있고, 따라서 폐쇄 게이트 제어 하에 있지 않다. 대조적으로, GAA FET에서, 채널 영역의 모든 측면들은 게이트 전극에 의해 둘러싸여 있고, 이는 채널 영역에서의 더 완전한 공핍을 허용하고, 더 급격한 역치 전류 스윙(SS) 및 더 작은 드레인 유도 배리어 저하(DIBL, drain induced barrier lowering)로 인한 단채널 효과 감소를 초래한다. 트랜지스터 치수가 10 내지 15nm 이하의 기술 노드까지 지속적으로 축소됨에 따라, GAA FET의 추가 개선이 요구된다.As the semiconductor industry moves to nanometer technology process nodes in pursuit of higher device density, higher performance, and lower cost, the challenges from both manufacturing and design issues are addressed by the use of finFETs and gate- Have led to the development of three-dimensional designs such as multi-gate field effect transistors (FETs) that include all-around (GAA) FETs. In FinFETs, the gate electrode is adjacent to three sides of the channel region, and a gate dielectric layer is interposed therebetween. Because the gate structure surrounds the pin on three sides, the transistor essentially has three gates that control the current through the pin or channel region. Unfortunately, on the fourth side, the bottom portion of the channel is remote from the gate electrode and is therefore not under closed gate control. In contrast, in a GAA FET, all sides of the channel region are surrounded by a gate electrode, which allows a more complete depletion in the channel region, a more abrupt threshold current swing (SS) and a smaller drain induced barrier degradation (DIBL, drain induced barrier lowering). As transistor dimensions continue to shrink to technology nodes below 10-15 nm, further improvements in GAA FETs are required.

본 개시물은 첨부 도면들과 함께 아래의 상세한 설명을 읽음으로써 최상으로 이해된다. 본 산업계에서의 표준적인 실시에 따라, 다양한 피처(feature)들은 실척도로 작도되지 않았으며 단지 설명을 목적으로 이용된다는 점이 강조된다. 실제로, 다양한 피처들의 치수들은 논의의 명료성을 위해 임의적으로 증가되거나 또는 감소될 수 있다.
도 1은 본 개시물의 실시예에 따른 제조 프로세스의 스테이지들 중 하나를 보여주는 GAA FET 반도체 디바이스의 등각투영도를 보여준다.
도 2는 본 개시물의 실시예들에 따른 반도체 FET 디바이스를 제조하는 다양한 스테이지들 중 하나의 스테이지의 단면도를 보여준다.
도 3는 본 개시물의 실시예들에 따른 반도체 FET 디바이스를 제조하는 다양한 스테이지들 중 하나의 스테이지의 단면도를 보여준다.
도 4는 본 개시물의 실시예들에 따른 반도체 FET 디바이스를 제조하는 다양한 스테이지들 중 하나의 스테이지의 단면도를 보여준다.
도 5는 본 개시물의 실시예들에 따른 반도체 FET 디바이스를 제조하는 다양한 스테이지들 중 하나의 스테이지의 단면도를 보여준다.
도 6a, 도 6b 및 도 6c는 본 개시물의 실시예들에 따른 반도체 FET 디바이스를 제조하는 다양한 스테이지들의 스테이지의 단면도를 보여준다.
도 7은 본 개시물의 실시예들에 따른 반도체 FET 디바이스를 제조하는 다양한 스테이지들 중 하나의 스테이지의 단면도를 보여준다.
도 8은 본 개시물의 실시예들에 따른 반도체 FET 디바이스를 제조하는 다양한 스테이지들 중 하나의 스테이지의 단면도를 보여준다.
도 9는 본 개시물의 실시예들에 따른 반도체 FET 디바이스를 제조하는 다양한 스테이지들 중 하나의 스테이지의 단면도를 보여준다.
도 9a, 도 9b 및 도 9c는 본 개시물의 실시예들에 따른 반도체 FET 디바이스를 제조하는 다양한 스테이지들 중 하나를 보여준다. 도 10a는 X-방향(도 1의 라인 A-A)으로 게이트 전극을 따라 취해진 단면도이다. 도 10b는 Y-방향(도 1의 라인 B-B)으로 핀 구조물을 따라 취해진 단면도이다.
도 11a 내지 11d는 본 개시물의 실시예들에 따른 반도체 FET 디바이스를 제조하는 다양한 스테이지들 중 하나를 보여준다. 도 11a는 X-방향(도 1의 라인 A-A)으로 게이트 전극을 따라 취해진 단면도이다. 도 11b는 Y-방향(도 1의 라인 B-B)으로 핀 구조물을 따라 취해진 단면도이다. 도 11c는 도 1의 라인 C-C을 따라 취해진 단면도이다. 도 11d는 도 1의 라인 D-D를 따라 취해진 단면도이다.
도 12a 내지 12d는 본 개시물의 실시예들에 따른 반도체 FET 디바이스를 제조하는 다양한 스테이지들 중 하나를 보여준다. 도 12a는 X-방향(도 1의 라인 A-A)으로 게이트 전극을 따라 취해진 단면도이다. 도 12b는 Y-방향(도 1의 라인 B-B)으로 핀 구조물을 따라 취해진 단면도이다. 도 12c는 도 1의 라인 C-C을 따라 취해진 단면도이다. 도 12d는 도 1의 라인 D-D를 따라 취해진 단면도이다. 도 12e는 또 다른 실시예의 도 1의 라인 B-B를 따라 취해진 단면도이다.
도 13a 내지 13d는 본 개시물의 실시예들에 따른 반도체 FET 디바이스를 제조하는 다양한 스테이지들 중 하나를 보여준다. 도 13a는 X-방향(도 1의 라인 A-A)으로 게이트 전극을 따라 취해진 단면도이다. 도 13b는 Y-방향(도 1의 라인 B-B)으로 핀 구조물을 따라 취해진 단면도이다. 도 13c는 도 1의 라인 C-C을 따라 취해진 단면도이다. 도 13d는 도 1의 라인 D-D를 따라 취해진 단면도이다. 도 13e는 도 1의 라인 C-C를 따라 취해진 단면도이고, 도 13f는 또 다른 실시예의 도 1의 라인 B-B를 따라 취해진 단면도이다.
도 14a 내지 14d는 본 개시물의 실시예들에 따른 반도체 FET 디바이스를 제조하는 다양한 스테이지들 중 하나를 보여준다. 도 14a는 X-방향(도 1의 라인 A-A)으로 게이트 전극을 따라 취해진 단면도이다. 도 14b는 Y-방향(도 1의 라인 B-B)으로 핀 구조물을 따라 취해진 단면도이다. 도 14c는 도 1의 라인 C-C를 따라 취해진 단면도이다. 도 14d는 도 1의 라인 D-D를 따라 취해진 단면도이다. 도 14e는 도 1의 라인 C-C를 따라 취해진 단면도이고, 도 14f는 또 다른 실시예의 도 1의 라인 B-B를 따라 취해진 단면도이다.
도 15a 내지 15d는 본 개시물의 실시예들에 따른 반도체 FET 디바이스를 제조하는 다양한 스테이지들 중 하나를 보여준다. 도 15a는 X-방향(도 1의 라인 A-A)으로 게이트 전극을 따라 취해진 단면도이다. 도 15b는 Y-방향(도 1의 라인 B-B)으로 핀 구조물을 따라 취해진 단면도이다. 도 15c는 도 1의 라인 C-C를 따라 취해진 단면도이다. 도 15d는 도 1의 라인 D-D를 따라 취해진 단면도이다. 도 15e는 도 1의 라인 C-C를 따라 취해진 단면도이고, 도 15f는 또 다른 실시예의 도 1의 라인 B-B를 따라 취해진 단면도이다. 도 15g는 도 15a의 핀 구조물의 상세한 단면도이다.
도 16a 내지 16d는 본 개시물의 실시예들에 따른 반도체 FET 디바이스를 제조하는 다양한 스테이지들 중 하나를 보여준다. 도 16a는 X-방향(도 1의 라인 A-A)으로 게이트 전극을 따라 취해진 단면도이다. 도 16b는 Y-방향(도 1의 라인 B-B)으로 핀 구조물을 따라 취해진 단면도이다. 도 16c는 도 1의 라인 C-C를 따라 취해진 단면도이다. 도 16d는 도 1의 라인 D-D를 따라 취해진 단면도이다. 도 16e는 도 1의 라인 C-C를 따라 취해진 단면도이고, 도 16f는 또 다른 실시예의 도 1의 라인 B-B를 따라 취해진 단면도이다.
도 17a 내지 17d는 본 개시물의 실시예들에 따른 반도체 FET 디바이스를 제조하는 다양한 스테이지들 중 하나를 보여준다. 도 17a는 X-방향(도 1의 라인 A-A)으로 게이트 전극을 따라 취해진 단면도이다. 도 17b는 Y-방향(도 1의 라인 B-B)으로 핀 구조물을 따라 취해진 단면도이다. 도 17c는 도 1의 라인 C-C를 따라 취해진 단면도이다. 도 17d는 도 1의 라인 D-D를 따라 취해진 단면도이다. 도 17e는 도 1의 라인 C-C를 따라 취해진 단면도이고, 도 17f는 또 다른 실시예의 도 1의 라인 B-B를 따라 취해진 단면도이다.
도 18a 내지 18d는 본 개시물의 실시예들에 따른 반도체 FET 디바이스를 제조하는 다양한 스테이지들 중 하나를 보여준다. 도 18a는 X-방향(도 1의 라인 A-A)으로 게이트 전극을 따라 취해진 단면도이다. 도 18b는 Y-방향(도 1의 라인 B-B)으로 핀 구조물을 따라 취해진 단면도이다. 도 18c는 도 1의 라인 C-C를 따라 취해진 단면도이다. 도 18d는 도 1의 라인 D-D를 따라 취해진 단면도이다. 도 18e는 도 1의 라인 C-C를 따라 취해진 단면도이고, 도 18f는 또 다른 실시예의 도 1의 라인 B-B를 따라 취해진 단면도이다.
The present disclosure is best understood by reading the following detailed description in conjunction with the accompanying drawings. In accordance with standard practice in the industry, it is emphasized that the various features are not drawn to scale and are used for illustrative purposes only. Indeed, the dimensions of the various features may be arbitrarily increased or decreased for clarity of discussion.
Figure 1 shows an isometric view of a GAA FET semiconductor device showing one of the stages of the manufacturing process according to an embodiment of the present disclosure.
Figure 2 shows a cross-sectional view of one of the various stages of fabricating a semiconductor FET device in accordance with embodiments of the present disclosure.
Figure 3 shows a cross-sectional view of one of the various stages of fabricating a semiconductor FET device according to embodiments of the present disclosure.
Figure 4 shows a cross-sectional view of one of the various stages of fabricating a semiconductor FET device in accordance with embodiments of the present disclosure.
Figure 5 shows a cross-sectional view of one of the various stages of fabricating a semiconductor FET device in accordance with embodiments of the present disclosure.
Figures 6A, 6B, and 6C show cross-sectional views of stages of various stages for fabricating semiconductor FET devices in accordance with embodiments of the present disclosure.
Figure 7 shows a cross-sectional view of one of the various stages of fabricating a semiconductor FET device according to embodiments of the present disclosure.
Figure 8 shows a cross-sectional view of one of the various stages of fabricating a semiconductor FET device according to embodiments of the present disclosure.
Figure 9 shows a cross-sectional view of one of the various stages of fabricating a semiconductor FET device in accordance with embodiments of the present disclosure.
Figures 9A, 9B and 9C show one of the various stages of fabricating a semiconductor FET device according to embodiments of the present disclosure. 10A is a cross-sectional view taken along the gate electrode in the X-direction (line AA in FIG. 1). 10B is a cross-sectional view taken along the pin structure in the Y-direction (line BB in FIG. 1).
11A-11D illustrate one of the various stages of fabricating a semiconductor FET device according to embodiments of the present disclosure. 11A is a cross-sectional view taken along the gate electrode in the X-direction (line AA in FIG. 1). 11B is a cross-sectional view taken along the pin structure in the Y-direction (line BB in FIG. 1). 11C is a cross-sectional view taken along the line CC of FIG. 11D is a cross-sectional view taken along line DD of FIG.
12A-12D illustrate one of the various stages of fabricating a semiconductor FET device according to embodiments of the present disclosure. 12A is a cross-sectional view taken along the gate electrode in the X-direction (line AA in FIG. 1). 12B is a cross-sectional view taken along the pin structure in the Y-direction (line BB in FIG. 1). 12C is a cross-sectional view taken along line CC of FIG. 12D is a cross-sectional view taken along the line DD of FIG. Figure 12E is a cross-sectional view taken along line BB of Figure 1 of yet another embodiment.
13A-13D show one of the various stages of fabricating a semiconductor FET device according to embodiments of the present disclosure. 13A is a cross-sectional view taken along the gate electrode in the X-direction (line AA in FIG. 1). 13B is a cross-sectional view taken along the pin structure in the Y-direction (line BB in FIG. 1). 13C is a cross-sectional view taken along the line CC of FIG. 13D is a cross-sectional view taken along the line DD of FIG. FIG. 13E is a cross-sectional view taken along line CC of FIG. 1, and FIG. 13F is a cross-sectional view taken along line BB of FIG. 1 of still another embodiment.
14A-14D show one of the various stages of fabricating a semiconductor FET device according to embodiments of the present disclosure. 14A is a cross-sectional view taken along the gate electrode in the X-direction (line AA in FIG. 1). 14B is a cross-sectional view taken along the pin structure in the Y-direction (line BB in FIG. 1). Fig. 14C is a cross-sectional view taken along line CC of Fig. 14D is a cross-sectional view taken along the line DD of FIG. FIG. 14E is a cross-sectional view taken along line CC of FIG. 1, and FIG. 14F is a cross-sectional view taken along line BB of FIG. 1 of still another embodiment.
15A-15D show one of the various stages of fabricating a semiconductor FET device according to embodiments of the present disclosure. 15A is a cross-sectional view taken along the gate electrode in the X-direction (line AA in FIG. 1). 15B is a cross-sectional view taken along the fin structure in the Y-direction (line BB in FIG. 1). 15C is a cross-sectional view taken along line CC of FIG. 15D is a cross-sectional view taken along line DD of FIG. FIG. 15E is a cross-sectional view taken along line CC of FIG. 1, and FIG. 15F is a cross-sectional view taken along line BB of FIG. 1 of still another embodiment. 15G is a detailed cross-sectional view of the pin structure of FIG. 15A.
16A-16D show one of the various stages of fabricating a semiconductor FET device according to embodiments of the present disclosure. 16A is a cross-sectional view taken along the gate electrode in the X-direction (line AA in FIG. 1). 16B is a cross-sectional view taken along the pin structure in the Y-direction (line BB in FIG. 1). 16C is a cross-sectional view taken along line CC of FIG. 16D is a cross-sectional view taken along the line DD of FIG. FIG. 16E is a cross-sectional view taken along line CC of FIG. 1, and FIG. 16F is a cross-sectional view taken along line BB of FIG. 1 of still another embodiment.
17A-17D illustrate one of the various stages of fabricating a semiconductor FET device according to embodiments of the present disclosure. 17A is a cross-sectional view taken along the gate electrode in the X-direction (line AA in FIG. 1). 17B is a cross-sectional view taken along the pin structure in the Y-direction (line BB in FIG. 1). Fig. 17C is a cross-sectional view taken along line CC of Fig. 17D is a cross-sectional view taken along line DD of FIG. FIG. 17E is a cross-sectional view taken along line CC of FIG. 1, and FIG. 17F is a cross-sectional view taken along line BB of FIG. 1 of still another embodiment.
18A-18D show one of the various stages of fabricating a semiconductor FET device according to embodiments of the present disclosure. 18A is a cross-sectional view taken along the gate electrode in the X-direction (line AA in FIG. 1). 18B is a cross-sectional view taken along the pin structure in the Y-direction (line BB in FIG. 1). 18C is a cross-sectional view taken along the line CC of FIG. 18D is a cross-sectional view taken along line DD of FIG. FIG. 18E is a cross-sectional view taken along line CC of FIG. 1, and FIG. 18F is a cross-sectional view taken along line BB of FIG. 1 of still another embodiment.

아래의 발명개시는 발명의 여러 피처들을 구현하기 위한 많은 여러 실시예들 또는 예시들을 제공하는 것으로 이해되어야 한다. 본 개시내용을 단순화하기 위해 컴포넌트들 및 배열들의 특정 실시예들 또는 예들이 아래에서 설명된다. 물론, 이것들은 단지 예시들에 불과하며, 한정하는 것으로 의도된 것은 아니다. 예를 들어, 엘리먼트들의 치수들은 개시된 범위 또는 값들에 제한되지 않지만, 프로세스 조건들 및/또는 디바이스의 원하는 특성들에 의존할 수 있다. 뿐만 아니라, 이후의 상세설명에서 제2 피처 상의 또는 제2 피처 위의 제1 피처의 형성은 제1 피처 및 제2 피처가 직접적으로 접촉하여 형성되는 실시예들을 포함할 수 있으며, 또한 제1 피처 및 제2 피처가 직접적으로 접촉하지 않을 수 있도록 추가적인 피처들이 제1 피처와 제2 피처 사이에 개재하여 형성될 수 있는 실시예들을 포함할 수 있다. 다양한 피처들은 단순하고 명료하게 하기 위해 상이한 스케일들로 임의적으로 도시될 수 있다.It should be understood that the following inventions provide many different embodiments or examples for implementing various features of the invention. Specific embodiments or examples of components and arrangements are described below to simplify the present disclosure. Of course, these are merely illustrative, and not intended to be limiting. For example, the dimensions of the elements are not limited to the ranges or values disclosed, but may depend on the process conditions and / or the desired characteristics of the device. In addition, the formation of the first feature on the second feature or on the second feature in the following description may include embodiments in which the first feature and the second feature are formed in direct contact, And embodiments in which additional features may be formed interposing between the first feature and the second feature such that the second feature may not be in direct contact. The various features may be optionally shown at different scales for simplicity and clarity.

또한, "밑에", "아래에", "하부에", "위에", "상부에" 등과 같은 공간적으로 상대적인 용어들은 도면들에 예시되는 다른 엘리먼트(들) 또는 피처(들)에 대한 하나의 엘리먼트 또는 피처의 관계를 설명하기 위하여 설명의 용이성을 위해 본 명세서에서 사용될 수 있다. 공간적으로 상대적인 용어들은 도면들에 도시된 배향에 부가하여 사용시 또는 동작시 디바이스의 상이한 배향들을 포함하도록 의도된다. 장치는 다른 방식으로 배향될 수 있거나(90도 또는 다른 배향으로 회전될 수 있음), 본 명세서에서 사용된 공간적으로 상대적인 디스크립터는 그에 따라 유사하게 해석될 수 있다. 또한, "~로 만들어진"이라는 용어는 "포함하는” 또는 "~로 구성되는"을 의미할 수 있다. 본 명세서에서, 문구 "A, B 및 C 중 하나"는 "A, B 및/또는 C"(A; B; C; A 및 B; A 및 C; B 및 C; 또는 A, B 및 C)를 의미하며, 달리 설명되지 않는 한, A로부터의 하나의 엘리먼트, B로부터의 하나의 엘리먼트, C로부터의 하나의 엘리먼트를 의미하지는 않는다.Also, spatially relative terms such as "under", "under", "under", "above", "above" and the like refer to one element Element or feature may be used herein for ease of description. Spatially relative terms are intended to include different orientations of the device upon use or operation in addition to the orientations shown in the Figures. The device may be oriented in other ways (which may be rotated 90 degrees or in other orientations), and the spatially relative descriptors used herein may be similarly interpreted accordingly. In this specification, the phrase "one of A, B, and C" refers to "A, B, and / or C Means one element from A, one element from B (A, B, C, A and B; A and C; B and C; or A, B and C) , But does not mean one element from C.

본 개시물에서, GAA FET 및 적층 채널 FET를 제조하기 위한 방법이 제공된다. 본 개시물에서, 소스 및 드레인은 상호교환가능하게 사용되고 그 구조는 실질적으로 동일하다는 것에 유념한다.In this disclosure, a method for fabricating a GAA FET and a stacked channel FET is provided. Note that in this disclosure, the source and the drain are used interchangeably and the structure is substantially the same.

도 1은 본 개시물의 실시예에 따른 제조 프로세스의 스테이지들 중 하나를 보여주는 GAA FET 반도체 디바이스의 등각투영도를 보여준다. X-방향으로 연장되는 하나 이상의 게이트 전극(100)은 Y-방향으로 연장되는 하나 이상의 핀 구조물(35) 위에 배치된다. X-방향은 실질적으로 Y-방향에 수직하다. 핀 구조물들(35)는 반도체 기판(10) 상에 형성된다. 핀 구조물(35)의 하부 부분은 격리 절연 층(45)에 임베딩되고, 게이트 전극(100)은 반도체 나노와이어들(20)을 감싼다.Figure 1 shows an isometric view of a GAA FET semiconductor device showing one of the stages of the manufacturing process according to an embodiment of the present disclosure. One or more gate electrodes 100 extending in the X-direction are disposed on one or more pin structures 35 extending in the Y-direction. The X-direction is substantially perpendicular to the Y-direction. The pin structures 35 are formed on the semiconductor substrate 10. The lower portion of the fin structure 35 is embedded in an isolation layer 45 and the gate electrode 100 surrounds the semiconductor nanowires 20. [

도 2 내지 도 18f는 본 개시물의 실시예들에 따른 GAA FET를 제조하기 위한 예시적인 순차적 프로세스들을 보여준다. 도 2 내지 도 18f에 도시된 프로세스들 이전, 프로세스들 동안 및 프로세스들 이후에 부가적인 동작들이 제공될 수 있으며, 방법의 추가적 실시예들에 대해 아래에 설명되는 동작들 중 일부가 대체되거나 제거될 수 있다는 것이 이해된다. 동작들/프로세스들의 순서는 상호교환가능할 수 있다.Figures 2 to 18F illustrate exemplary sequential processes for fabricating GAA FETs in accordance with embodiments of the present disclosure. Additional operations may be provided before, during and after the processes illustrated in Figures 2 to 18f, and some of the operations described below for the additional embodiments of the method may be replaced or eliminated . The order of operations / processes may be interchangeable.

도 2는 본 개시물의 실시예들에 따른 반도체 FET 디바이스를 제조하는 다양한 스테이지들 중 하나의 스테이지의 단면도를 보여준다. 도 2에 도시된 바와 같이, 반도체 기판(10)이 제공된다. 몇몇 실시예들에서, 기판(10)은 적어도 그 표면 부분 상에 단결정 반도체 층을 포함한다. 기판(10)은 Si, Ge, SiGe, GaAs, InSb, GaP, GaSb, InAlAs, InGaAs, GaSbP, GaAsSb 및 InP와 같은(그러나 이에 제한되는 것은 아님) 단결정 반도체 재료를 포함할 수 있다. 특정 실시예들에서, 기판(10)은 결정질 Si로 이루어진다.Figure 2 shows a cross-sectional view of one of the various stages of fabricating a semiconductor FET device in accordance with embodiments of the present disclosure. As shown in Fig. 2, a semiconductor substrate 10 is provided. In some embodiments, the substrate 10 includes at least a single crystal semiconductor layer on its surface portion. The substrate 10 may include single crystal semiconductor materials such as, but not limited to, Si, Ge, SiGe, GaAs, InSb, GaPb, GaSb, InAlAs, InGaAs, GaSbP, GaAsSb and InP. In certain embodiments, the substrate 10 is comprised of crystalline Si.

기판(10)은 그 표면 영역에 하나 이상의 버퍼 층(미도시)을 포함할 수 있다. 버퍼 층들은 기판의 격자 상수로부터 소스/드레인 영역의 격자 상수까지 점진적으로 격자 상수를 변화시키는 역할을 할 수 있다. 버퍼 층들은 Si, Ge, GeSn, SiGe, GaAs, InSb, GaP, GaSb, InAlAs, InGaAs, GaSbP, GaAsSb, GaN, GaP 및 InP와 같은(그러나 이에 제한되는 것은 아님) 에피택셜하게 성장된 단결정 반도체 재료로부터 형성될 수 있다.The substrate 10 may include one or more buffer layers (not shown) in its surface region. The buffer layers may act to gradually change the lattice constant from the lattice constant of the substrate to the lattice constant of the source / drain regions. The buffer layers may be epitaxially grown single crystal semiconductor materials such as (but not limited to) Si, Ge, GeSn, SiGe, GaAs, InSb, GaP, GaSb, InAlAs, InGaAs, GaSbP, GaAsSb, GaN, GaP, / RTI >

도 2에 도시된 바와 같이, 불순물 이온들(도펀트들)(12)이 실리콘 기판(10)에 주입되어 웰 영역을 형성한다. 이온 주입은 펀치 스루(punch-through) 효과를 방지하기 위해 수행된다. 기판(10)은 불순물들(예를 들어, p 타입 또는 n 타입 전도도)로 적절하게 도핑된 다양한 영역들을 포함할 수 있다. 도펀트들(12)은 예를 들어, n 타입 Fin FET의 경우는 붕소(BF2), p 타입 FinFET의 경우는 인이다.As shown in FIG. 2, impurity ions (dopants) 12 are implanted into the silicon substrate 10 to form a well region. Ion implantation is performed to prevent punch-through effects. Substrate 10 may include various regions suitably doped with impurities (e.g., p-type or n-type conductivity). The dopants 12 are, for example, boron (BF2) for n-type FinFETs and phosphorous for p-type FinFETs.

그 후, 도 3에 도시된 바와 같이, 제1 반도체 층(15)이 기판(10) 위에 형성된다. 몇몇 실시예들에서, 제1 반도체 층(15)은 제1 반도체 재료로 형성된다. 몇몇 실시예들에서, 제1 반도체 재료는 제1 IV족 원소 및 제2 IV족 원소를 포함한다. IV족 원소들은 C, Si, Ge, Sn 및 Pb로 구성되는 그룹으로부터 선택된다. 몇몇 실시예들에서, 제1 Ⅳ족 원소는 Si이고, 제2 Ⅳ족 원소는 Ge이다. 특정 실시예들에서, 제1 반도체 재료는 Si1-xGex이고, 여기서 0.3 ≤ x ≤ 0.9이고, 다른 실시예들에서는 0.4 ≤ x ≤ 0.7이다.Then, as shown in FIG. 3, a first semiconductor layer 15 is formed on the substrate 10. In some embodiments, the first semiconductor layer 15 is formed of a first semiconductor material. In some embodiments, the first semiconductor material comprises a first group IV element and a second group IV element. Group IV elements are selected from the group consisting of C, Si, Ge, Sn and Pb. In some embodiments, the first IV group element is Si and the second IV group element is Ge. In certain embodiments, the first semiconductor material is Si 1-x Ge x , where 0.3 ≦ x ≦ 0.9, and in other embodiments 0.4 ≦ x ≦ 0.7.

도 4에 도시된 바와 같이, 제2 반도체 층(20)이 그 뒤에 제1 반도체 층(15) 위에 형성된다. 몇몇 실시예들에서, 제2 반도체 층(20)은 제2 반도체 재료로 형성된다. 몇몇 실시예들에서, 제2 반도체 재료는 제1 IV족 원소 및 제2 IV족 원소를 포함한다. 몇몇 실시예들에서, 제1 Ⅳ족 원소는 Si이고, 제2 Ⅳ족 원소는 Ge이다. 몇몇 실시예들에서, 제1 반도체 재료에서의 제1 IV족 원소 및 상기 제2 IV족 원소의 양은 제2 반도체 재료에서와 상이하다. 몇몇 실시예들에서, 제1 반도체 재료에서 Ge의 양은 제2 반도체 재료에서의 Ge의 양을 초과한다. 특정 실시예들에서, 제2 반도체 재료는 Si1-yGey이고, 여기서 0.1 ≤ y ≤ 0.5이고, x > y이며, 다른 실시예들에서는 0.2 ≤ y ≤ 0.4이다.As shown in FIG. 4, a second semiconductor layer 20 is formed on the first semiconductor layer 15 thereafter. In some embodiments, the second semiconductor layer 20 is formed of a second semiconductor material. In some embodiments, the second semiconductor material comprises a first group IV element and a second group IV element. In some embodiments, the first IV group element is Si and the second IV group element is Ge. In some embodiments, the amounts of the first IV group element and the second IV group element in the first semiconductor material are different from those in the second semiconductor material. In some embodiments, the amount of Ge in the first semiconductor material exceeds the amount of Ge in the second semiconductor material. In certain embodiments, the second semiconductor material is Si 1-y Ge y , where 0.1 ≤ y ≤ 0.5, x> y, and in other embodiments 0.2 ≤ y ≤ 0.4.

다음으로, 도 5에 도시된 바와 같이, 또 다른 제1 반도체 층(15)이 제2 반도체 층(20) 위에 형성된다. 또 다른 제1 반도체 층(15)이 도 3을 참조하여 상기 개시된 것과 동일한 재료로 형성된다. 제3 반도체 층(25)은 또 다른 제1 반도체 층(15) 위에 형성된다. 몇몇 실시예들에서, 제3 반도체 층(25)은 IV족 원소로 이루어진다. 몇몇 실시예들에서, 제3 반도체 층(25)은기판(10)과 동일한 재료로 이루어진다.Next, another first semiconductor layer 15 is formed on the second semiconductor layer 20, as shown in Fig. Another first semiconductor layer 15 is formed of the same material as described above with reference to Fig. The third semiconductor layer 25 is formed on another first semiconductor layer 15. In some embodiments, the third semiconductor layer 25 is made of a Group IV element. In some embodiments, the third semiconductor layer 25 is made of the same material as the substrate 10.

제1 반도체 층(15), 제2 반도체 층(20) 및 제3 반도체 층(25)은 몇몇 실시예들에서 상이한 격자 상수들을 갖는 재료들로 이루어지며, Si, Ge, SiGe, GaAs, InSb, GaP, GaSb, InAlAs, InGaAs, GaSbP, GaAsSb 또는 InP의 하나 이상의 층을 포함할 수 있다. 몇몇 실시예들에서, 제1 반도체 층들(15), 제2 반도체 층(20) 및 제3 반도체 층들(25)은 상이한 재료들로 이루어진다. 일 실시예에서, 제1 반도체 층들(15)은 Si1-xGex로 이루어지고, 여기서 0.3 ≤ x ≤ 0.7이고, 제2 반도체 층(20)은 Si1-yGey로 이루어지고, 여기서 0.2 ≤ y ≤ 0.5이고, x > y이며, 제3 반도체 층(25)은 Si로 이루어진다.The first semiconductor layer 15, the second semiconductor layer 20 and the third semiconductor layer 25 are made of materials having different lattice constants in some embodiments and may be made of Si, Ge, SiGe, GaAs, InSb, GaP, GaSb, InAlAs, InGaAs, GaSbP, GaAsSb, or InP. In some embodiments, the first semiconductor layers 15, the second semiconductor layer 20, and the third semiconductor layers 25 are made of different materials. In one embodiment, the first semiconductor layers 15 are made of Si 1-x Ge x , where 0.3 ≦ x ≦ 0.7 and the second semiconductor layer 20 is made of Si 1-y Ge y , where 0.2? Y? 0.5, x> y, and the third semiconductor layer 25 is made of Si.

몇몇 실시예들에서, 제1 반도체 층(15)의 두께는 약 0.5 nm 내지 약 5 nm이고, 제2 반도체 층(20)의 두께는 약 3 nm 내지 약 20 nm이고, 제3 반도체 층(25)의 두께는 약 2 nm 내지 약 18 nm이다. 다른 실시예들에서, 제1 반도체 층(15)의 두께는 약 0.5 nm 내지 약 2 nm이고, 제2 반도체 층(20)의 두께는 약 5 nm 내지 약 15 nm이고, 제3 반도체 층(25)의 두께는 약 3 nm 내지 약 12 nm이다. 몇몇 실시예들에서, 제2 반도체 층(20)의 두께는 제3 반도체 층(25)의 두께를 초과하며, 제3 반도체 층(25)의 두께는 제1 반도체 층(15)의 두께를 초과한다.In some embodiments, the thickness of the first semiconductor layer 15 is about 0.5 nm to about 5 nm, the thickness of the second semiconductor layer 20 is about 3 nm to about 20 nm, the thickness of the third semiconductor layer 25 ) Is from about 2 nm to about 18 nm. In other embodiments, the thickness of the first semiconductor layer 15 is about 0.5 nm to about 2 nm, the thickness of the second semiconductor layer 20 is about 5 nm to about 15 nm, the thickness of the third semiconductor layer 25 ) Is from about 3 nm to about 12 nm. In some embodiments, the thickness of the second semiconductor layer 20 exceeds the thickness of the third semiconductor layer 25 and the thickness of the third semiconductor layer 25 exceeds the thickness of the first semiconductor layer 15 do.

제1 반도체 층(15), 제2 반도체 층(20) 및 제3 반도체 층(25)은 하나 이상의 에피택시 또는 에피택셜(에피(epi)) 프로세스들에 의해 형성될 수 있다. 에피택시 프로세스들은 CVD 증착 기법들(예를 들어, 기상 에피택시(VPE, Vapor-Phase Epitaxy) 및/또는 초고진공 CVD(UHV-CVD, Ultra-High Vacuum CVD)), 분자 빔 에피택시, 및/또는 다른 적절한 프로세스들을 포함한다.The first semiconductor layer 15, the second semiconductor layer 20 and the third semiconductor layer 25 may be formed by one or more epitaxial or epitaxial (epi) processes. The epitaxy processes may be performed by CVD deposition techniques (e.g., VPE, and / or ultra-high vacuum CVD (UHV-CVD)), molecular beam epitaxy, and / Or other suitable processes.

다음으로, 몇몇 실시예들에서, 추가의 제1 반도체 층들(A)(15), 제2 반도체 층들(B)(20) 및 제3 반도체 층들(C)(25)은 도 6a에 도시된 바와 같이 반복 시퀀스 ABAC로 적층된다. 도 6a에서 반도체 층들의 3번의 반복 시퀀스 ABAC가 도시되어 있지만, 반복 시퀀스들의 수는 3번으로 제한되지 않고, 1(각각의 층)만큼 작을 수 있고, 몇몇 실시예들에서, 2 내지 10번의 반복 시퀀스 ABAC가 형성된다. 다른 실시예들에서, 도 3b에 도시된 바와 같이, ACAB의 반복 시퀀스들이 형성된다. 적층된 층들의 개수를 조정함으로써, GAA FET 디바이스의 구동 전류는 조정될 수 있다.Next, in some embodiments, additional first semiconductor layers (A) 15, second semiconductor layers (B) 20, and third semiconductor layers (C) 25 are formed as shown in FIG. 6A Are stacked together in a repeating sequence ABAC. Although the three repetitive sequences ABAC of the semiconductor layers are shown in Fig. 6A, the number of repetitive sequences is not limited to three, but may be as small as one (each layer), and in some embodiments, two to ten iterations A sequence ABAC is formed. In other embodiments, repeated sequences of ACAB are formed, as shown in FIG. 3B. By adjusting the number of stacked layers, the driving current of the GAA FET device can be adjusted.

기판(10)이 제3 반도체 층들(25)과는 상이한 재료로 이루어지는 몇몇 실시예들에서, 기판(10) 상에 형성된 최하단 반도체 층은 제3 반도체 층(C)(25)이다. 제3 반도체 층(C)(25)의 초기 층의 형성 후에, 도 6c에 도시된 바와 같이, 제1 반도체 층(A)(15), 제2 반도체 층(B)(20), 제1 반도체 층(A)(15), 제3 반도체 층(C)(25)의 반복 시퀀스들 ABAC가 형성된다.In some embodiments in which the substrate 10 is made of a material different from the third semiconductor layers 25, the bottom semiconductor layer formed on the substrate 10 is a third semiconductor layer (C) 25. After formation of the initial layer of the third semiconductor layer (C) 25, the first semiconductor layer (A) 15, the second semiconductor layer (B) 20, Repeating sequences ABAC of the layer (A) 15 and the third semiconductor layer (C) 25 are formed.

몇몇 실시예들에서, 도 7에서 도시된 바와 같이, 마스크 층(30)이 최상단 반도체 층 위에 형성된다. 마스크 층(30)은 제1 마스크 층(32) 및 제2 마스크 층(35)을 포함한다. 제1 마스크 층(32)은 열 산화 또는 화학 기상 증착(CVD)에 의해 형성될 수 있는 실리콘 산화물로 이루어진 패드 산화물 층이다. 제2 마스크 층(16B)은 저압 CVD(LPCVD, low pressure CVD) 및 플라즈마 강화 CVD(PECVD, plasma enhanced CVD)를 포함하는 CVD, 물리 기상 증착(PVD, physical vapor deposition), 원자 층 증착(ALD, atomic layer deposition), 또는 다른 적절한 프로세스에 의해 형성되는 실리콘 질화물로 이루어진다. 마스크 층(30)은 포토리소그래피 및 에칭을 포함하는 패터닝 동작들을 사용함으로써 마스크 패턴으로 패터닝된다.In some embodiments, as shown in Figure 7, a mask layer 30 is formed over the topmost semiconductor layer. The mask layer 30 includes a first mask layer 32 and a second mask layer 35. The first mask layer 32 is a pad oxide layer of silicon oxide that can be formed by thermal oxidation or chemical vapor deposition (CVD). The second mask layer 16B may be formed by CVD including low pressure CVD (LPCVD) and plasma enhanced CVD (PECVD), physical vapor deposition (PVD), atomic layer deposition (ALD, atomic layer deposition), or other suitable process. The mask layer 30 is patterned into a mask pattern by using patterning operations including photolithography and etching.

다음으로, 도 8에 도시된 바와 같이, 패터닝된 마스크 층(16)을 사용함으로써 제1, 제2 및 제3 반도체 층들(15, 20, 25)의 적층된 층들은 패터닝되어, 적층된 층들은 Y 방향으로 연장되는 핀 구조물들(35)로 형성된다. 도 8에서, 2개의 핀 구조물들(35)은 X 방향으로 배열된다. 그러나, 핀 구조물들의 개수는 2개로 제한되지 않고, 1개만큼 적거나 또는 3개 이상일 수 있다. 몇몇 실시예들에서, 하나 이상의 더미 핀 구조물은 핀 구조물들(35)의 양 측면 상에 형성되어 패터닝 동작들에서 패턴 충실도를 향상시킨다. 도 8에 도시된 바와 같이, 핀 구조물들(35)은 적층된 반도체 층들(15, 20, 25) 및 웰(well) 부분들(40)에 의해 구성된 상부 부분들을 갖는다.Next, as shown in FIG. 8, the stacked layers of the first, second and third semiconductor layers 15, 20, 25 are patterned by using the patterned mask layer 16 so that the stacked layers And is formed of pin structures 35 extending in the Y direction. 8, the two pin structures 35 are arranged in the X direction. However, the number of pin structures is not limited to two, but may be as few as one or three or more. In some embodiments, one or more dummy pin structures are formed on both sides of the fin structures 35 to improve pattern fidelity in patterning operations. As shown in FIG. 8, the fin structures 35 have top portions configured by laminated semiconductor layers 15, 20, 25 and well portions 40.

핀 구조물의 상부 부분의 X 방향을 따른 폭(W1)은 몇몇 실시예들에서 약 5 nm 내지 약 40 nm의 범위이고, 다른 실시예들에서는 약 10 nm 내지 약 30 nm의 범위이다. 몇몇 실시예들에서, 핀 구조물의 Z 방향을 따른 높이(H1)는 약 100 nm 내지 약 200 nm의 범위이다.The width W1 along the X direction of the upper portion of the pin structure is in the range of about 5 nm to about 40 nm in some embodiments and in the range of about 10 nm to about 30 nm in other embodiments. In some embodiments, the height H1 along the Z direction of the fin structure ranges from about 100 nm to about 200 nm.

적층된 핀 구조물(35)은 임의의 적합한 방법에 의해 패터닝될 수 있다. 예를 들어, 구조물들은 이중 패터닝 또는 다중 패터닝 프로세스들을 포함하는 하나 이상의 포토리소그래피 프로세스를 사용하여 패터닝될 수 있다. 일반적으로, 이중 패터닝 또는 다중 패터닝 프로세스는 포토리소그래피 및 자기 정렬 프로세스를 결합하여, 예를 들어 단일의 직접 포토리소그래피 프로세스를 사용하여 얻을 수 있는 것보다 더 작은 피치들을 갖는 패턴들이 생성되도록 허용한다. 예를 들어, 일 실시예에서, 희생 층이 기판 위에 형성되고 포토리소그래피 프로세스를 사용하여 패터닝된다. 스페이서들은 자기 정렬 프로세스를 사용하여 패터닝된 희생 층과 함께 형성된다. 희생 층은 그 후 제거되고, 나머지 스페이서들은 그 후 적층된 핀 구조물(35)을 패터닝하는데 사용될 수 있다.The stacked fin structure 35 may be patterned by any suitable method. For example, structures may be patterned using one or more photolithographic processes including dual patterning or multiple patterning processes. Generally, a dual patterning or multiple patterning process combines the photolithography and self-aligning processes to allow patterns to be produced having smaller pitches than can be achieved, for example, using a single direct photolithography process. For example, in one embodiment, a sacrificial layer is formed over the substrate and patterned using a photolithographic process. Spacers are formed with the patterned sacrificial layer using a self-aligning process. The sacrificial layer is then removed and the remaining spacers can then be used to pattern the stacked fin structure 35.

핀 구조물들(35)이 형성된 후에, 절연 재료의 하나 이상의 층을 포함하는 절연 재료 층이 기판 위에 형성되어, 핀 구조물들이 절연 층에 완전히 임베딩된다. 절연 층을 위한 절연 재료는 LPCVD(low pressure chemical vapor deposition), 플라즈마 CVD 또는 유동성 CVD에 의해 형성된 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물(SiON), SiOCN, SiCN, 불소 도핑된 실리케이트 유리(FSG, fluorine-doped silicate glass), 또는 로우-k 유전체 재료를 포함할 수 있다. 어닐링 동작은 절연 층의 형성 이후에 수행될 수 있다. 그 후, 화학 기계적 연마(CMP, chemical mechanical polishing) 방법 및/또는 에치-백(etch-back) 방법과 같은 평탄화 동작이 수행되어, 최상부 제3 반도체 층(25)의 상부면이 절연 재료 층으로부터 노출된다. 몇몇 실시예들에서, 핀 라이너 층(50)은 절연 재료 층을 형성하기 이전에 핀 구조물들 위에 형성된다. 핀 라이너 층(50)은 Si3N4 또는 실리콘 질화물계 재료(예를 들어, SiON, SiCN 또는 SiOCN)로 이루어진다.After the pin structures 35 are formed, a layer of insulating material comprising at least one layer of insulating material is formed on the substrate such that the pin structures are fully embedded in the insulating layer. The insulating material for the insulating layer may be silicon oxide, silicon nitride, silicon oxynitride (SiON), SiOCN, SiCN, fluorine doped silicate glass (FSG, fluorine) formed by low pressure chemical vapor deposition (LPCVD), plasma CVD, -doped silicate glass, or a low-k dielectric material. The annealing operation may be performed after formation of the insulating layer. Then, a planarization operation such as a chemical mechanical polishing (CMP) method and / or an etch-back method is performed so that the upper surface of the uppermost third semiconductor layer 25 is removed from the insulating material layer Exposed. In some embodiments, the fin liner layer 50 is formed over the fin structures prior to forming the insulating material layer. The fin liner layer 50 is made of Si 3 N 4 or a silicon nitride based material (e.g., SiON, SiCN or SiOCN).

몇몇 실시예들에서, 핀 라이너 층들(50)은 핀 구조물들(35)의 하단부의 측벽들 및 기판(10) 위에 형성된 제1 핀 라이너 층, 및 제1 핀 라이너 층 상에 형성된 제2 핀 라이너 층을 포함한다. 몇몇 실시예들에서, 라이너 층들 각각은 약 1nm 내지 약 20nm의 두께를 갖는다. 몇몇 실시예들에서, 제1 핀 라이너 층은 실리콘 산화물을 포함하고 약 0.5nm 내지 약 5nm의 두께를 갖고, 제2 핀 라이너 층은 실리콘 질화물을 포함하고 약 0.5nm 내지 약 5nm의 두께를 갖는다. 라이너 층은 물리 기상 증착(PVD), 화학 기상 증착(CVD) 또는 원자 층 증착(ALD)과 같은 하나 이상의 프로세스를 통해 성막될 수 있으나, 수용가능한 임의의 프로세스가 이용될 수도 있다.In some embodiments, the fin liner layers 50 include a first fin liner layer formed on the sidewalls of the lower end of the fin structures 35 and the substrate 10, and a second fin liner layer < RTI ID = 0.0 > Layer. In some embodiments, each of the liner layers has a thickness of from about 1 nm to about 20 nm. In some embodiments, the first fin liner layer comprises silicon oxide and has a thickness of from about 0.5 nm to about 5 nm, and the second fin liner layer comprises silicon nitride and has a thickness of from about 0.5 nm to about 5 nm. The liner layer may be deposited through one or more processes such as physical vapor deposition (PVD), chemical vapor deposition (CVD) or atomic layer deposition (ALD), but any acceptable process may be used.

그 후, 도 9에 도시된 바와 같이, 절연 재료 층은 격리 절연 층(45)을 형성하기 위해 리세스되어, 핀 구조물들(35)의 상부 부분들이 노출된다. 이 동작으로, 핀 구조물들(35)은 얕은 트렌치 격리(STI, Shallow Trench Isolation)라고도 불리는 격리 절연 층(45)에 의해 서로 전기적으로 분리된다.9, the insulating material layer is recessed to form the isolating insulation layer 45, so that the upper portions of the pin structures 35 are exposed. With this operation, the pin structures 35 are electrically isolated from each other by an isolating insulation layer 45, also referred to as Shallow Trench Isolation (STI).

도 9에 도시된 실시예에서, 절연 재료 층(45)은 웰 영역(40)의 상부 부분이 노출될 때까지 리세스된다. 다른 실시예들에서, 웰 영역(40)의 상부 부분은 노출되지 않는다.In the embodiment shown in FIG. 9, the insulating material layer 45 is recessed until the upper portion of the well region 40 is exposed. In other embodiments, the upper portion of the well region 40 is not exposed.

격리 절연 층(45)이 형성된 이후에, 도 10a 및 도 10b에 도시된 바와 같이, 희생(더미) 게이트 구조물(52)이 형성된다. 도 10a는 X-방향(라인 A-A)으로 게이트 전극을 따라 취해진 단면도이다. 도 10b는 Y-방향(라인 B-B)으로 핀 구조물을 따라 취해진 단면도이다. 도 10a 내지 도 10c는 희생 게이트 구조물(52)이 노출된 핀 구조물들(35) 위에 패터닝된 후의 구조를 예시한다. 희생 게이트 구조물(52)은 채널 영역이 될 핀 구조물들(35)의 일부분 위에 형성된다. 희생 게이트 구조물(52)은 GAA FET의 채널 영역을 정의한다. 희생 게이트 구조물(52)은 희생 게이트 유전체 층(55) 및 희생 게이트 전극 층(60)을 포함한다. 희생 게이트 유전체 층(55)은 실리콘 산화물계 재료와 같은 절연 재료의 하나 이상의 층을 포함한다. 일 실시예에서, CVD에 의해 형성된 실리콘 산화물이 사용된다. 몇몇 실시예들에서, 희생 게이트 유전체 층(55)의 두께는 약 1nm 내지 약 5nm의 범위이다.After the isolation insulating layer 45 is formed, a sacrificial (dummy) gate structure 52 is formed, as shown in FIGS. 10A and 10B. 10A is a cross-sectional view taken along the gate electrode in the X-direction (line A-A). 10B is a cross-sectional view taken along the pin structure in the Y-direction (line B-B). FIGS. 10A-C illustrate the structure after the sacrificial gate structure 52 is patterned over exposed pin structures 35. FIG. A sacrificial gate structure 52 is formed over a portion of the pin structures 35 which will be the channel region. The sacrificial gate structure 52 defines the channel region of the GAA FET. The sacrificial gate structure 52 includes a sacrificial gate dielectric layer 55 and a sacrificial gate electrode layer 60. The sacrificial gate dielectric layer 55 comprises at least one layer of an insulating material such as a silicon oxide-based material. In one embodiment, silicon oxide formed by CVD is used. In some embodiments, the thickness of the sacrificial gate dielectric layer 55 ranges from about 1 nm to about 5 nm.

희생 게이트 구조물(52)은 핀 구조물들 위에 희생 게이트 유전체 층을 먼저 블랭킷 증착함으로써 형성된다. 희생 게이트 전극 층은 그 후 희생 게이트 유전체 층 상에 그리고 핀 구조들 위에 블랭킷 증착되어, 핀 구조물이 희생 게이트 전극 층에 완전히 매립된다. 희생 게이트 전극 층은 다결정 실리콘 또는 비정질 실리콘과 같은 실리콘을 포함한다. 몇몇 실시예들에서, 희생 게이트 전극 층의 두께는 약 100nm 내지 약 200nm의 범위이다. 몇몇 실시예들에서, 희생 게이트 전극 층은 평탄화 동작을 받게 된다. 희생 게이트 유전체 층 및 희생 게이트 전극 층은 LPCVD 및 PECVD를 포함하는 CVD, PVD, ALD 또는 다른 적절한 프로세스를 사용하여 성막된다. 후속하여, 희생 게이트 전극 층 위에 마스크 층(62)이 형성된다. 마스크 층(62)은 패드 실리콘 질화물 층(65) 및 실리콘 산화물 마스크 층(70)을 포함한다.A sacrificial gate structure 52 is formed by first blanket depositing a sacrificial gate dielectric layer over the fin structures. The sacrificial gate electrode layer is then blanket deposited over the sacrificial gate dielectric layer and over the fin structures such that the fin structure is completely buried in the sacrificial gate electrode layer. The sacrificial gate electrode layer includes silicon such as polycrystalline silicon or amorphous silicon. In some embodiments, the thickness of the sacrificial gate electrode layer ranges from about 100 nm to about 200 nm. In some embodiments, the sacrificial gate electrode layer is subjected to a planarization operation. The sacrificial gate dielectric layer and the sacrificial gate electrode layer are deposited using CVD, PVD, ALD, or other suitable process including LPCVD and PECVD. Subsequently, a mask layer 62 is formed on the sacrificial gate electrode layer. The mask layer 62 includes a pad silicon nitride layer 65 and a silicon oxide mask layer 70.

다음으로, 도 10a 및 도 10b에 도시된 바와 같이, 패터닝 동작이 마스크 층(62)에 대해 수행되고, 희생 게이트 전극 층(60)은 희생 게이트 전극(52)으로 패터닝된다. 희생 게이트 구조물(52)은 희생 게이트 유전체 층(55), 희생 게이트 전극 층(60)(예를 들어, 폴리실리콘), 및 실리콘 질화물 패드 층(65)과 실리콘 산화물 마스크 층(65)을 포함하는 마스크 층(62)을 포함한다. 희생 게이트 구조물을 패터닝함으로써, 제1, 제2 및 제3 반도체 층들의 적층된 층들은 희생 게이트 구조물의 양측 상에서 부분적으로 노출되어, 소스/드레인(S/D) 영역들을 규정한다. 본 개시물에서, 소스 및 드레인은 상호교환가능하게 사용되고, 그 구조들은 실질적으로 동일하다. 도 10a 및 도 10b에서, 하나의 희생 게이트 구조물(52)이 형성되지만, 희생 게이트 구조물들의 수는 하나로 제한되지 않는다. 몇몇 실시예들에서, 2개 이상의 희생 게이트 구조물들이 핀 구조물들의 Y 방향으로 배열된다. 특정 실시예들에서, 하나 이상의 더미 희생 게이트 구조물들이 패턴 충실도를 향상시키기 위해 희생 게이트 구조물들의 양 측면 상에 형성된다.10A and 10B, a patterning operation is performed on the mask layer 62, and the sacrificial gate electrode layer 60 is patterned with the sacrificial gate electrode 52. Next, as shown in FIGS. The sacrificial gate structure 52 includes a sacrificial gate dielectric layer 55, a sacrificial gate electrode layer 60 (e.g., polysilicon), and a silicon nitride pad layer 65 and a silicon oxide mask layer 65 And a mask layer 62. By patterning the sacrificial gate structure, the stacked layers of the first, second and third semiconductor layers are partially exposed on both sides of the sacrificial gate structure to define the source / drain (S / D) regions. In the present disclosure, the source and the drain are used interchangeably and their structures are substantially the same. 10A and 10B, one sacrificial gate structure 52 is formed, but the number of sacrificial gate structures is not limited to one. In some embodiments, two or more sacrificial gate structures are arranged in the Y direction of the pin structures. In certain embodiments, one or more dummy sacrificial gate structures are formed on both sides of the sacrificial gate structures to enhance pattern fidelity.

희생 게이트 구조물(52)이 형성된 후, 도 11a 내지 도 11d에 도시된 바와 같이, 절연 재료로 이루어진 커버 층(75)이 노출된 핀 구조물들(35) 및 희생 게이트 구조물(52) 위에 컨포멀하게 형성된다. 도 11a는 X-방향(도 1의 라인 A-A)으로 게이트 전극을 따라 취해진 단면도이다. 도 11b는 Y-방향(도 1의 라인 B-B)으로 핀 구조물을 따라 취해진 단면도이다. 도 11c는 도 1의 라인 C-C을 따라 취해진 단면도이다. 도 11d는 도 1의 라인 D-D를 따라 취해진 단면도이다. 커버 층(75)은 컨포멀 방식으로 성막되어, 이것은 희생 게이트 구조물의 측벽들, 수평면들 및 상단부와 같은 수직 표면 상에 각각 실질적으로 동일한 두께를 갖도록 형성된다. 몇몇 실시예들에서, 커버 층(75)은 약 2 nm 내지 약 20 nm 범위의 두께를 가지며, 다른 실시예들에서, 커버 층(75)은 약 5 nm 내지 약 15 nm 범위의 두께를 갖는다.After the sacrificial gate structure 52 is formed, a cover layer 75 of insulating material is conformally exposed over the exposed fin structures 35 and the sacrificial gate structure 52, as shown in Figs. 11A-11D. . 11A is a cross-sectional view taken along the gate electrode in the X-direction (line A-A in FIG. 1). 11B is a cross-sectional view taken along the pin structure in the Y-direction (line B-B in FIG. 1). Fig. 11C is a cross-sectional view taken along the line C-C in Fig. 11D is a cross-sectional view taken along line D-D in FIG. The cover layer 75 is deposited conformally, which is formed to have substantially the same thickness on each of the vertical surfaces, such as the sidewalls, the horizontal surfaces, and the top portion of the sacrificial gate structure. In some embodiments, the cover layer 75 has a thickness in the range of about 2 nm to about 20 nm, and in other embodiments, the cover layer 75 has a thickness in the range of about 5 nm to about 15 nm.

몇몇 실시예들에서, 커버 층(75)은 제1 커버 층 및 제2 커버 층을 포함한다. 제1 커버 층은 SiOC 및/또는 SiOCN, 또는 임의의 다른 적합한 유전체 재료와 같은 로우-k 유전체 재료를 포함할 수 있고, 제2 커버 층(53)은 Si3N4, SiON, 및 SiCN, 또는 임의의 다른 적합한 유전체 재료 중 하나 이상을 포함할 수 있다. 제1 커버 층 및 제2 커버 층은 몇몇 실시예들에서 상이한 재료들로 이루어져, 이들은 선택적으로 에칭될 수 있다. 제1 커버 층 및 제2 커버 층은 ALD 또는 CVD, 또는 임의의 다른 적합한 방법에 의해 형성될 수 있다.In some embodiments, the cover layer 75 includes a first cover layer and a second cover layer. The first cover layer may comprise a low-k dielectric material such as SiOC and / or SiOCN, or any other suitable dielectric material, and the second cover layer 53 may comprise Si 3 N 4 , SiON, and SiCN, or And any other suitable dielectric material. The first cover layer and the second cover layer are made of different materials in some embodiments, which can be selectively etched. The first cover layer and the second cover layer may be formed by ALD or CVD, or any other suitable method.

다음으로, 도 12a 내지 도 12d에 도시된 바와 같이, 몇몇 실시예들에서, 소스/드레인 영역들 및 실리콘 산화물 마스크 층(70) 위에 형성된 커버 층(75)을 제거하기 위해 커버 층(75)은 이방성 에칭 처리되고, 그 후소스/드레인 영역들 내의 기판(10)의 상부 부분 및 제3 반도체 층들(25)은 격리 절연 층(45)의 상부면까지 제거된다. 도 12a는 X-방향(도 1의 라인 A-A)으로 게이트 전극을 따라 취해진 단면도이다. 도 12b는 Y-방향(도 1의 라인 B-B)으로 핀 구조물을 따라 취해진 단면도이다. 도 12c는 도 1의 라인 C-C을 따라 취해진 단면도이다. 도 12d는 도 1의 라인 D-D를 따라 취해진 단면도이다. 제3 반도체 층들(25) 및 기판의 상부 부분은 적합한 에칭 동작을 사용하여 제거된다. 예를 들어, 제3 반도체 층들(25)이 Si이고 제1 및 제2 반도체 층들(15, 20)이 Ge 또는 SiGe인 경우, 제3 반도체 층들(25)은 암모늄 하이드록사이드(NH4OH), 테트라메틸암모늄 하이드록사이드(TMAH), 에틸렌디아민 피로카테콜(EDP), 또는 포타슘 하이드록사이드(KOH) 용액들과 같은(그러나 이에 제한되는 것은 아님) 습식 에천트를 사용하여 선택적으로 제거될 수 있다. 몇몇 실시예들에서, 제3 반도체 층들(25)은 p-타입 pFET를 형성 할 때 제거된다.12A to 12D, in some embodiments, the cover layer 75 is removed to remove the cover layer 75 formed over the source / drain regions and the silicon oxide mask layer 70, And then the upper portion of the substrate 10 and the third semiconductor layers 25 in the source / drain regions are removed to the upper surface of the isolating insulating layer 45. [ 12A is a cross-sectional view taken along the gate electrode in the X-direction (line AA in FIG. 1). 12B is a cross-sectional view taken along the pin structure in the Y-direction (line BB in FIG. 1). 12C is a cross-sectional view taken along line CC of FIG. 12D is a cross-sectional view taken along the line DD of FIG. The third semiconductor layers 25 and the upper portion of the substrate are removed using a suitable etching operation. For example, the third semiconductor layers 25 are Si, and the first and second case where the semiconductor layers (15, 20) is a Ge or SiGe, the third semiconductor layers 25 are ammonium hydroxide (NH 4 OH) Such as, but not limited to, tetramethylammonium hydroxide (TMAH), ethylenediamine pyrocatechol (EDP), or potassium hydroxide (KOH) solutions. . In some embodiments, the third semiconductor layers 25 are removed when forming a p-type pFET.

도 12c에 도시된 바와 같이, 커버 층(75) 및 희생 게이트 유전체 층(55)은 적합한 리소그래피 및 에칭 기법들을 사용하여 소스/드레인 영역들에서 완전히 제거된다.As shown in FIG. 12C, the cover layer 75 and the sacrificial gate dielectric layer 55 are completely removed from the source / drain regions using suitable lithography and etching techniques.

다른 실시예들에서,도 12e에 도시된 바와 같이, 소스/드레인 영역들의 핀 구조물들은 격리 절연 층(45)의 상부면까지 리세스된다. 다시 말해, 소스/드레인 영역들에서 모든 제1, 제2 및 제3 반도체 층들 및 기판(10)의 상부 부분은 제거된다. 도 12e는 도 1의 라인 B-B를 따라 취해진 단면도이다. 핀 구조물들은 몇몇 실시예들에서 적합한 에천트들을 사용하는 리세스 에칭 동작에 의해 리세스된다. 몇몇 실시예들에서, 리세스 에칭 동작은 건식 에칭 동작이다. 몇몇 실시예들에서, 핀 구조물들은 n-타입 pFET를 형성할 때 소스/드레인 영역들에서 리세스된다.In other embodiments, the pin structures of the source / drain regions are recessed to the top surface of the isolation dielectric layer 45, as shown in Figure 12E. In other words, all of the first, second and third semiconductor layers and the upper portion of the substrate 10 in the source / drain regions are removed. 12E is a cross-sectional view taken along line B-B in FIG. The pin structures are recessed by a recess etch operation using suitable etchants in some embodiments. In some embodiments, the recess etch operation is a dry etch operation. In some embodiments, the pin structures are recessed in the source / drain regions when forming an n-type pFET.

후속하여, 도 13a 내지 도 13d에 도시된 바와 같이, 소스/드레인 에피택셜 층(80)이 형성된다.. 도 13a는 X-방향(도 1의 라인 A-A)으로 게이트 전극을 따라 취해진 단면도이다. 도 13b는 Y-방향(도 1의 라인 B-B)으로 핀 구조물을 따라 취해진 단면도이다. 도 13c는 도 1의 라인 C-C을 따라 취해진 단면도이다. 도 13d는 도 1의 라인 D-D를 따라 취해진 단면도이다.Subsequently, a source / drain epitaxial layer 80 is formed, as shown in Figures 13a-d. Figure 13a is a cross-sectional view taken along the gate electrode in the X-direction (line A-A in Figure 1). Figure 13B is a cross-sectional view taken along the pin structure in the Y-direction (line B-B in Figure 1). 13C is a cross-sectional view taken along line C-C of FIG. 13D is a cross-sectional view taken along the line D-D in Fig.

소스/드레인 에피택셜 층(80)은 n-채널 FET를 위한 Si, SiP, SiC 및 SiCP 또는 p-채널 FET를 위한 Si, SiGe, Ge의 하나 이상의 층을 포함한다. P-채널 FET의 경우, 붕소(B)가 또한 소스/드레인에 포함될 수 있다. 소스/드레인 에피택셜 층들(80)은 CVD, ALD, 또는 분자 빔 에피택시(MBE, molecular beam epitaxy)를 사용하는 에피택셜 성장 방법에 의해 형성된다. 도 13c에 도시된 바와 같이, 몇몇 실시예들에서, 소스/드레인 에피택셜 층들(80)은 핀 구조물들 둘레에서 성장하고, 성장된 에피택셜 층들은 격리 절연 층(45) 위에 통합되고, 이어서 공극(82)을 형성한다. 소스/드레인 에피택셜 층(80)은 도 13d에 도시된 바와 같이 희생 게이트 구조물(52)의 측면들 위에 배치된 커버 층(75)과 접촉하여 형성된다.The source / drain epitaxial layer 80 comprises one or more layers of Si, SiP, SiC and SiCP for an n-channel FET or Si, SiGe, Ge for a p-channel FET. In the case of a P-channel FET, boron (B) may also be included in the source / drain. The source / drain epitaxial layers 80 are formed by an epitaxial growth method using CVD, ALD, or molecular beam epitaxy (MBE). As shown in FIG. 13C, in some embodiments, the source / drain epitaxial layers 80 grow around the pin structures, the grown epitaxial layers are integrated over the isolation insulating layer 45, (82). The source / drain epitaxial layer 80 is formed in contact with the cover layer 75 disposed on the sides of the sacrificial gate structure 52 as shown in FIG. 13D.

몇몇 실시예들에서, 소스/드레인 에피택셜 층(80)은 단면이 다이아몬드 형상, 육각형 형상, 다른 다각형 형상, 또는 반원 형상을 갖는다.In some embodiments, the source / drain epitaxial layer 80 has a diamond-like, hexagonal, other polygonal, or semi-circular cross-section.

도 13e 및 도 13f는 소스/드레인 에피택셜 층(80)이 도 12e의 구조물 상에 형성되는 또 다른 실시예를 도시한다. 도 13e는 도 1의 라인 C-C를 따라 취해진 단면도이고, 도 13f는 도 1의 라인 B-B를 따라 취해진 단면도이다.Figures 13E and 13F illustrate another embodiment in which the source / drain epitaxial layer 80 is formed on the structure of Figure 12E. FIG. 13E is a cross-sectional view taken along line C-C of FIG. 1, and FIG. 13F is a cross-sectional view taken along line B-B of FIG.

후속하여, 도 14a 내지 도 14d에 도시된 바와 같이, 층간 유전체(ILD) 층(85)이 형성된다.. 도 14a는 X-방향(도 1의 라인 A-A)으로 게이트 전극을 따라 취해진 단면도이다. 도 14b는 Y-방향(도 1의 라인 B-B)으로 핀 구조물을 따라 취해진 단면도이다. 도 14c는 도 1의 라인 C-C를 따라 취해진 단면도이다. 도 14d는 도 1의 라인 D-D를 따라 취해진 단면도이다.Subsequently, an interlayer dielectric (ILD) layer 85 is formed, as shown in Figures 14A-14D. Figure 14A is a cross-sectional view taken along the gate electrode in the X-direction (line A-A in Figure 1). 14B is a cross-sectional view taken along the pin structure in the Y-direction (line B-B in FIG. 1). 14C is a cross-sectional view taken along the line C-C of FIG. Fig. 14D is a cross-sectional view taken along the line D-D in Fig.

ILD 층(85)을 위한 재료들은 실리콘 산화물, SiCOH, 및 SiOC와 같은 Si, O, C 및/또는 H를 포함하는 화합물들을 포함한다. 중합체들과 같은 유기 재료들이 ILD 층(85)에 대해 사용될 수 있다. ILD 층(85)이 형성된 이후, 화학 기계적 연마(CMP)와 같은 평탄화 동작이 수행되어, 희생 게이트 전극 층(60)의 상부 부분이 노출된다. CMP는 또한 희생 게이트 전극 층(60)의 상부면을 커버하는 마스크 층(62) 및 커버 층(75)의 일부를 제거한다.The materials for the ILD layer 85 include compounds including Si, O, C and / or H, such as silicon oxide, SiCOH, and SiOC. Organic materials such as polymers can be used for the ILD layer 85. After the ILD layer 85 is formed, a planarization operation such as chemical mechanical polishing (CMP) is performed to expose the upper portion of the sacrificial gate electrode layer 60. CMP also removes a portion of mask layer 62 and cover layer 75 that covers the top surface of sacrificial gate electrode layer 60.

도 14e 및 도 14f는 ILD 층(85)이 도 13e 및 도 13f의 구조물 상에 형성되는 또 다른 실시예를 도시한다. 도 14e는 도 1의 라인 C-C를 따라 취해진 단면도이고, 도 14f는 도 1의 라인 B-B를 따라 취해진 단면도이다.Figs. 14E and 14F illustrate another embodiment in which the ILD layer 85 is formed on the structures of Figs. 13E and 13F. Fig. 14E is a cross-sectional view taken along line C-C of Fig. 1, and Fig. 14F is a cross-sectional view taken along line B-B of Fig.

그 후, 희생 게이트 전극 층(60) 및 희생 게이트 유전체 층(55)은 제거되어, 도 15a 내지 도 15d에 도시된 바와 같이, 핀 구조물들의 채널 영역들이 노출되는 게이트 공간(90)을 형성한다. 도 15a는 X-방향(도 1의 라인 A-A)으로 게이트 전극을 따라 취해진 단면도이다. 도 15b는 Y-방향(도 1의 라인 B-B)으로 핀 구조물을 따라 취해진 단면도이다. 도 15c는 도 1의 라인 C-C를 따라 취해진 단면도이다. 도 15d는 도 1의 라인 D-D를 따라 취해진 단면도이다.The sacrificial gate electrode layer 60 and the sacrificial gate dielectric layer 55 are then removed to form a gate space 90 in which the channel regions of the pin structures are exposed, as shown in Figures 15A-15D. 15A is a cross-sectional view taken along the gate electrode in the X-direction (line A-A in FIG. 1). 15B is a cross-sectional view taken along the pin structure in the Y-direction (line B-B in FIG. 1). Fig. 15C is a cross-sectional view taken along the line C-C of Fig. 15D is a cross-sectional view taken along the line D-D in FIG.

ILD 층(85)은 희생 게이트 구조물들의 제거 동안 S/D 구조물들(80)을 보호한다. 희생 게이트 구조물들은 플라즈마 건식 에칭 및/또는 습식 에칭을 사용하여 제거될 수 있다. 희생 게이트 전극 층(60)이 폴리실리콘이고 ILD 층(85)이 실리콘 산화물인 경우, 희생 게이트 전극 층(60)을 선택적으로 제거하기 위해 테트라메틸암모늄 하이드록사이드(TMAH) 용액과 같은 습식 에칭제가 사용될 수 있다. 그 후에, 희생 게이트 유전체 층(55)은 플라즈마 건식 에칭 및/또는 습식 에칭을 사용하여 제거된다.The ILD layer 85 protects the S / D structures 80 during removal of the sacrificial gate structures. The sacrificial gate structures can be removed using plasma dry etching and / or wet etching. When the sacrificial gate electrode layer 60 is polysilicon and the ILD layer 85 is silicon oxide, a wet etchant such as a tetramethylammonium hydroxide (TMAH) solution is used to selectively remove the sacrificial gate electrode layer 60 Can be used. Thereafter, the sacrificial gate dielectric layer 55 is removed using plasma dry etch and / or wet etch.

도 15e는 도 1의 라인 C-C를 따라 취해진 단면도이고, 도 15f는 도 14의 희생 게이트 전극 층(60) 및 희생 게이트 유전체 층이 제거된 또 다른 실시예의 도 1의 라인 B-B를 따라 취해진 단면도이다.Fig. 15E is a cross-sectional view taken along line C-C in Fig. 1, and Fig. 15F is a cross-sectional view taken along line B-B in Fig. 1 of another embodiment where the sacrificial gate electrode layer 60 and sacrificial gate dielectric layer of Fig.

도 15g는 본 개시물의 실시예에 따른 핀 구조물의 상세한 단면도이다. 일 실시예에서 도 15g에 도시된 바와 같이, 제1 반도체 층(15)은 약 0.5 nm 내지 약 5 nm의 두께(Z)를 갖는 Si0.5Ge0.5로 이루어진다. 제2 반도체 층(20)은 약 3 nm 내지 약 20 nm의 두께(B)를 갖는 Si0.7Ge0.3으로 이루어진다. 제3 반도체 층(25)은 약 2 nm 내지 약 18 nm의 두께(A)를 갖는 Si로 이루어진다. 두께 A, B, 및 Z는 B > A > Z에 의해 관련된다.15G is a detailed cross-sectional view of a pin structure according to an embodiment of the present disclosure; In one embodiment, as shown in FIG. 15G, the first semiconductor layer 15 is comprised of Si 0.5 Ge 0.5 having a thickness (Z) of about 0.5 nm to about 5 nm. The second semiconductor layer 20 is made of Si 0.7 Ge 0.3 having a thickness (B) of about 3 nm to about 20 nm. The third semiconductor layer 25 is made of Si having a thickness (A) of about 2 nm to about 18 nm. The thicknesses A, B, and Z are related by B > A > Z.

희생 게이트 구조물이 제거된 후, 핀 구조물들 내의 제3 반도체 층들(25)은 제거되어, 도 16a 내지 도 16d에 도시된 바와 같이, 제2 반도체 층들(20)을 샌드위칭하는 제1 반도체 층들(15)의 쌍을 포함하는 나노와이어들을 형성한다. 도 16a는 X-방향(도 1의 라인 A-A)으로 게이트 전극을 따라 취해진 단면도이다. 도 16b는 Y-방향(도 1의 라인 B-B)으로 핀 구조물을 따라 취해진 단면도이다. 도 16c는 도 1의 라인 C-C를 따라 취해진 단면도이다. 도 16d는 도 1의 라인 D-D를 따라 취해진 단면도이다.After the sacrificial gate structure is removed, the third semiconductor layers 25 in the pin structures are removed to form first semiconductor layers (not shown) sandwiching the second semiconductor layers 20, as shown in FIGS. 16A- 15). ≪ / RTI > 16A is a cross-sectional view taken along the gate electrode in the X-direction (line A-A in FIG. 1). 16B is a cross-sectional view taken along the pin structure in the Y-direction (line B-B in FIG. 1). Fig. 16C is a cross-sectional view taken along line C-C of Fig. 16D is a cross-sectional view taken along the line D-D in Fig.

제3 반도체 층들(25)은 제1 및 제2 반도체 층들(15, 20)에 대해 제1 반도체 층(25)을 선택적으로 에칭하는 에천트를 사용하여 제거 또는 에칭될 수 있다. 제3 반도체 층들(25)이 Si이고 제1 및 제2 반도체 층들(15, 20)이 Ge 또는 SiGe인 경우, 제3 반도체 층들(25)은 암모늄 하이드록사이드(NH4OH), 테트라메틸암모늄 하이드록사이드(TMAH), 에틸렌디아민 피로카테콜(EDP), 또는 포타슘 하이드록사이드(KOH) 용액들과 같은(그러나 이에 제한되는 것은 아님) 습식 에천트를 사용하여 선택적으로 제거될 수 있다. 제3 반도체 층(25)이 Si이고 기판(10)이 실리콘 기판인 경우, 제3 반도체 층(25)의 에칭은 또한 최하부 제1 반도체 층(15) 아래 놓이는 핀 구조물의 일부를 제거한다. 제3 반도체 층(25) 및 기판(10)이 상이한 재료로 제조될 때, 몇몇 실시예들에서, 도 16a 및 도 16b에 도시된 구조물을 제공하기 위해 최하부 제1 반도체 층(25) 아래 놓이는 핀 구조물의 일부를 제거하기 위해 추가 에칭 동작이 수행된다. 다른 실시예들에서, 제3 반도체 층(25) 및 기판(10)이 상이한 재료들로 제조되는 경우, 도 6c에 도시된 바와 같이, 기판(10) 상에 초기 제3 반도체 층(25)이 형성되고, 이는 도 16a 및 도 16b에 도시된 구조물을 제공하기 위해 다른 제3 반도체 층들(25)과 제거된다.The third semiconductor layers 25 may be removed or etched using an etchant that selectively etches the first semiconductor layer 25 with respect to the first and second semiconductor layers 15,20. Third and semiconductor layers 25 are Si first and a second case where the semiconductor layers (15, 20) is a Ge or SiGe, the third semiconductor layers 25 are ammonium hydroxide (NH 4 OH), tetramethylammonium Can be selectively removed using a wet etchant such as, but not limited to, hydroxides (TMAH), ethylenediamine pyrocatechol (EDP), or potassium hydroxide (KOH) solutions. If the third semiconductor layer 25 is Si and the substrate 10 is a silicon substrate, etching of the third semiconductor layer 25 also removes a portion of the pin structure underlying the lowermost first semiconductor layer 15. When the third semiconductor layer 25 and the substrate 10 are made of different materials, in some embodiments, a pin (not shown) underlying the lowermost first semiconductor layer 25, in order to provide the structure shown in Figures 16A and 16B, An additional etching operation is performed to remove a portion of the structure. In other embodiments, when the third semiconductor layer 25 and the substrate 10 are made of different materials, an initial third semiconductor layer 25 is formed on the substrate 10, as shown in Figure 6C, Which is removed with the other third semiconductor layers 25 to provide the structure shown in Figures 16A and 16B.

도 16e 및 도 16f는 제3 반도체 층들(25)이 도 15f의 구조물로부터 제거되는 또 다른 실시예를 도시한다. 도 16e는 도 1의 라인 C-C를 따라 취해진 단면도이고, 도 16f는 도 1의 라인 B-B를 따라 취해진 단면도이다.Figs. 16E and 16F show another embodiment in which the third semiconductor layers 25 are removed from the structure of Fig. 15F. Fig. 16E is a cross-sectional view taken along line C-C of Fig. 1, and Fig. 16F is a cross-sectional view taken along line B-B of Fig.

몇몇 실시예들에서, 건식 에칭 기법들과 습식 에칭 기법들의 조합이 제3 반도체 층(25)을 제거하기 위해 사용된다.In some embodiments, a combination of dry etch techniques and wet etch techniques is used to remove the third semiconductor layer 25.

또 다른 실시예에서, 제1 및 제2 반도체 층들(15, 20)은 적절한 에칭 기법들을 사용함으로써 제거되고, 제3 반도체 층(25)으로 이루어진 나노와이어들이 얻어진다.In yet another embodiment, the first and second semiconductor layers 15,20 are removed by using suitable etching techniques and nanowires of the third semiconductor layer 25 are obtained.

채널 영역 내의 반도체 나노와이어들(15, 20)의 단면 형상은 직사각형으로 도시되어 있지만, 임의의 다각형 형상(삼각형, 다이아몬드 등), 둥근 모서리들을 갖는 다각형 형상, 원형 또는 타원형(수직 또는 수평으로)일 수 있다.Although the cross-sectional shapes of the semiconductor nanowires 15 and 20 in the channel region are shown as being rectangular, any polygonal shape (triangle, diamond, etc.), polygonal shape with rounded edges, circular or elliptical .

제1 및 제2 반도체 층들(15, 20)의 반도체 나노와이어들이 형성된 후, 도 17a 내지 도 17d에 도시된 바와 같이, 각각의 채널 층들(제1 및 제2 반도체 층들(15, 20)의 와이어들) 주위에 게이트 유전체 층(95)이 형성되고, 게이트 유전체 층(95) 상에 게이트 전극 층(100)이 형성된다. 도 17a는 X-방향(도 1의 라인 A-A)으로 게이트 전극을 따라 취해진 단면도이다. 도 17b는 Y-방향(도 1의 라인 B-B)으로 핀 구조물을 따라 취해진 단면도이다. 도 17c는 도 1의 라인 C-C를 따라 취해진 단면도이다. 도 17d는 도 1의 라인 D-D를 따라 취해진 단면도이다.After the semiconductor nanowires of the first and second semiconductor layers 15 and 20 are formed, as shown in FIGS. 17A to 17D, the respective channel layers (the wires of the first and second semiconductor layers 15 and 20) Gate dielectric layer 95 is formed around gate dielectric layer 95 and gate electrode layer 100 is formed on gate dielectric layer 95. 17A is a cross-sectional view taken along the gate electrode in the X-direction (line A-A in FIG. 1). 17B is a cross-sectional view taken along the pin structure in the Y-direction (line B-B in FIG. 1). Fig. 17C is a cross-sectional view taken along line C-C of Fig. 17D is a cross-sectional view taken along the line D-D in Fig.

도 17e 및 도 17f는 게이트 유전체 층(95) 및 게이트 전극 층(100)이 도 16f의 구조물 상에 형성되는 또 다른 실시예를 도시한다. 도 17e는 도 1의 라인 C-C를 따라 취해진 단면도이고, 도 17f는 도 1의 라인 B-B를 따라 취해진 단면도이다.17E and 17F illustrate another embodiment in which the gate dielectric layer 95 and the gate electrode layer 100 are formed on the structure of FIG. 16F. 17E is a cross-sectional view taken along line C-C of FIG. 1, and FIG. 17F is a cross-sectional view taken along line B-B of FIG.

특정 실시예들에서, 게이트 유전체 층(95)은 실리콘 산화물, 실리콘 질화물, 또는 하이-k 유전체 재료와 같은 유전체 재료, 다른 적절한 유전체 재료, 및/또는 이들의 조합들의 하나 이상의 층을 포함한다. 하이k 유전체 물질의 예시들은 HfO2, HfSiO, HfSiON, HfTaO, HfTiO, HfZrO, 지르코늄 산화물, 알루미늄 산화물, 티타늄 산화물, 하프늄 이산화물-알루미나(HfO2-Al2O3) 합금, 다른 적합한 하이-k 유전체 재료들, 및/또는 이들의 조합을 포함한다. 몇몇 실시예들에서, 게이트 유전체 층(95)은 채널 층들과 유전체 재료 사이에 형성된 계면 층을 포함한다.In certain embodiments, the gate dielectric layer 95 comprises one or more layers of dielectric materials such as silicon oxide, silicon nitride, or high-k dielectric materials, other suitable dielectric materials, and / or combinations thereof. Examples of high k dielectric materials include, but are not limited to, HfO 2 , HfSiO, HfSiON, HfTaO, HfTiO, HfZrO, zirconium oxide, aluminum oxide, titanium oxide, hafnium dioxide-alumina (HfO 2 -Al 2 O 3 ) Materials, and / or combinations thereof. In some embodiments, the gate dielectric layer 95 includes an interfacial layer formed between the channel layers and the dielectric material.

게이트 유전체 층(95)은 CVD, ALD 또는 임의의 적합한 방법에 의해 형성될 수 있다. 일 실시예에서, 게이트 유전체 층(95)은 각각의 채널 층 주위에 균일한 두께를 갖는 게이트 유전체 층의 형성을 보장하기 위해 ALD와 같은 고도로 컨포멀한 성막 프로세스를 사용하여 형성된다. 몇몇 실시예들에서, 게이트 유전체 층(95)의 두께는 약 1 nm 내지 약 6 nm의 범위이다. 몇몇 실시예들에서, 게이트 유전체 층(95)은 또한 노출된 소스/드레인 에피택셜 층들(80) 상에 형성된다.The gate dielectric layer 95 may be formed by CVD, ALD, or any suitable method. In one embodiment, a gate dielectric layer 95 is formed using a highly conformal deposition process, such as ALD, to ensure formation of a gate dielectric layer having a uniform thickness around each channel layer. In some embodiments, the thickness of the gate dielectric layer 95 ranges from about 1 nm to about 6 nm. In some embodiments, a gate dielectric layer 95 is also formed on the exposed source / drain epitaxial layers 80.

게이트 전극 층(100)은 각각의 채널 층을 둘러싸도록 게이트 유전체 층(95) 상에 형성된다. 게이트 전극(100)은 알루미늄, 구리, 티타늄, 탄탈륨, 텅스텐, 코발트, 몰리브덴, 탄탈룸 질화물, 니켈 실리사이드, 코발트 실리사이드, TiN, WN, TiAl, TiAlN, TaCN, TaC, TaSiN, 금속 합금들, 다른 적합한 재료들, 및/또는 이들의 조합들과 같은 도전성 재료의 하나 이상의 층을 포함한다.A gate electrode layer 100 is formed on the gate dielectric layer 95 to surround each channel layer. The gate electrode 100 may be formed of any suitable material such as aluminum, copper, titanium, tantalum, tungsten, cobalt, molybdenum, tantalum nitride, nickel silicide, cobalt silicide, TiN, WN, TiAl, TiAlN, TaCN, TaC, TaSiN, , ≪ / RTI > and / or combinations thereof.

게이트 전극 층(100)은 CVD, ALD, 전기 도금, 또는 다른 적합한 방법에 의해 형성될 수 있다. 게이트 전극 층은 또한 ILD 층(85)의 상부면 위에 성막된다. ILD 층(85) 위에 형성된 게이트 유전체 층 및 게이트 전극 층은 그 후 ILD 층(85)의 상부면이 드러날 때까지 예를 들어 CMP를 사용함으로써 평탄화된다. 몇몇 실시예들에서, 평탄화 동작 후에, 게이트 전극 층은 리세스되고, 캡 절연 층(미도시)은 리세스된 게이트 전극 위에 형성된다. 캡 절연 층은 Si3N4과 같은 실리콘 질화물계 재료의 하나 이상의 층을 포함한다. 캡 절연 층은 절연 재료를 성막하고 이어서 평탄화 동작을 수행함으로써 형성될 수 있다.The gate electrode layer 100 may be formed by CVD, ALD, electroplating, or other suitable method. A gate electrode layer is also deposited on top of the ILD layer 85. The gate dielectric layer and the gate electrode layer formed over the ILD layer 85 are then planarized by using, for example, CMP until the top surface of the ILD layer 85 is exposed. In some embodiments, after the planarization operation, the gate electrode layer is recessed and a cap insulation layer (not shown) is formed over the recessed gate electrode. The cap insulating layer comprises at least one layer of a silicon nitride-based material, such as Si 3 N 4 . The cap insulating layer may be formed by depositing an insulating material and then performing a planarization operation.

본 개시물의 특정 실시예들에서, 하나 이상의 일함수 조정 층(미도시)이 게이트 유전체 층(95)과 게이트 전극(100) 사이에 개재된다. 일함수 조정 층들은 TiN, TaN, TaAlC, TiC, TaC, Co, Al, TiAl, HfTi, TiSi, TaSi, 또는 TiAlC의 단일 층 또는 이들 재료들 중 둘 이상의 다층과 같은 도전성 재료로 이루어진다. n-채널 FET의 경우에, TaN, TaAlC, TiN, TiC, Co, TiAl, HfTi, TiSi 및 TaSi 중 하나 이상이 일함수 조정 층으로서 사용되고, p-채널 FET의 경우에 TiAlC, Al, TiAl, TaN, TaAlC, TiN, TiC 및 Co 중 하나 이상이 일함수 조정 층으로서 사용된다. 일함수 조정 층은 ALD, PVD, CVD, e-빔 증발 또는 다른 적절한 프로세스에 의해 형성될 수 있다. 또한, 일함수 조정 층은 상이한 금속 층들을 사용할 수 있는 n-채널 FET 및 p-채널 FET에 대해 개별적으로 형성될 수 있다.In certain embodiments of the disclosure, one or more work function adjustment layers (not shown) are interposed between the gate dielectric layer 95 and the gate electrode 100. The work function adjustment layers are composed of a single layer of TiN, TaN, TaAlC, TiC, TaC, Co, Al, TiAl, HfTi, TiSi, TaSi, or TiAlC or a conductive material such as two or more layers of these materials. In the case of an n-channel FET, at least one of TaN, TaAlC, TiN, TiC, Co, TiAl, HfTi, TiSi and TaSi is used as a work function adjusting layer, and TiAlC, Al, TiAl, TaN , At least one of TaAlC, TiN, TiC and Co is used as a work function adjusting layer. The work function adjustment layer may be formed by ALD, PVD, CVD, e-beam evaporation or other suitable process. In addition, the work function adjustment layer can be formed separately for the n-channel FET and the p-channel FET, which can use different metal layers.

다른 실시예들에서, 게이트 유전체 층(95) 및 게이트 전극 층(100)을 형성하기 이전에, 제2 반도체 층(20)의 양측 상에 배치된 제1 반도체 층들(15)이 제거되어, 도 18a 내지 도 18d에 도시된 구조를 초래한다. 제1 반도체 층(15)은 HF : HNO3 : H2O를 사용하는 습식 에칭과 같은 적합한 에칭 동작에 의해 제거될 수 있다. 도 18a는 X-방향(도 1의 라인 A-A)으로 게이트 전극을 따라 취해진 단면도이다. 도 18b는 Y-방향(도 1의 라인 B-B)으로 핀 구조물을 따라 취해진 단면도이다. 도 18c는 도 1의 라인 C-C를 따라 취해진 단면도이다. 도 18d는 도 1의 라인 D-D를 따라 취해진 단면도이다. 제2 반도체 층(20)의 양측 상에 배치된 제1 반도체 층들(15)은 적합한 에칭 기법을 사용함으로써 단지 채널 영역 내에서 제거된다. 따라서, 이 실시예에서 제1 반도체 층들은 제1 소스/드레인 영역들(80) 내에 남아있다.In other embodiments, the first semiconductor layers 15 disposed on both sides of the second semiconductor layer 20 are removed prior to forming the gate dielectric layer 95 and the gate electrode layer 100, 18A to 18D. The first semiconductor layer 15 can be removed by a suitable etching operation such as wet etching using HF: HNO3: H2O. 18A is a cross-sectional view taken along the gate electrode in the X-direction (line A-A in FIG. 1). Figure 18b is a cross-sectional view taken along the pin structure in the Y-direction (line B-B in Figure 1). 18C is a cross-sectional view taken along line C-C of FIG. 18D is a cross-sectional view taken along line D-D in Fig. The first semiconductor layers 15 disposed on both sides of the second semiconductor layer 20 are removed only in the channel region by using a suitable etching technique. Thus, in this embodiment, the first semiconductor layers remain in the first source / drain regions 80.

도 18e 및 도 18f는, 도 12e에 도시된 바와 같이, 소스/드레인 영역들 내의 핀 구조물들이 격리 절연 층(45)의 상부면까지 리세스되고, 소스/드레인 영역들(80)이 리세스 내에 형성되는 또 다른 실시예를 도시한다. 도 18e는 도 1의 라인 C-C를 따라 취해진 단면도이고, 도 18f는 도 1의 라인 B-B를 따라 취해진 단면도이다.18E and 18F show that pin structures in the source / drain regions are recessed to the top surface of the isolating insulation layer 45 and source / drain regions 80 are formed in the recesses < RTI ID = 0.0 >Lt; RTI ID = 0.0 > embodiment. ≪ / RTI > 18E is a cross-sectional view taken along line C-C of FIG. 1, and FIG. 18F is a cross-sectional view taken along line B-B of FIG.

이어서, 건식 에칭을 사용함으로써 ILD 층(85)에 콘택 홀들(미도시)이형성될 수 있다. 몇몇 실시예들에서, S/D 에피택셜 층(80)의 상부 부분은 에칭된다. 몇몇 실시예들에서, 실리사이드 층은 S/D 에피택셜 층(80) 위에 형성된다. 실리사이드 층은 WSi, CoSi, NiSi, TiSi, MoSi 및 TaSi 중 하나 이상을 포함한다. 그리고, 콘택 홀들 내에 도전성 재료(미도시)가 형성된다. 도전성 재료는 Co, Ni, W, Ti, Ta, Cu, Al, TiN 및 TaN 중 하나 이상을 포함한다. GAA FET들은 콘택들/비아들, 상호접속 금속 층들, 유전체 층들, 패시베이션 층들 등과 같은 다양한 피처들을 형성하기 위해 추가의 CMOS 프로세스들을 겪을 수 있다는 것을 이해해야 한다.Then, contact holes (not shown) may be formed in the ILD layer 85 by using dry etching. In some embodiments, the upper portion of the S / D epitaxial layer 80 is etched. In some embodiments, a silicide layer is formed over the S / D epitaxial layer 80. The silicide layer includes at least one of WSi, CoSi, NiSi, TiSi, MoSi, and TaSi. Then, a conductive material (not shown) is formed in the contact holes. The conductive material includes at least one of Co, Ni, W, Ti, Ta, Cu, Al, TiN and TaN. It should be appreciated that the GAA FETs may undergo additional CMOS processes to form various features such as contacts / vias, interconnect metal layers, dielectric layers, passivation layers, and the like.

도 18a 내지 도 18f에 도시된 몇몇 실시예들에서, 복수의 나노와이어들(20) 각각은 도 17a 내지 도 17f의 복수의 나노와이어들(15, 20) 각각보다 나노와이어 적층 방향으로 더 먼 거리만큼 분리되어 있다.In some embodiments shown in Figures 18A-18F, each of the plurality of nanowires 20 has a greater distance in the nanowire stacking direction than each of the plurality of nanowires 15,20 in Figures 17A-17F .

특정 실시예들에서, 반도체 디바이스는 n-타입 GAA FET이다. 다른 실시예들에서, 반도체 디바이스는 p-타입 GAA FET이다. 몇몇 실시예들에서, 하나 이상의 n-타입 GAA FET 및 하나 이상의 p-타입 GAA FET가 동일한 기판(10) 상에 제공된다.In certain embodiments, the semiconductor device is an n-type GAA FET. In other embodiments, the semiconductor device is a p-type GAA FET. In some embodiments, one or more n-type GAA FETs and one or more p-type GAA FETs are provided on the same substrate 10.

본 개시물의 실시예들에서, 제2 반도체 층의 양측 상에 배치된 제1 반도체 층은 채널 영역 내의 제3 반도체 층을 제거하기 위해 에칭 동안 제2 반도체 층을 보호한다. 몇몇 실시예들에서, 제2 SiGe 반도체 층보다 더 높은 Ge 농도를 갖는 제1 SiGe 반도체 층은 Si 제3 반도체 층을 제거하는데 사용되는 에천트에 대해 높은 내성을 가지므로, 제3 반도체 층 에칭 동작 동안 씨닝으로부터 제2 SiGe 반도체 층을 보호한다. 본 개시물에 따라 형성된 반도체 디바이스들은 나노와이어 릴리즈 에칭의 개선된 프로세스 윈도우를 가져, 더 높은 디바이스 수율을 유도한다.In embodiments of the disclosure, the first semiconductor layer disposed on either side of the second semiconductor layer protects the second semiconductor layer during etching to remove the third semiconductor layer in the channel region. In some embodiments, the first SiGe semiconductor layer having a higher Ge concentration than the second SiGe semiconductor layer has high resistance to the etchant used to remove the third semiconductor layer of Si, so that the third semiconductor layer etching operation While protecting the second SiGe semiconductor layer from thinning. Semiconductor devices formed in accordance with the present disclosure have an improved process window of nanowire release etch leading to higher device yield.

본 개시물의 양상들을 본 발명분야의 당업자들이 보다 잘 이해할 수 있도록 앞에서는 여러 실시예들의 피처들을 약술하였다. 본 발명분야의 당업자들은 여기서 소개한 실시예 또는 예들의 동일한 목적들을 수행하거나 및/또는 동일한 장점들을 달성하기 위한 다른 프로세스들 및 구조들을 설계하거나 또는 수정하기 위한 기반으로서 본 발명개시를 자신들이 손쉽게 이용할 수 있다는 것을 인식해야 한다. 본 기술분야의 당업자들은 또한 이와 같은 등가적 구성들은 본 개시물의 사상과 범위를 벗어나지 않으며, 본 개시물의 사상과 범위를 벗어나지 않고 당업자들이 다양한 변경들, 대체들, 및 개조들을 본 발명에서 행할 수 있음을 알아야 한다.In order that those aspects of the disclosure may be better understood by those skilled in the art, the features of the various embodiments have been outlined above. Those skilled in the art will readily appreciate that the present invention can be readily applied by those skilled in the art to the disclosure of the present invention as a basis for designing or modifying other processes and structures for performing the same purposes of the presently disclosed embodiments or examples and / It should be noted that Those skilled in the art will also appreciate that such equivalent constructions do not depart from the spirit and scope of the present disclosure and that various changes, substitutions, and modifications may be effected therein by those skilled in the art without departing from the spirit and scope of the disclosure. .

본 개시물의 실시예는 반도체 디바이스를 제조하는 방법이며, 이 방법은, 반도체 기판 위에 제1 조성을 갖는 제1 반도체 층을 형성하는 단계, 및 제1 반도체 층 위에 제2 조성을 갖는 제2 반도체 층을 형성하는 단계를 포함한다. 제2 반도체 층 위에 제1 조성을 갖는 또 다른 제1 반도체 층이 형성된다. 또 다른 제1 반도체 층 위에 제3 조성을 갖는 제3 반도체 층이 형성된다. 핀 구조물을 형성하도록 제1 반도체 층들, 제2 반도체 층, 및 제3 반도체 층은 패터닝된다. 제3 반도체 층의 일부는 제거되어, 제2 반도체 층을 포함하는 나노와이어를 형성하고, 나노와이어를 둘러싸는 도전성 재료가 형성된다. 제1 반도체 층들, 제2 반도체 층, 및 제3 반도체 층은 상이한 재료들을 포함한다. 실시예에서, 제1 반도체 층을 형성하는 단계, 제2 반도체 층을 형성하는 단계, 또 다른 제1 반도체 층을 형성하는 단계, 및 제3 반도체 층을 형성하는 단계를 차례로 반복함으로써, 교번하는 제1 반도체 층들, 제2 반도체 층들, 또 다른 제1 반도체 층들, 및 제3 반도체 층들의 스택이 형성된다. 실시예에서, 제3 반도체 층의 일부를 제거하기 전에, 핀 구조물 위에 희생 게이트 구조물이 형성된다. 실시예에서, 제3 반도체 층의 일부를 제거하기 전에, 희생 게이트 구조물에 의해 커버되지 않는 핀 구조물의 일부는 제거되어, 소스/드레인 공간을 형성한다. 실시예에서, 소스/드레인 공간 내에 소스/드레인 영역들이 형성된다. 실시예에서, 나노와이어를 형성할 때, 반도체 기판의 일부는 제거된다. 실시예에서, 제3 반도체 층 및 반도체 기판은 동일한 재료로 형성된다. 실시예에서, 동일한 재료는 실리콘이다. 실시예에서, 제1 반도체 재료는 Si1-xGex이고, 제2 반도체 재료는 Si1-yGey이며, 여기서 x > y이다.An embodiment of the disclosure is a method of fabricating a semiconductor device comprising forming a first semiconductor layer having a first composition on a semiconductor substrate and forming a second semiconductor layer having a second composition over the first semiconductor layer . Another first semiconductor layer having a first composition is formed over the second semiconductor layer. A third semiconductor layer having a third composition is formed on another first semiconductor layer. The first semiconductor layers, the second semiconductor layer, and the third semiconductor layer are patterned to form a fin structure. A part of the third semiconductor layer is removed to form a nanowire including the second semiconductor layer, and a conductive material surrounding the nanowire is formed. The first semiconductor layers, the second semiconductor layer, and the third semiconductor layer include different materials. In the embodiment, by repeating the step of forming the first semiconductor layer, the step of forming the second semiconductor layer, the step of forming the other first semiconductor layer, and the step of forming the third semiconductor layer, A stack of one semiconductor layers, second semiconductor layers, another first semiconductor layers, and third semiconductor layers is formed. In an embodiment, a sacrificial gate structure is formed over the fin structure prior to removing a portion of the third semiconductor layer. In an embodiment, before removing a portion of the third semiconductor layer, a portion of the fin structure that is not covered by the sacrificial gate structure is removed to form the source / drain space. In an embodiment, source / drain regions are formed in the source / drain space. In an embodiment, when forming the nanowire, a portion of the semiconductor substrate is removed. In an embodiment, the third semiconductor layer and the semiconductor substrate are formed of the same material. In an embodiment, the same material is silicon. In an embodiment, the first semiconductor material is Si 1-x Ge x and the second semiconductor material is Si 1-y Ge y , where x> y.

본 개시물의 또 다른 실시예에서, 반도체 디바이스를 제조하는 방법은, 제1 반도체 층들(A), 제2 반도체 층들(B), 및 제3 반도체 층들(C)이 반복 시퀀스 ABAC로 적층되는 반도체 기판 위에 핀 구조물을 형성하는 단계를 포함한다. 제1 반도체 층들, 제2 반도체 층들, 및 제3 반도체 층들은 상이한 재료들을 포함한다. 희생 게이트 구조물은 핀 구조물 위에 게이트 영역을 규정한다. 희생 게이트 구조물에 의해 커버되지 않는 핀 구조물의 소스/드레인 영역들로부터 제3 반도체 층들이 제거된다. 소스/드레인 영역들 내에 소스/드레인 에피택셜 층들이 형성된다. 희생 게이트 구조물은 제거되고, 게이트 영역으로부터 제3 반도체 층들이 제거된다. 게이트 영역 내에 게이트 전극 구조물이 형성되고, 게이트 전극 구조물은 제1 및 제2 반도체 층들을 감싼다. 실시예에서, 제3 반도체 층들이 제거될 때, 반도체 기판의 일부는 제거된다. 실시예에서, 제3 반도체 층 및 반도체 기판은 동일한 재료로 형성된다. 실시예에서, 동일한 재료는 IV족 원소이다. 실시예에서, 제1 반도체 재료는 Si1-xGex이고, 제2 반도체 재료는 Si1-yGey이며, 여기서 x > y이다. 실시예에서, 0.3 ≤ x ≤ 0.9 이고, 0.1 ≤ y ≤ 0.5이다. 실시예에서, 제1 반도체 층들 및 제2 반도체 층들은 에피택셜하게 형성되고, 에피택셜 동작 동안, 제1 반도체 층을 형성하기 위해 Ge 농도는 증가되고, 제2 반도체 층을 형성하기 위해 Ge 농도는 감소된다. 실시예에서, 제2 반도체 층의 두께는 제3 반도체 층의 두께를 초과한다.In another embodiment of the present disclosure, a method of fabricating a semiconductor device includes providing a semiconductor substrate (A), a second semiconductor layer (B), and a third semiconductor layer (C) And forming a fin structure thereon. The first semiconductor layers, the second semiconductor layers, and the third semiconductor layers comprise different materials. The sacrificial gate structure defines a gate region over the fin structure. The third semiconductor layers are removed from the source / drain regions of the fin structure that is not covered by the sacrificial gate structure. Source / drain epitaxial layers are formed in the source / drain regions. The sacrificial gate structure is removed, and the third semiconductor layers are removed from the gate region. A gate electrode structure is formed in the gate region, and the gate electrode structure surrounds the first and second semiconductor layers. In an embodiment, when the third semiconductor layers are removed, a portion of the semiconductor substrate is removed. In an embodiment, the third semiconductor layer and the semiconductor substrate are formed of the same material. In an embodiment, the same material is a Group IV element. In an embodiment, the first semiconductor material is Si 1-x Ge x and the second semiconductor material is Si 1-y Ge y , where x> y. In the embodiment, 0.3? X? 0.9 and 0.1? Y? 0.5. In an embodiment, the first semiconductor layers and the second semiconductor layers are epitaxially formed and during the epitaxial operation, the Ge concentration is increased to form the first semiconductor layer, and the Ge concentration to form the second semiconductor layer is . In an embodiment, the thickness of the second semiconductor layer exceeds the thickness of the third semiconductor layer.

본 개시물의 실시예에서, 반도체 디바이스를 제조하는 방법은 제1 핀 구조물 및 제2 핀 구조물을 형성하는 단계를 포함하고, 제1 핀 구조물 및 제2 핀 구조물 모두 내에 제1 반도체 층들 및 제2 반도체 층들이 교번하여 적층된다. 제1 희생 게이트 구조물은 제1 핀 구조물 위에 형성되고, 제2 희생 게이트 구조물은 제2 핀 구조물 위에 형성된다. 제2 핀 구조물 및 제2 희생 게이트 구조물 위에 제1 보호 층이 형성된다. 제1 희생 게이트 구조물에 의해 커버되지 않는 제1 핀 구조물의 소스/드레인 영역 내에 제1 반도체 층들은 제거되어, 제1 소스/드레인 공간을 형성한다. 제1 소스/드레인 에피택셜 층이 제1 소스/드레인 공간 내에 형성되어, 제1 구조물을 형성한다. 제2 핀 구조물 및 제2 희생 게이트 구조물 위에 제2 보호 층이 형성된다. 제2 희생 게이트 구조물에 의해 커버되지 않는 제2 핀 구조물의 소스/드레인 영역 내에 제2 반도체 층들은 제거되어, 제2 소스/드레인 공간을 형성한다. 제2 소스/드레인 공간 내의 제2 소스/드레인 에피택셜 층이 제거되어, 제2 구조물을 형성한다. 제1 게이트 공간을 형성하기 위해 제1 게이트 영역에서 제1 희생 게이트 구조물 및 제1 반도체 층은 제거된다. 제2 게이트 공간을 형성하기 위해 제2 게이트 영역 내의 제2 희생 게이트 구조물 및 제2 반도체 층은 제거된다. 제1 게이트 전극 구조물 및 제2 게이트 전극 구조물은 각각 제1 게이트 공간 및 제2 게이트 공간 내에 형성된다. 제1 반도체 층은 제1 서브층 및 제1 서브층의 양측 상에 배치된 제2 서브층들을 포함하고, 제1 서브층은 제1 IV족 원소 및 제2 IV족 원소를 포함하는 합금으로 형성되고, 제2 서브층은 제1 IV족 원소 및 제2 IV족 원소를 포함하는 합금으로 형성된다. 제1 IV족 원소 및 제2 IV족 원소의 양은 제1 서브층 및 제2 서브층들에서 상이하다. 실시예에서, 제1 Ⅳ족 원소는 Si이고, 제2 Ⅳ족 원소는 Ge이다. 실시예에서, 제1 서브층의 조성은 Si1-yGey이고, 여기서 0.1 ≤ y ≤ 0.5이고, 제2 서브층의 조성은 Si1-xGex이며, 여기서 0.3 ≤ x ≤ 0.9이다.In an embodiment of the present disclosure, a method of fabricating a semiconductor device includes forming a first fin structure and a second fin structure, wherein the first semiconductor layer and the second semiconductor structure are formed in both the first fin structure and the second fin structure, Layers are alternately stacked. A first sacrificial gate structure is formed over the first fin structure and a second sacrificial gate structure is formed over the second fin structure. A first passivation layer is formed over the second fin structure and the second sacrificial gate structure. The first semiconductor layers in the source / drain regions of the first fin structure that are not covered by the first sacrificial gate structure are removed to form a first source / drain space. A first source / drain epitaxial layer is formed in the first source / drain space to form the first structure. A second passivation layer is formed over the second fin structure and the second sacrificial gate structure. The second semiconductor layers in the source / drain regions of the second fin structure not covered by the second sacrificial gate structure are removed to form a second source / drain space. The second source / drain epitaxial layer in the second source / drain space is removed to form the second structure. The first sacrificial gate structure and the first semiconductor layer in the first gate region are removed to form the first gate space. The second sacrificial gate structure and the second semiconductor layer in the second gate region are removed to form the second gate space. A first gate electrode structure and a second gate electrode structure are formed in the first gate space and the second gate space, respectively. The first semiconductor layer comprises a first sub-layer and second sub-layers disposed on both sides of the first sub-layer, the first sub-layer being formed of an alloy comprising a first group IV element and a second group IV element And the second sub-layer is formed of an alloy comprising the first IV group element and the second IV group element. The amounts of the first IV group element and the second IV group element are different in the first sub-layer and the second sub-layer. In an embodiment, the first IV group element is Si and the second IV group element is Ge. In an embodiment, the composition of the first sub-layer is Si 1-y Ge y , where 0.1 ≤ y ≤ 0.5 and the composition of the second sub-layer is Si 1-x Ge x , where 0.3 ≤ x ≤ 0.9.

본 개시물의 실시예에서, 반도체 디바이스는, 반도체 기판 위에 배치되는 적어도 하나의 반도체 나노와이어, 및 적어도 하나의 반도체 나노와이어를 감싸는 게이트 구조물을 포함한다. 게이트 구조물의 양측 상의 반도체 기판 위에 소스/드레인 구조물들이 배치된다. 적어도 하나의 반도체 나노와이어는, 제1 반도체 재료와는 상이한 제2 반도체 재료의 층을 샌드위칭하는, 제1 반도체 재료로 구성된 2개의 대향 제1 층들을 포함한다. 실시예에서, 제1 반도체 재료는 제1 IV족 원소 및 제2 IV족 원소를 포함하고, 제2 반도체 재료는 제1 IV족 원소 및 제2 IV족 원소를 포함하며, 제1 IV족 원소 및 제2 IV족 원소의 양은 제1 반도체 재료 및 제2 반도체 재료에서 상이하다. 실시예에서, 제1 Ⅳ족 원소는 Si이고, 제2 Ⅳ족 원소는 Ge이다. 실시예에서, 제1 반도체 재료는 Si1-xGex이고, 제2 반도체 재료는 Si1-yGey이며, x > y이다. 실시예에서, 0.3 ≤ x ≤ 0.9 이고, 0.1 ≤ y ≤ 0.5이다. 실시예에서, 제1 층들의 두께는 0.5 nm 내지 2 nm이고, 제2 층들의 두께는 3 nm 내지 15 nm이다. 실시예에서, 소스/드레인 구조물들은 적어도 하나의 나노와이어를 감싼다. 실시예에서, 절연 측벽들이 소스/드레인 구조물들과 게이트 구조물 사이에 배치된다. 실시예에서, 게이트 구조물은 하이-k유전체 층 및 금속 게이트 전극 층을 포함한다.In an embodiment of the present disclosure, a semiconductor device includes at least one semiconductor nanowire disposed over a semiconductor substrate, and a gate structure surrounding at least one semiconductor nanowire. Source / drain structures are disposed on semiconductor substrates on both sides of the gate structure. The at least one semiconductor nanowire includes two opposing first layers of a first semiconductor material sandwiching a layer of a second semiconductor material different from the first semiconductor material. In an embodiment, the first semiconductor material comprises a first group IV element and a second group IV element, the second semiconductor material comprises a first group IV element and a second group IV element, and the first group IV element and / The amount of the second IV group element is different in the first semiconductor material and the second semiconductor material. In an embodiment, the first IV group element is Si and the second IV group element is Ge. In an embodiment, the first semiconductor material is Si 1-x Ge x , the second semiconductor material is Si 1-y Ge y , and x> y. In the embodiment, 0.3? X? 0.9 and 0.1? Y? 0.5. In an embodiment, the thickness of the first layers is 0.5 nm to 2 nm and the thickness of the second layers is 3 nm to 15 nm. In an embodiment, the source / drain structures surround at least one nanowire. In an embodiment, insulating sidewalls are disposed between the source / drain structures and the gate structure. In an embodiment, the gate structure includes a high-k dielectric layer and a metal gate electrode layer.

본 개시물의 실시예에서, 반도체 디바이스는 기판 위에 제 1 방향을 따라 배열되는 스택으로 배치된 복수의 반도체 와이어들을 포함하고, 제 1 방향은 기판의 메인 표면에 실질적으로 수직으로 연장된다. 제1 소스/드레인 영역은 제1 반도체 와이어들의 단부들과 접촉한다. 게이트 유전체 층은 제1 반도체 와이어들의 각각의 채널 영역 상에 배치되고 그 주위를 감싼다. 게이트 전극 층은 게이트 유전체 층 상에 배치되고, 각각의 채널 영역을 감싼다. 적어도 하나의 반도체 나노와이어는 제1 반도체 재료와는 상이한 제2 반도체 재료의 제2 층을 샌드위칭하는 제1 반도체 재료로 구성된 2개의 대향하는 제1 층들을 포함하고, 제1 층들 및 제2 층은 제1 방향을 따라 배열된다. 실시예에서, 제1 반도체 재료는 제1 IV족 원소 및 제2 IV족 원소를 포함하고, 제2 반도체 재료는 제1 IV족 원소 및 제2 IV족 원소를 포함하며, 제1 IV족 원소 및 제2 IV족 원소의 양은 제1 반도체 재료 및 제2 반도체 재료에서 상이하다. 실시예에서, 제1 Ⅳ족 원소는 Si이고, 제2 Ⅳ족 원소는 Ge이다. 실시예에서, 제1 반도체 재료는 Si1-xGex이고, 제2 반도체 재료는 Si1-yGey이며, x > y이다. 실시예에서, 제1 층들의 두께는 0.5 nm 내지 2 nm이고, 제2 층의 두께는 3 nm 내지 15 nm이다. 실시예에서, 소스/드레인 구조물들은 나노와이어들 각각을 감싼다. 실시예에서, 절연 측벽들이 소스/드레인 영역과 게이트 전극 층 사이에 포함된다.In an embodiment of the present disclosure, a semiconductor device includes a plurality of semiconductor wires arranged in a stack arranged in a first direction on a substrate, the first direction extending substantially perpendicular to the main surface of the substrate. The first source / drain region is in contact with the ends of the first semiconductor wires. A gate dielectric layer is disposed on and surrounds each channel region of the first semiconductor wires. A gate electrode layer is disposed on the gate dielectric layer and surrounds each channel region. The at least one semiconductor nanowire includes two opposing first layers comprised of a first semiconductor material sandwiching a second layer of a second semiconductor material different from the first semiconductor material, Are arranged along the first direction. In an embodiment, the first semiconductor material comprises a first group IV element and a second group IV element, the second semiconductor material comprises a first group IV element and a second group IV element, and the first group IV element and / The amount of the second IV group element is different in the first semiconductor material and the second semiconductor material. In an embodiment, the first IV group element is Si and the second IV group element is Ge. In an embodiment, the first semiconductor material is Si 1-x Ge x , the second semiconductor material is Si 1-y Ge y , and x> y. In an embodiment, the thickness of the first layers is 0.5 nm to 2 nm and the thickness of the second layer is 3 nm to 15 nm. In an embodiment, the source / drain structures surround each of the nanowires. In an embodiment, insulating sidewalls are included between the source / drain regions and the gate electrode layer.

본 개시물의 실시예에서, 반도체 디바이스는 제1 나노와이어 구조물 및 제2 나노와이어 구조물을 포함하며, 여기서 제1 나노와이어 구조물 및 제2 나노와이어 구조물 모두는 제1 방향을 따라 연장되고 제1 방향에 실질적으로 수직인 제2 방향을 따라 적층되는 복수의 나노와이어들을 포함한다. 제1 및 제2 게이트 전극들은 각각 제1 및 제2 나노와이어 구조물들 위에 배치되며, 제1 및 제2 전극들은 각각 제1 및 제2 나노와이어들 중의 나노와이어들을 감싼다. 제1 나노와이어들은 제1 반도체 재료를 포함하는 제1 반도체 층, 및 제2 반도체 재료를 포함하고 제1 서브층의 양측 상에 배치된 제2 서브층들로 구성된다. 제2 나노와이어들은 제3 반도체 재료로 구성되고, 제1, 제2 및 제3 반도체 재료들은 상이한 재료들이다. 실시예에서, 제1 반도체 재료는 제1 IV족 원소 및 제2 IV족 원소를 포함하는 합금이고, 제2 반도체 재료는 제1 IV족 원소 및 제2 IV족 원소를 포함하는 합금이고, 제3 반도체 재료는 제1 IV족 원소 및 제2 IV족 원소 중 하나이며, 제1 IV족 원소 및 제2 IV족 원소의 양은 제1 반도체 재료 및 제2 반도체 재료에서 상이하다. 실시예에서, 제1 Ⅳ족 원소는 Si이고, 제2 Ⅳ족 원소는 Ge이다. 실시예에서, 제1 반도체 재료의 조성은 Si1-yGey이고, 여기서 0.1 ≤ y ≤ 0.5이고, 제2 반도체 재료의 조성은 Si1-xGex이며, 여기서 0.3 ≤ x ≤ 0.9이다.In an embodiment of the present disclosure, a semiconductor device includes a first nanowire structure and a second nanowire structure, wherein both the first nanowire structure and the second nanowire structure extend along a first direction and extend in a first direction And a plurality of nanowires stacked along a second direction that is substantially perpendicular. The first and second gate electrodes are disposed over the first and second nanowire structures, respectively, and the first and second electrodes surround the nanowires of the first and second nanowires, respectively. The first nanowires comprise a first semiconductor layer comprising a first semiconductor material and second sublayers comprising a second semiconductor material and disposed on opposite sides of the first sublayer. The second nanowires are composed of a third semiconductor material, and the first, second and third semiconductor materials are different materials. In an embodiment, the first semiconductor material is an alloy comprising a first IV group element and a second IV group element, the second semiconductor material is an alloy comprising a first IV group element and a second IV group element, The semiconductor material is one of a first IV group element and a second IV group element and the amounts of the first IV group element and the second IV group element are different in the first semiconductor material and the second semiconductor material. In an embodiment, the first IV group element is Si and the second IV group element is Ge. In an embodiment, the composition of the first semiconductor material is Si 1-y Ge y , where 0.1 ≤ y ≤ 0.5 and the composition of the second semiconductor material is Si 1-x Ge x , where 0.3 ≤ x ≤ 0.9.

본 개시물의 실시예에서, 반도체 디바이스를 제조하는 방법은, 첫번째 제1 반도체 층, 첫번째 제2 반도체 층, 제3 반도체 층, 두번째 제2 반도체 층, 및 두번째 제1 반도체 층을 이 순서대로 배치하여 포함하는 핀 구조물을 형성하는 단계를 포함한다. 핀 구조물 위에 놓이는, 희생 게이트 유전체 층 및 희생 게이트 전극 층을 포함하는 희생 게이트 구조물이 형성된다. 희생 게이트 구조물의 양측 상의 핀 구조물 위에 소스 및 드레인 영역들이 형성된다. 소스/드레인 영역들 위에 층간 유전체 층이 형성된다. 희생 게이트 구조물은 제거된다. 제1 반도체 층들 및 제2 반도체 층들은 디바이스의 채널 영역에서 제거되어, 제3 반도체 층의 나노와이어를 형성한다. 채널 영역 내의 나노와이어를 감싸는 하이-k 게이트 유전체 층 및 금속 게이트 전극이 형성된다.In an embodiment of the present disclosure, a method of manufacturing a semiconductor device includes the steps of disposing a first semiconductor layer, a first second semiconductor layer, a third semiconductor layer, a second second semiconductor layer, and a second first semiconductor layer in this order To form a pin structure including the pin structure. A sacrificial gate structure is formed that includes a sacrificial gate dielectric layer and a sacrificial gate electrode layer overlying the fin structure. Source and drain regions are formed over the fin structure on either side of the sacrificial gate structure. An interlayer dielectric layer is formed over the source / drain regions. The sacrificial gate structure is removed. The first semiconductor layers and the second semiconductor layers are removed from the channel region of the device to form the nanowires of the third semiconductor layer. A high-k gate dielectric layer and a metal gate electrode surrounding the nanowires in the channel region are formed.

모든 이점이 본 명세서에서 반드시 논의되지는 않았으며, 모든 실시예들 또는 예들에 대해 특별한 이점이 요구되지 않으며, 다른 실시예들 또는 예들은 상이한 장점을 제공할 수 있음을 이해할 것이다.It is to be understood that not all advantages are necessarily discussed herein, that no particular advantage is required for all embodiments or examples, and that other embodiments or examples may provide different advantages.

실시예들Examples

실시예 1. 반도체 디바이스를 제조하는 방법에 있어서,1. A method of manufacturing a semiconductor device,

반도체 기판 위에 제1 조성을 갖는 제1 반도체 층을 형성하는 단계;Forming a first semiconductor layer having a first composition on a semiconductor substrate;

상기 제1 반도체 층 위에 제2 조성을 갖는 제2 반도체 층을 형성하는 단계;Forming a second semiconductor layer having a second composition over the first semiconductor layer;

상기 제2 반도체 층 위에 상기 제1 조성을 갖는 또 다른 제1 반도체 층을 형성하는 단계;Forming another first semiconductor layer having the first composition on the second semiconductor layer;

상기 또 다른 제1 반도체 층 위에 제3 조성을 갖는 제3 반도체 층을 형성하는 단계;Forming a third semiconductor layer having a third composition on the another first semiconductor layer;

핀 구조물을 형성하도록 상기 제1 반도체 층들, 제2 반도체 층, 및 제3 반도체 층을 패터닝하는 단계;Patterning the first semiconductor layers, the second semiconductor layer, and the third semiconductor layer to form a fin structure;

상기 제3 반도체 층의 일부를 제거하여, 상기 제2 반도체 층을 포함하는 나노와이어를 형성하는 단계; 및Removing a portion of the third semiconductor layer to form a nanowire including the second semiconductor layer; And

상기 나노와이어를 둘러싸는 도전성 재료를 형성하는 단계Forming a conductive material surrounding the nanowire

를 포함하며,/ RTI >

상기 제1 반도체 층들, 제2 반도체 층, 및 제3 반도체 층은 상이한 재료들을 포함하는 것인, 반도체 디바이스를 제조하는 방법.Wherein the first semiconductor layers, the second semiconductor layer, and the third semiconductor layer comprise different materials.

실시예 2. 실시예 1에 있어서,Example 2 [0050] In Example 1,

상기 제1 반도체 층을 형성하는 단계, 상기 제2 반도체 층을 형성하는 단계, 상기 또 다른 제1 반도체 층을 형성하는 단계, 및 상기 제3 반도체 층을 형성하는 단계를 차례로 반복함으로써, 교번하는 제1 반도체 층들, 제2 반도체 층들, 또 다른 제1 반도체 층들, 및 제3 반도체 층들의 스택이 형성되는 것인, 반도체 디바이스를 제조하는 방법.The step of forming the first semiconductor layer, the step of forming the second semiconductor layer, the step of forming the another first semiconductor layer, and the step of forming the third semiconductor layer are repeated in order, Wherein a stack of one semiconductor layers, second semiconductor layers, another first semiconductor layers, and third semiconductor layers is formed.

실시예 3. 실시예 1에 있어서,Example 3 In Example 1,

상기 제3 반도체 층의 일부를 제거하기 전에, 상기 핀 구조물 위에 희생 게이트 구조물을 형성하는 단계를 더 포함하는, 반도체 디바이스를 제조하는 방법.Further comprising forming a sacrificial gate structure over the fin structure prior to removing a portion of the third semiconductor layer.

실시예 4. 실시예 3에 있어서,Example 4 [0060] In Example 3,

상기 제3 반도체 층의 일부를 제거하기 전에, 상기 희생 게이트 구조물에 의해 커버되지 않는 상기 핀 구조물의 일부를 제거하여, 소스/드레인 공간을 형성하는 단계를 더 포함하는, 반도체 디바이스를 제조하는 방법.Further comprising removing a portion of the fin structure not covered by the sacrificial gate structure to form a source / drain space prior to removing a portion of the third semiconductor layer.

실시예 5. 실시예 4에 있어서,Example 5 In Example 4,

상기 소스/드레인 공간 내에 소스/드레인 영역들을 형성하는 단계를 더 포함하는, 반도체 디바이스를 제조하는 방법.And forming source / drain regions in the source / drain space.

실시예 6. 실시예 1에 있어서,Example 6 In Example 1,

상기 나노와이어를 형성할 때, 상기 반도체 기판의 일부를 제거하는 단계를 더 포함하는, 반도체 디바이스를 제조하는 방법.Further comprising removing a portion of the semiconductor substrate when forming the nanowire.

실시예 7. 실시예 1에 있어서,[Example 7]

상기 제3 반도체 층 및 상기 반도체 기판은 동일한 재료로 형성되는 것인, 반도체 디바이스를 제조하는 방법.Wherein the third semiconductor layer and the semiconductor substrate are formed of the same material.

실시예 8. 실시예 7에 있어서,Example 8 [0141] In the same manner as in Example 7,

상기 동일한 재료는 실리콘인 것인, 반도체 디바이스를 제조하는 방법.Wherein the same material is silicon.

실시예 9. 실시예 1에 있어서,[Example 9] In Example 1,

상기 제1 반도체 재료는 Si1-xGex이고, 상기 제2 반도체 재료는 Si1-yGey이며, 여기서 x > y인 것인, 반도체 디바이스를 제조하는 방법.Wherein the first semiconductor material is Si 1-x Ge x and the second semiconductor material is Si 1-y Ge y , where x> y.

실시예 10. 반도체 디바이스를 제조하는 방법에 있어서,Embodiment 10. A method of manufacturing a semiconductor device,

제1 반도체 층들(A), 제2 반도체 층들(B), 및 제3 반도체 층들(C)이 반복 시퀀스 ABAC로 적층되는 반도체 기판 위에 핀 구조물을 형성하는 단계 ― 상기 제1 반도체 층들, 제2 반도체 층들, 및 제3 반도체 층들은 상이한 재료들을 포함함 ― ;Forming a fin structure on a semiconductor substrate on which the first semiconductor layers (A), the second semiconductor layers (B), and the third semiconductor layers (C) are stacked in a repeating sequence ABAC, The layers, and the third semiconductor layers comprise different materials;

상기 핀 구조물 위에 게이트 영역을 규정하는 희생 게이트 구조물을 형성하는 단계;Forming a sacrificial gate structure defining a gate region over the fin structure;

상기 희생 게이트 구조물에 의해 커버되지 않는 상기 핀 구조물의 소스/드레인 영역들로부터 상기 제3 반도체 층들을 제거하는 단계;Removing the third semiconductor layers from the source / drain regions of the fin structure not covered by the sacrificial gate structure;

상기 소스/드레인 영역들 내에 소스/드레인 에피택셜 층들을 형성하는 단계;Forming source / drain epitaxial layers in the source / drain regions;

상기 희생 게이트 구조물을 제거하는 단계;Removing the sacrificial gate structure;

상기 게이트 영역으로부터 상기 제3 반도체 층들을 제거하는 단계; 및Removing the third semiconductor layers from the gate region; And

상기 게이트 영역 내에 게이트 전극 구조물을 형성하는 단계 ― 상기 게이트 전극 구조물은 상기 제1 및 제2 반도체 층들을 감쌈 ―Forming a gate electrode structure within the gate region, the gate electrode structure including a first and a second semiconductor layers,

를 포함하는, 반도체 디바이스를 제조하는 방법.≪ / RTI >

실시예 11. 실시예 10에 있어서,Example 11 [0141] In Example 10,

상기 제3 반도체 층들을 제거할 때, 상기 반도체 기판의 일부를 제거하는 단계를 더 포함하는, 반도체 디바이스를 제조하는 방법.Further comprising removing a portion of the semiconductor substrate when removing the third semiconductor layers.

실시예 12. 실시예 10에 있어서,Example 12 [0141] In the same manner as in Example 10,

상기 제3 반도체 층 및 상기 반도체 기판은 동일한 재료로 형성되는 것인, 반도체 디바이스를 제조하는 방법.Wherein the third semiconductor layer and the semiconductor substrate are formed of the same material.

실시예 13. 실시예 12에 있어서,13. The method according to embodiment 12,

상기 동일한 재료는 IV족 원소인 것인, 반도체 디바이스를 제조하는 방법.Wherein the same material is a Group IV element.

실시예 14. 실시예 10에 있어서,Example 14 [0141] In the same manner as in Example 10,

상기 제1 반도체 재료는 Si1-xGex이고, 상기 제2 반도체 재료는 Si1-yGey이고, 상기 제3 반도체 재료는 실리콘이며, 여기서 x > y인 것인, 반도체 디바이스를 제조하는 방법.Wherein the first semiconductor material is Si 1-x Ge x , the second semiconductor material is Si 1-y Ge y , and the third semiconductor material is silicon, wherein x> y. Way.

실시예 15. 실시예 14에 있어서,Example 15. In Example 14,

0.3 ≤ x ≤ 0.9 이고, 0.1 ≤ y ≤ 0.5인 것인, 반도체 디바이스를 제조하는 방법.0.3? X? 0.9, and 0.1? Y? 0.5.

실시예 16. 실시예 15에 있어서,[Example 16]

상기 제1 및 제2 반도체 층들은 에피택셜하게 형성되고, 상기 에피택셜 동작 동안, 상기 제1 반도체 층을 형성하기 위해 상기 Ge 농도는 증가되고, 상기 제2 반도체 층을 형성하기 위해 상기 Ge 농도는 감소되는 것인, 반도체 디바이스를 제조하는 방법.Wherein the first and second semiconductor layers are epitaxially formed and during the epitaxial operation the Ge concentration is increased to form the first semiconductor layer and the Ge concentration to form the second semiconductor layer is Wherein the semiconductor device is reduced in thickness.

실시예 17. 실시예 10에 있어서,[Example 17] In Example 10,

상기 제2 반도체 층의 두께는 상기 제3 반도체 층의 두께를 초과하는 것인, 반도체 디바이스를 제조하는 방법.Wherein the thickness of the second semiconductor layer exceeds the thickness of the third semiconductor layer.

실시예 18. 반도체 디바이스에 있어서,Embodiment 18. In a semiconductor device,

반도체 기판 위에 배치되는 적어도 하나의 반도체 나노와이어;At least one semiconductor nanowire disposed on a semiconductor substrate;

상기 적어도 하나의 반도체 나노와이어를 감싸는 게이트 구조물; 및A gate structure surrounding the at least one semiconductor nanowire; And

상기 게이트 구조물의 양측 상의 상기 반도체 기판 위에 배치되는 소스/드레인 구조물들Source / drain structures disposed on the semiconductor substrate on either side of the gate structure

을 포함하며,/ RTI >

상기 적어도 하나의 반도체 나노와이어는, 제1 반도체 재료와는 상이한 제2 반도체 재료의 층을 샌드위칭하는, 상기 제1 반도체 재료로 구성된 2개의 대향 층들을 포함하는 것인, 반도체 디바이스.Wherein the at least one semiconductor nanowire comprises two opposing layers comprised of the first semiconductor material that sandwich a layer of a second semiconductor material different from the first semiconductor material.

실시예 19. 실시예 18에 있어서,[Example 19]

상기 제1 반도체 재료는 제1 IV족 원소 및 제2 IV족 원소를 포함하고, 상기 제2 반도체 재료는 상기 제1 IV족 원소 및 상기 제2 IV족 원소를 포함하며, 상기 제1 IV족 원소 및 상기 제2 IV족 원소의 양은 상기 제1 반도체 재료 및 상기 제2 반도체 재료에서 상이한 것인, 반도체 디바이스.Wherein the first semiconductor material comprises a first group IV element and a second group IV element and the second semiconductor material comprises the first group IV element and the second group IV element and wherein the first group IV element And wherein the amount of the second group IV element is different in the first semiconductor material and the second semiconductor material.

실시예 20. 실시예 19에 있어서,20. The process of embodiment 19,

상기 제1 IV족 원소는 Si이고, 상기 제2 IV족 원소는 Ge인 것인, 반도체 디바이스.Wherein the first group IV element is Si and the second group IV element is Ge.

Claims (10)

반도체 디바이스를 제조하는 방법에 있어서,
반도체 기판 위에 제1 조성을 갖는 제1 반도체 층을 형성하는 단계;
상기 제1 반도체 층 위에 제2 조성을 갖는 제2 반도체 층을 형성하는 단계;
상기 제2 반도체 층 위에 상기 제1 조성을 갖는 또 다른 제1 반도체 층을 형성하는 단계;
상기 또 다른 제1 반도체 층 위에 제3 조성을 갖는 제3 반도체 층을 형성하는 단계;
핀 구조물을 형성하도록 상기 제1 반도체 층들, 제2 반도체 층, 및 제3 반도체 층을 패터닝하는 단계;
상기 제3 반도체 층의 일부를 제거하여, 상기 제2 반도체 층을 포함하는 나노와이어를 형성하는 단계; 및
상기 나노와이어를 둘러싸는 도전성 재료를 형성하는 단계
를 포함하며,
상기 제1 반도체 층들, 제2 반도체 층, 및 제3 반도체 층은 상이한 재료들을 포함하는 것인, 반도체 디바이스를 제조하는 방법.
A method of manufacturing a semiconductor device,
Forming a first semiconductor layer having a first composition on a semiconductor substrate;
Forming a second semiconductor layer having a second composition over the first semiconductor layer;
Forming another first semiconductor layer having the first composition on the second semiconductor layer;
Forming a third semiconductor layer having a third composition on the another first semiconductor layer;
Patterning the first semiconductor layers, the second semiconductor layer, and the third semiconductor layer to form a fin structure;
Removing a portion of the third semiconductor layer to form a nanowire including the second semiconductor layer; And
Forming a conductive material surrounding the nanowire
/ RTI >
Wherein the first semiconductor layers, the second semiconductor layer, and the third semiconductor layer comprise different materials.
제1항에 있어서,
상기 제1 반도체 층을 형성하는 단계, 상기 제2 반도체 층을 형성하는 단계, 상기 또 다른 제1 반도체 층을 형성하는 단계, 및 상기 제3 반도체 층을 형성하는 단계를 차례로 반복함으로써, 교번하는 제1 반도체 층들, 제2 반도체 층들, 또 다른 제1 반도체 층들, 및 제3 반도체 층들의 스택이 형성되는 것인, 반도체 디바이스를 제조하는 방법.
The method according to claim 1,
The step of forming the first semiconductor layer, the step of forming the second semiconductor layer, the step of forming the another first semiconductor layer, and the step of forming the third semiconductor layer are repeated in order, Wherein a stack of one semiconductor layers, second semiconductor layers, another first semiconductor layers, and third semiconductor layers is formed.
제1항에 있어서,
상기 제3 반도체 층의 일부를 제거하기 전에, 상기 핀 구조물 위에 희생 게이트 구조물을 형성하는 단계를 더 포함하는, 반도체 디바이스를 제조하는 방법.
The method according to claim 1,
Further comprising forming a sacrificial gate structure over the fin structure prior to removing a portion of the third semiconductor layer.
제3항에 있어서,
상기 제3 반도체 층의 일부를 제거하기 전에, 상기 희생 게이트 구조물에 의해 커버되지 않는 상기 핀 구조물의 일부를 제거하여, 소스/드레인 공간을 형성하는 단계를 더 포함하는, 반도체 디바이스를 제조하는 방법.
The method of claim 3,
Further comprising removing a portion of the fin structure not covered by the sacrificial gate structure to form a source / drain space prior to removing a portion of the third semiconductor layer.
제4항에 있어서,
상기 소스/드레인 공간 내에 소스/드레인 영역들을 형성하는 단계를 더 포함하는, 반도체 디바이스를 제조하는 방법.
5. The method of claim 4,
And forming source / drain regions in the source / drain space.
제1항에 있어서,
상기 나노와이어를 형성할 때, 상기 반도체 기판의 일부를 제거하는 단계를 더 포함하는, 반도체 디바이스를 제조하는 방법.
The method according to claim 1,
Further comprising removing a portion of the semiconductor substrate when forming the nanowire.
제1항에 있어서,
상기 제3 반도체 층 및 상기 반도체 기판은 동일한 재료로 형성되는 것인, 반도체 디바이스를 제조하는 방법.
The method according to claim 1,
Wherein the third semiconductor layer and the semiconductor substrate are formed of the same material.
제1항에 있어서,
상기 제1 반도체 재료는 Si1-xGex이고, 상기 제2 반도체 재료는 Si1-yGey이며, 여기서 x > y인 것인, 반도체 디바이스를 제조하는 방법.
The method according to claim 1,
Wherein the first semiconductor material is Si 1-x Ge x and the second semiconductor material is Si 1-y Ge y , where x> y.
반도체 디바이스를 제조하는 방법에 있어서,
제1 반도체 층들(A), 제2 반도체 층들(B), 및 제3 반도체 층들(C)이 반복 시퀀스 ABAC로 적층되는 반도체 기판 위에 핀 구조물을 형성하는 단계 ― 상기 제1 반도체 층들, 상기 제2 반도체 층들, 및 상기 제3 반도체 층들은 상이한 재료들을 포함함 ― ;
상기 핀 구조물 위에 게이트 영역을 규정하는 희생 게이트 구조물을 형성하는 단계;
상기 희생 게이트 구조물에 의해 커버되지 않는 상기 핀 구조물의 소스/드레인 영역들로부터 상기 제3 반도체 층들을 제거하는 단계;
상기 소스/드레인 영역들 내에 소스/드레인 에피택셜 층들을 형성하는 단계;
상기 희생 게이트 구조물을 제거하는 단계;
상기 게이트 영역으로부터 상기 제3 반도체 층들을 제거하는 단계; 및
상기 게이트 영역 내에 게이트 전극 구조물을 형성하는 단계 ― 상기 게이트 전극 구조물은 상기 제1 및 제2 반도체 층들을 감쌈 ―
를 포함하는, 반도체 디바이스를 제조하는 방법.
A method of manufacturing a semiconductor device,
Forming a fin structure on a semiconductor substrate on which the first semiconductor layers (A), the second semiconductor layers (B), and the third semiconductor layers (C) are stacked in a repeating sequence ABAC, The semiconductor layers, and the third semiconductor layers comprise different materials;
Forming a sacrificial gate structure defining a gate region over the fin structure;
Removing the third semiconductor layers from the source / drain regions of the fin structure not covered by the sacrificial gate structure;
Forming source / drain epitaxial layers in the source / drain regions;
Removing the sacrificial gate structure;
Removing the third semiconductor layers from the gate region; And
Forming a gate electrode structure within the gate region, the gate electrode structure including a first and a second semiconductor layers,
≪ / RTI >
반도체 디바이스에 있어서,
반도체 기판 위에 배치되는 적어도 하나의 반도체 나노와이어;
상기 적어도 하나의 반도체 나노와이어를 감싸는 게이트 구조물; 및
상기 게이트 구조물의 양측 상의 상기 반도체 기판 위에 배치되는 소스/드레인 구조물들
을 포함하며,
상기 적어도 하나의 반도체 나노와이어는, 제1 반도체 재료와는 상이한 제2 반도체 재료의 층을 샌드위칭하는, 상기 제1 반도체 재료로 구성된 2개의 대향 층들을 포함하는 것인, 반도체 디바이스.
A semiconductor device comprising:
At least one semiconductor nanowire disposed on a semiconductor substrate;
A gate structure surrounding the at least one semiconductor nanowire; And
Source / drain structures disposed on the semiconductor substrate on either side of the gate structure
/ RTI >
Wherein the at least one semiconductor nanowire comprises two opposing layers comprised of the first semiconductor material that sandwich a layer of a second semiconductor material different from the first semiconductor material.
KR1020180078636A 2017-09-29 2018-07-06 Method of manufacturing a semiconductor device and a semiconductor device KR102121453B1 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762565339P 2017-09-29 2017-09-29
US62/565,339 2017-09-29
US15/940,329 US10497624B2 (en) 2017-09-29 2018-03-29 Method of manufacturing a semiconductor device and a semiconductor device
US15/940,329 2018-03-29

Publications (2)

Publication Number Publication Date
KR20190038282A true KR20190038282A (en) 2019-04-08
KR102121453B1 KR102121453B1 (en) 2020-06-11

Family

ID=65896796

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020180078636A KR102121453B1 (en) 2017-09-29 2018-07-06 Method of manufacturing a semiconductor device and a semiconductor device

Country Status (3)

Country Link
US (3) US10497624B2 (en)
KR (1) KR102121453B1 (en)
TW (1) TWI689103B (en)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200125896A (en) * 2019-04-26 2020-11-05 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Semiconductor device structure and method for forming the same
KR102272133B1 (en) * 2020-02-26 2021-07-06 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Transistors with different threshold voltages
KR20210086432A (en) * 2019-12-27 2021-07-08 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Semiconductor device structure and method for forming the same
KR20210111658A (en) * 2020-03-02 2021-09-13 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Core-shell nanostructures for semiconductor devices
KR20210122629A (en) * 2020-03-31 2021-10-12 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Metal source/drain features
KR20210122676A (en) * 2020-03-31 2021-10-12 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Reducing parasitic capacitance in field-effect transistors
KR20210132595A (en) * 2020-04-24 2021-11-04 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Multi-layer channel structures and methods of fabricating the same in field-effect transistors
KR102421864B1 (en) * 2021-01-28 2022-07-18 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Semiconductor device structure with nanostructure and method for forming the same

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10497624B2 (en) * 2017-09-29 2019-12-03 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US10833157B2 (en) 2017-12-18 2020-11-10 International Business Machines Corporation iFinFET
US11031239B2 (en) 2018-06-29 2021-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. Germanium nanosheets and methods of forming the same
US10727427B2 (en) 2018-08-31 2020-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a field effect transistor using carbon nanotubes and a field effect transistor
US11411082B2 (en) * 2018-10-31 2022-08-09 Taiwan Semiconductor Manufacturing Co., Ltd. Nanowire stack GAA device with selectable numbers of channel strips
US11276832B2 (en) * 2018-11-28 2022-03-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with diffusion break and method
US10943819B2 (en) * 2018-12-20 2021-03-09 Nanya Technology Corporation Semiconductor structure having a plurality of capped protrusions
CN111682068B (en) * 2019-03-11 2024-01-02 联华电子股份有限公司 Semiconductor element and manufacturing method thereof
US11088246B2 (en) * 2019-07-18 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a field effect transistor using carbon nanotubes and a field effect transistor
KR102638741B1 (en) 2019-08-19 2024-02-22 삼성전자주식회사 Semiconductor memory device
US11594637B2 (en) * 2020-03-27 2023-02-28 Intel Corporation Gate-all-around integrated circuit structures having fin stack isolation
DE102021101473A1 (en) 2020-03-31 2021-09-30 Taiwan Semiconductor Manufacturing Co., Ltd. REDUCING PARASITARY CAPACITY IN FIELD EFFECT TRANSISTORS
US11791401B2 (en) * 2020-07-30 2023-10-17 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-gate device and related methods
US11437474B2 (en) * 2020-08-17 2022-09-06 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structures in transistors and method of forming same
CN115274445A (en) * 2021-04-30 2022-11-01 北方集成电路技术创新中心(北京)有限公司 Method for forming semiconductor structure
US20220367620A1 (en) * 2021-05-14 2022-11-17 Taiwan Semiconductor Manufacturing Company, Ltd. Source/Drain Feature for Multigate Device Performance and Method of Fabricating Thereof
US20230066477A1 (en) * 2021-08-31 2023-03-02 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structures in transistors and method of forming same

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140001441A1 (en) * 2012-06-29 2014-01-02 Seiyon Kim Integration methods to fabricate internal spacers for nanowire devices
KR20150033496A (en) * 2013-09-24 2015-04-01 삼성전자주식회사 Semiconductor device and method for forming the same
US20150325481A1 (en) * 2011-12-19 2015-11-12 Intel Corporation Cmos implementation of germanium and iii-v nanowires and nanoribbons in gate-all-around architecture

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7151285B2 (en) * 2004-06-30 2006-12-19 Micron Technology, Inc. Transistor structures and transistors with a germanium-containing channel
JP4724231B2 (en) * 2009-01-29 2011-07-13 株式会社東芝 Semiconductor device and manufacturing method thereof
WO2013095646A1 (en) 2011-12-23 2013-06-27 Intel Corporation Cmos nanowire structure
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US20140151639A1 (en) * 2012-12-03 2014-06-05 International Business Machines Corporation Nanomesh complementary metal-oxide-semiconductor field effect transistors
US8896101B2 (en) 2012-12-21 2014-11-25 Intel Corporation Nonplanar III-N transistors with compositionally graded semiconductor channels
US8969149B2 (en) 2013-05-14 2015-03-03 International Business Machines Corporation Stacked semiconductor nanowires with tunnel spacers
US9171843B2 (en) * 2013-08-02 2015-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and fabricating the same
US9362397B2 (en) 2013-09-24 2016-06-07 Samsung Electronics Co., Ltd. Semiconductor devices
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9786774B2 (en) 2014-06-27 2017-10-10 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate of gate-all-around transistor
US9608116B2 (en) 2014-06-27 2017-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. FINFETs with wrap-around silicide and method forming the same
US9881993B2 (en) 2014-06-27 2018-01-30 Taiwan Semiconductor Manufacturing Company Limited Method of forming semiconductor structure with horizontal gate all around structure
US9536738B2 (en) 2015-02-13 2017-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Vertical gate all around (VGAA) devices and methods of manufacturing the same
US9406529B1 (en) * 2015-03-05 2016-08-02 International Business Machines Corporation Formation of FinFET junction
US9520466B2 (en) 2015-03-16 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Vertical gate-all-around field effect transistors and methods of forming same
US9853101B2 (en) 2015-10-07 2017-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Strained nanowire CMOS device and method of forming
US9502265B1 (en) 2015-11-04 2016-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Vertical gate all around (VGAA) transistors and methods of forming the same
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US10032627B2 (en) * 2015-11-16 2018-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming stacked nanowire transistors
US10204985B2 (en) 2015-11-16 2019-02-12 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure
US9887269B2 (en) 2015-11-30 2018-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US9748404B1 (en) * 2016-02-29 2017-08-29 International Business Machines Corporation Method for fabricating a semiconductor device including gate-to-bulk substrate isolation
KR102465536B1 (en) * 2016-06-08 2022-11-14 삼성전자주식회사 Method of manufacturing semiconductor devices
US10361278B2 (en) * 2017-08-30 2019-07-23 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US10497624B2 (en) * 2017-09-29 2019-12-03 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150325481A1 (en) * 2011-12-19 2015-11-12 Intel Corporation Cmos implementation of germanium and iii-v nanowires and nanoribbons in gate-all-around architecture
US20140001441A1 (en) * 2012-06-29 2014-01-02 Seiyon Kim Integration methods to fabricate internal spacers for nanowire devices
KR20150033496A (en) * 2013-09-24 2015-04-01 삼성전자주식회사 Semiconductor device and method for forming the same

Cited By (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200125896A (en) * 2019-04-26 2020-11-05 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Semiconductor device structure and method for forming the same
US11038058B2 (en) 2019-04-26 2021-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US11961913B2 (en) 2019-04-26 2024-04-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and method for forming the same
US11664454B2 (en) 2019-04-26 2023-05-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming semiconductor device structure
KR20210086432A (en) * 2019-12-27 2021-07-08 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Semiconductor device structure and method for forming the same
US11362096B2 (en) 2019-12-27 2022-06-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
KR102272133B1 (en) * 2020-02-26 2021-07-06 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Transistors with different threshold voltages
US11848368B2 (en) 2020-02-26 2023-12-19 Taiwan Semiconductor Manufacturing Co., Ltd. Transistors with different threshold voltages
US11152477B2 (en) 2020-02-26 2021-10-19 Taiwan Semiconductor Manufacturing Co., Ltd. Transistors with different threshold voltages
KR20210111658A (en) * 2020-03-02 2021-09-13 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Core-shell nanostructures for semiconductor devices
US11824089B2 (en) 2020-03-02 2023-11-21 Taiwan Semiconductor Manufacturing Co., Ltd. Core-shell nanostructures for semiconductor devices
US11233119B2 (en) 2020-03-02 2022-01-25 Taiwan Semiconductor Manufacturing Co., Ltd. Core-shell nanostructures for semiconductor devices
US11424338B2 (en) 2020-03-31 2022-08-23 Taiwan Semiconductor Manufacturing Co., Ltd. Metal source/drain features
KR20210122676A (en) * 2020-03-31 2021-10-12 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Reducing parasitic capacitance in field-effect transistors
US11854791B2 (en) 2020-03-31 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Metal source/drain features
KR20210122629A (en) * 2020-03-31 2021-10-12 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Metal source/drain features
US11581415B2 (en) 2020-04-24 2023-02-14 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-layer channel structures and methods of fabricating the same in field-effect transistors
KR20210132595A (en) * 2020-04-24 2021-11-04 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Multi-layer channel structures and methods of fabricating the same in field-effect transistors
KR102421864B1 (en) * 2021-01-28 2022-07-18 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Semiconductor device structure with nanostructure and method for forming the same

Also Published As

Publication number Publication date
US10497624B2 (en) 2019-12-03
TWI689103B (en) 2020-03-21
KR102121453B1 (en) 2020-06-11
US20210305100A1 (en) 2021-09-30
TW201916376A (en) 2019-04-16
US20190103317A1 (en) 2019-04-04
US20200105608A1 (en) 2020-04-02
US11776852B2 (en) 2023-10-03
US11037828B2 (en) 2021-06-15

Similar Documents

Publication Publication Date Title
KR102121453B1 (en) Method of manufacturing a semiconductor device and a semiconductor device
US11004934B2 (en) Semiconductor device including a liner layer between a channel and a source/drain epitaxial layer
CN110783200B (en) Semiconductor device and method for manufacturing the same
US10700206B2 (en) Semiconductor device and manufacturing method thereof
KR102027037B1 (en) Method of manufacturing a semiconductor device and a semiconductor device
US10090157B2 (en) Semiconductor device and manufacturing method thereof
TWI772699B (en) Method of manufacturing a semiconductor device and a semiconductor device
KR102435159B1 (en) Semiconductor device and manufacturing method thereof
CN109585555B (en) Method of manufacturing semiconductor device and semiconductor device
US11894446B2 (en) Method of manufacturing a semiconductor device
KR20200066224A (en) Method of manufacturing a semiconductor device and a semiconductor device
KR102281071B1 (en) Semiconductor device and manufacturing method thereof
US11955551B2 (en) Semiconductor device and manufacturing method thereof

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant