TWI746673B - 鰭式場效電晶體裝置及其共形傳遞摻雜方法 - Google Patents

鰭式場效電晶體裝置及其共形傳遞摻雜方法 Download PDF

Info

Publication number
TWI746673B
TWI746673B TW106136381A TW106136381A TWI746673B TW I746673 B TWI746673 B TW I746673B TW 106136381 A TW106136381 A TW 106136381A TW 106136381 A TW106136381 A TW 106136381A TW I746673 B TWI746673 B TW I746673B
Authority
TW
Taiwan
Prior art keywords
fin
doped
layer
doped amorphous
fin structure
Prior art date
Application number
TW106136381A
Other languages
English (en)
Other versions
TW201824364A (zh
Inventor
楊世海
王聖禎
賴柏宇
方子韋
楊豐誠
陳燕銘
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US15/653,720 external-priority patent/US10276691B2/en
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201824364A publication Critical patent/TW201824364A/zh
Application granted granted Critical
Publication of TWI746673B publication Critical patent/TWI746673B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/225Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a solid phase, e.g. a doped oxide layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • H01L21/26526Recoil-implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28079Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a single metal, e.g. Ta, W, Mo, Al
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/1604Amorphous materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/66803Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with a step of doping the vertical sidewall, e.g. using tilted or multi-angled implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/66818Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the channel being thinned after patterning, e.g. sacrificial oxidation on fin

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

於此所揭示的是鰭式場效電晶體(FinFET)的摻雜技術。一個例示性方法,包括形成鰭片結構、形成摻雜非晶層於鰭片結構的一部分的上方、以及執行撞擊式佈植製程,以將摻雜物從摻雜非晶層驅入鰭片結構的一部分內,從而形成摻雜特徵。摻雜非晶層包括材料的非晶態形式。在一些實施方式中,撞擊式佈植製程結晶化摻雜非晶層的至少一部分,使得摻雜非晶層的一部分成為鰭片結構的一部分。在一些實施方式中,摻雜非晶層包括非晶矽,而撞擊式佈植製程結晶化摻雜非晶矽層的一部分。

Description

鰭式場效電晶體裝置及其共形傳遞摻雜 方法
本揭示係關於鰭式場效電晶體裝置及一種鰭式場效電晶體的共形傳遞摻雜方法。
積體電路(Integrated Circuit,IC)行業已歷經指數性的成長。積體電路的材料和設計的技術進步已經產生了數個積體電路世代,其中每一世代具有比上世代更小和更複雜的電路。在積體電路的演進過程中,通常增加了功能密度(意即每個晶片面積的互連裝置的數量),而且減小了幾何尺寸(意即使用製造方法可以創建的最小部件(或線))。這種縮減方法普遍藉由提高生產效率和降低相關成本來提供效益。
這種縮減也增加了積體電路在處理和製造的複雜性,並且為了實現這些進步,在積體電路處理和製造中需要有相似的發展。舉例而言,當鰭式場效電晶體(fin-like field effect transistor,FinFET)技術往較小的特徵尺寸(例如32奈米、28奈米、20奈米及以下)推進,需要先進的摻雜技術,在不損傷鰭片結構的情況下,在鰭片結構中提供具有更深、更均勻的摻雜分佈的摻雜特徵(區域)。雖然現有的鰭式場效電晶體的摻雜技術一般上對其所欲目的而言是足夠的,但在所有方面上並不完全令人滿意。
本揭示提供了一種鰭式場效電晶體的摻雜方法,包括形成鰭片結構、形成摻雜非晶層於鰭片結構的一部分的上方、執行撞擊式佈植製程,以將摻雜物從摻雜非晶層驅入鰭片結構的一部分內,從而形成摻雜特徵,其中撞擊式佈植製程轉化摻雜非晶層的至少一部分成為結晶材料,使得摻雜非晶層的部分成為鰭片結構的一部分。
本揭示提供了一種鰭式場效電晶體的共形傳遞摻雜的方法包含以下步驟。形成鰭片結構;形成摻雜非晶矽層於鰭片結構的一部分之上;以及執行撞擊式佈植製程,以將摻雜物從摻雜非晶矽層驅入鰭片結構的部分內,從而形成摻雜特徵,其中撞擊式佈植製程結晶化摻雜非晶矽層的至少一部分。
本揭示提供了一種鰭式場效電晶體裝置,包含鰭片結構、柵極介電層以及柵電極。鰭片結構設置於基板之上,包含半導體鰭片的未摻雜部分,半導體鰭片由第一半導體材料組成;半導體鰭片的摻雜部分,半導體鰭片的摻雜部 分設置於半導體鰭片的未摻雜部分之上及周圍;以及設置於半導體鰭片的摻雜部分之上及周圍的摻雜層,摻雜層由第二半導體材料組成。柵極介電層設置於鰭片結構的一部分,鰭片結構與半導體鰭片的摻雜部分及摻雜層不相連;以及柵電極設置於柵極介電層之上。
100:方法
110:方塊
120:方塊
130:方塊
140:方塊
200:鰭式場效電晶體裝置
210:基板
220:鰭片結構
222:鰭片
230:摻雜非晶層
240:撞擊式佈植製程
242:離子
250:摻雜特徵
252:摻雜部分
254:摻雜層
300:鰭式場效電晶體裝置
350:摻雜特徵
352:摻雜部分
354:摻雜層
356:無摻雜部分
360:氧化摻雜非晶層
400:鰭式場效電晶體裝置
402:通道區
404:源極區
406:汲極區
410:閘極結構
420:隔離特徵
430:閘極介電質
432:閘極電極
450:輕度摻雜的源極與汲極特徵
500:鰭式場效電晶體裝置
502:通道區
504:源極區
506:汲極區
550:輕度摻雜的源極與汲極特徵
h:高度
S1:間隙
S2:間隙
S3:間隙
S4:間隙
t:厚度
t1:厚度
t2:厚度
w1:寬度
w2:寬度
w3:寬度
w4:寬度
當結合附圖閱讀時,自以下詳細描述可以最佳地理解本揭示的態樣。所強調的是,根據工業中標準實務,各特徵未按比例繪製,並僅係用以說明目的。事實上,為論述的清楚性,各特徵之尺寸可任意地增加或縮減。
第1圖係根據本揭示的各個態樣,製造鰭式場效電晶體(FinFET)裝置的方法的流程圖。
第2A圖至第2E圖係根據本揭示的各個態樣,於部分或全部,在各個製造階段中,例如與第1圖的方法相關的,鰭式場效電晶體裝置的局部橫截面圖。
第3A圖至第3E圖係根據本揭示的各個態樣,於部分或全部,在各個製造階段中,例如與第1圖的方法相關的,另一個鰭式場效電晶體裝置的局部截面圖。
第4圖係根據本揭示的各個態樣,於部分或全部,在各個製造階段中,例如與第1圖、第2A圖至第2E圖相關的,另一個鰭式場效電晶體裝置的透視三維視圖。
第5圖係根據本揭示的各個態樣,於部分或全部,在各個製造階段中,例如與第1圖、第3A圖至第3E圖相關的,另一個鰭式場效電晶體裝置的透視三維視圖。
本揭示一般上是關於積體電路裝置,更特定的是關於鰭式場效電晶體(FinFET)裝置。
以下揭示提供許多不同實施方式或實施例,用於實現本揭示的不同特徵。以下敘述部件與佈置的特定實施例,以簡化本揭示。這些當然僅為實施例,並且不是意欲作為限制。舉例而言,在隨後的敘述中,第一特徵在第二特徵上方或在第二特徵上的形成,可包括第一特徵及第二特徵形成為直接接觸的實施方式,亦可包括有另一特徵可形成在第一特徵及第二特徵之間,以使得第一特徵及第二特徵可不直接接觸的實施方式。
另外,本揭示在各實施例中可重複元件符號及/或字母。此重複是為了簡化及清楚之目的,且本身不指示所論述各實施方式及/或配置之間的關係。此外,在後續的本揭示中,一個特徵形成於另一特徵上、連接至及/或耦合至另一特徵,可包括這些特徵直接接觸的實施方式,亦可包括有另一特徵可形成並中介於這些特徵之間,使得這些特徵可不直接接觸的實施方式。此外,空間相對用語係用以便於本揭示的特徵與另一特徵的關係,例如「下(lower)」、「上(upper)」、「水平(horizontal)」、「垂直(vertical)」、 「之上(above)」、「之下(below)」、「下面(beneath)」、「向上(up)」、「向下(down)」、「頂(top)」、「底(bottom)」和其衍生用語(例如「水平地(horizontally)」、「向下地(downwardly)」、「向上地(upwardly)」等)。這些空間相對用語意欲包含包括這些特徵的裝置的不同位向。
第1圖係根據本揭示的多個態樣,用於製造積體電路裝置的方法100的流程圖。在一些實施方式中,如在此處所敘述的,方法100製造包括鰭式場效電晶體裝置的積體電路裝置。在方塊110處,方法100包括形成鰭片結構,舉例而言,形成於基板上方。鰭片結構包括結晶材料(換言之,具有規則原子結構的材料),例如排列於結晶結構中的矽。在一些實施方式中,鰭片結構包括設置於源極區與汲極區之間的通道區。
在方塊120處,摻雜非晶層形成於鰭片結構上方。摻雜非晶層包括具有非結晶結構的材料(換言之,具有不規則原子結構的材料)。在一些實施方式中,摻雜非晶層包括與鰭片結構相同的材料,除了具有非結晶結構之摻雜非晶層的材料。舉例而言,鰭片結構包括結晶結構中的矽,而摻雜非晶層包括非結晶結構中的矽,例如非晶矽。在一些實施方式中,摻雜非晶層與鰭片結構包括不同的材料。舉例而言,鰭片結構包括結晶結構中的矽鍺,並且摻雜非晶層包括非結晶結構中的矽,例如非晶矽。在一些實施方式中,相較於鰭片結構而言,摻雜非晶層具有較高的摻雜物濃度。在鰭片結構與摻雜非晶層包括相同材料,但原子結構不同的實施 方式中,相較於鰭片結構的材料,摻雜非晶層的材料具有較高的摻雜物濃度。在一些實施方式中,鰭片結構的材料是沒有摻雜的。在一些實施方式中,摻雜非晶層形成於鰭片結構的源極區與汲極區的上方。在這些實施方式中,在形成摻雜非晶層之前,閘極結構可形成於鰭片結構的通道區的上方。
在方塊130處,執行撞擊式佈植製程,以將摻雜物從摻雜非晶層驅入鰭片結構的一部分內,從而形成摻雜特徵。在一些實施方式中,摻雜特徵是設置於鰭片結構的源極區及/或汲極區內的輕度摻雜的源極特徵及/或汲極特徵(或區域)。在一些實施方式中,摻雜特徵(或區域)是鰭式場效電晶體裝置的摻雜阱,例如用以定義鰭式場效電晶體裝置的主動區域的摻雜阱(在這些實施方式中,在撞擊式佈植製程之前,鰭片結構可以是無摻雜的)。撞擊式佈植製程使得摻雜非晶層的至少一部分成為鰭片結構的一部分。特定而言,撞擊式佈植製程可將摻雜非晶層的非結晶材料之至少一部分轉化成為結晶材料。因此,撞擊式佈植製程可規則化摻雜非晶層的一部分的原子結構。在鰭片結構包括矽,並且摻雜非晶層包括非晶矽的實施方式中,撞擊式佈植製程結晶化非晶矽的至少一部分,從而形成鰭片結構的摻雜矽特徵(區域),包括摻雜非晶層的一轉化(或結晶化)部分,以及鰭片結構的一摻雜部分。在鰭片結構包括矽鍺,並且摻雜非晶層包括非晶矽的實施方式中,撞擊式佈植製程結晶化非晶矽的至少一部分,從而形成鰭片結構的摻雜特徵(區域),包括摻雜非晶層的一轉化(或結晶化)部分(舉例而言,在一些實 施方式中,矽覆蓋層),以及鰭片結構的一摻雜部分。
在摻雜非晶層的一部分成為鰭片結構的一部分的實施方式中,可續行方法100,伴隨移除摻雜非晶層的殘留部分。在這些實施方式中,移除摻雜非晶層的殘留部分可包括氧化摻雜非晶層的殘留部分,並執行濕蝕刻製程及/或清洗製程,以移除氧化的殘留部分。在一些實施方式中,在形成摻雜非晶層之前,執行修整製程,以縮減鰭片結構的尺寸(例如寬度)。在這些實施方式中,撞擊式佈植製程將全部的摻雜非晶層轉化成為鰭片結構的一部分(換言之,成為結晶材料),使得沒有殘留的摻雜非晶層的部分。這些實施方式消除了任何後續濕蝕刻製程及/或後續清洗製程之需要。在一些實施方式中,摻雜非晶層的厚度相等於在修整製程中所移除的鰭片結構的厚度(或寬度)。
在方塊140處,可續行方法100,以完成鰭式場效電晶體裝置的製造。舉例而言,在閘極結構包括虛擬閘極的實施方式中,執行閘極替換製程,以使用金屬閘極取代虛擬閘極。在摻雜特徵定義鰭式場效電晶體裝置的主動區域的實施方式中,可續行方法100,伴隨形成其他的積體電路特徵,以製造功能性的鰭式場效電晶體裝置。在方法100執行前、執行中與執行後,可提供額外的步驟,並且可移動、取代或消除方法100中的額外實施方式所敘述的一些步驟。接下來的論述說明了可根據方法100所製造之鰭式場效電晶體裝置的各種實施方式。
第2A圖至第2E圖係根據本揭示的多個態樣,於 部分或全部,在各個製造階段中,鰭式場效電晶體裝置200的局部橫截面圖(例如與方法100相關者)。鰭式場效電晶體裝置200可以是包括位於微處理器、記憶體及/或其他積體電路裝置內。在一些實施方式中,鰭式場效電晶體裝置200可以是積體電路晶片、系統上晶片(system on chip,SoC)或其部分的一部分,其包括各種被動與主動微電子裝置,例如電阻器、電容器、電感器、二極管、金屬氧化物半導體場效電晶體(metal-oxide semiconductor field effect transistors,MOSFET)、互補式金屬氧化物半導體(complementary metal-oxide semiconductor,CMOS)電晶體、雙極性接面電晶體(bipolar junction transistors,BJTs)、橫向擴散金屬氧化物半導體(laterally diffused MOS,LDMOS)電晶體、高電壓電晶體、高頻電晶體、其他合適的部件或其組合。為了清楚起見,第2A圖至第2E圖已經受到簡化,以更好地理解本揭示的發明概念。可在鰭式場效電晶體裝置200內加入額外的特徵,並且在鰭式場效電晶體裝置200的其他實施方式中,以下所敘述的一些特徵可以被取代、修飾或消除。
在第2A圖中,鰭式場效電晶體裝置200包括基板(晶圓)210。基板210包括結晶材料,其一般所指的是具有規則原子結構的材料(常被稱為結晶結構)。舉例而言,在所繪示的實施方式中,基板210包括結晶結構中的矽。另外地或額外地,基板210包括另一元素半導體、化合物半導體、合金半導體或其組合;元素半導體的例子如鍺,化合物 半導體的例子如碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦及/或銻化銦,合金半導體的例子如矽鍺(SiGe)、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP及/或GaInAsP。另外地,基板210是絕緣體上半導體基板,例如絕緣體上矽(silicon-on-insulator,SOI)基板、絕緣體上矽鍺(silicon germanium-on-insulator,SGOI)基板、或絕緣體上鍺絕緣體(germanium-on-insulator,GOI)基板。可使用注氧隔離佈植(separation by implantation of oxygen,SIMOX)、晶圓鍵結及/或其他合適的方法來製造絕緣體上半導體。根據鰭式場效電晶體裝置200的設計要求,基板210可包括各種摻雜區域(未顯示)。在一些實施方式中,基板210包括以p型摻雜物所摻雜的p型摻雜區域(舉例而言,p型阱),例如硼、銦、其他的p型摻雜物或其組合。在一些實施方式中,基板210包括以n型摻雜物所摻雜的n型摻雜區域(舉例而言,n型阱),例如磷、砷、其他n型摻雜物或其組合。在一些實施方式中,基板210包括形成具有p型摻雜物與n型摻雜物之組合的摻雜區域。各種摻雜區域可直接形成於基板210上或基板210內部,舉例而言,提供p型阱結構、n型阱結構、雙阱結構、凸起的結構或其組合。可執行離子佈植製程、擴散製程及/或其他合適的摻雜製程,以在基板210內形成各種摻雜區域。
使用任何合適的製程,形成鰭片結構220於基板210的上方。在第2A圖中,鰭片結構220包括從基板210延伸的複數個鰭片222,然而本揭示亦考量了包括單個鰭片 222從基板210延伸之鰭片結構220的實施方式。在一些實施方式中,鰭片結構220是基板210的一部分(例如基板210的材料層的一部分)。舉例而言,在所繪示的實施方式中,當中基板210包括結晶材料,鰭片結構220包括相同的結晶材料,例如結晶結構中的矽。另外地,在一些實施方式中,鰭片結構220定義於位在基板210之上的材料層中,材料層包含結晶結構內的半導體材料,例如結晶結構中的矽鍺。在一些實施方式中,鰭片結構220可包括具有各種半導體層的半導體層堆疊(舉例而言,異質結構)。半導體層包括結晶結構內的任何合適的材料,例如矽、鍺、矽鍺、其他合適的材料或其組合。根據鰭式場效電晶體裝置200的設計要求,半導體層可以包括相同或不同的材料、蝕刻速率、原子組成百分比、組成重量百分比、厚度及/或組態。
每一個鰭片222具有由一對側壁所定義的高度h、寬度w1及長度l(未顯示於所繪示的視圖中)。相鄰的鰭片222被間隙S1所分隔,而鰭片222的間距P一般所指的是特定鰭片222的寬度(例如w1)與相鄰於此特定鰭片222的間隙的寬度(例如S1)的總和(換言之,P=w1+S1)。在一些實施方式中,間距P是指對於所給定的技術節點,由微影製程在鰭片222之間所能得到的最小間距。在一些實施方式中,高度h為約30nm至約80nm,並且寬度w1為約1nm至約30nm。舉例而言,在所繪示的實施方式中,高度h為約30nm至約80nm,並且寬度w1為約2nm至約20nm。在一些實施方式中,間隙S1為約10nm至約30nm。在一些實施方式中, 間距P為約10nm至約50nm。本揭示亦考量源自鰭式場效電晶體裝置200之處理與製造,從而所造成之鰭片222的高度h、寬度w1與長度l的變化。舉例而言,雖然所繪示的鰭片222具有相同的高度h與寬度w1,然而在一些實施方式中,寬度w1代表的是所給定鰭片222的平均寬度。在一些實施方式中,從鰭片222的上部至鰭片222的下部,鰭片222的寬度是不相同的,而寬度w1代表這些不同寬度的平均值。在一些實施方式中,從鰭片222的上部至鰭片222的下部,寬度是逐漸變細的,使得上部的平均寬度係大於下部的平均寬度。在一些實施方式中,根據寬度w1沿鰭片222的高度h所量測的位點,寬度w1可沿鰭片222從約5nm變化至約15nm。在一些實施方式中,鰭片222的寬度w1沿著鰭片222的位置而變化,相對於另一個及/或鰭式場效電晶體裝置200的其他特徵。舉例而言,中心鰭片222的寬度w1(在所繪示的實施方式中,鰭式場效電晶體裝置200包括兩個中心鰭片222)可大於邊緣鰭片222的寬度w1(在此為最左邊的鰭片222與最右邊的的鰭片222,其封圍了這兩個中心鰭片222)。在另一實施例中,另外地,中心鰭片222的寬度w1係小於邊緣鰭片222的寬度w1。在這兩個實施方式中,邊緣鰭片222的寬度w1可代表邊緣鰭片222的平均寬度,並且中心鰭片222的寬度w1可代表中心鰭片222的平均寬度。
執行沉積、微影及/或蝕刻製程的一組合,以定義從基板210延伸的鰭片222,如第2A圖中所示。舉例而言,形成鰭片結構220,包括執行微影製程,以形成圖案化 光阻層於基板210上方(或設置於基板210a的材料層上方),並執行蝕刻製程,以轉移圖案化光阻層中所定義的圖案至基板210(或設置於基板210a的材料層上方)。微影製程可包括形成光阻層於基板210上(舉例而言,藉由旋轉塗佈),執行預曝光烘烤製程,使用光罩執行曝光製程,執行後曝光烘烤製程,以及執行顯影製程。在曝光製程時,光阻層曝光於輻射能量(例如紫外光(UV)、深紫外光(deep UV,DUV)或極紫外光(extreme UV,EUV)),根據光罩的光罩圖案或光罩類型(舉例而言,二元光罩(binary mask)、相位移光罩(phase shift mask)或極紫外光(EUV)光罩),光罩將阻擋、傳遞及/或發射輻射至光阻層,使得相對應於光罩圖案的圖像投影於光阻層上。由於光阻層對輻射能量是敏感的,根據光阻層的性質與顯影製程中所使用的顯影溶液的性質,光阻層的曝光部分化學性改變,以及光阻層的曝光部分(或非曝光部分)在顯影製程時溶解。在顯影後,圖案化光阻層包括相對應於光罩的光阻圖案。蝕刻製程移除部分的基板210,其中蝕刻製程利用圖案化光阻層作為蝕刻光罩。蝕刻製程可以包括乾蝕刻製程、濕蝕刻製程、其他合適的蝕刻製程或其組合。在一些實施方式中,執行反應性離子蝕刻(Reactive Ion Etching,RIE)製程。在蝕刻製程之後,從基板210移除圖案化光阻層,舉例而言,藉由光阻去除製程。另外地,藉由多重圖案化製程形成鰭片結構220,例如雙重圖案化微影(double patterning lithography,DPL)製程(舉例而言,微影-蝕刻-微影-蝕 刻(lithography-etch-lithography-etch,LELE)製程、自對準雙重圖案化(self-aligned double patterning,SADP)製程、間隔件-介電質(spacer-is-dielectric,SID)自對準雙重圖案化製程、其他雙重圖案化製程或其組合);三重圖案化製程(舉例而言,微影-蝕刻-微影-蝕刻-微影-蝕刻(lithography-etch-lithography-etch-lithography-etch,LELELE)製程、自對準三重圖案化(self-aligned triple patterning,SATP)製程、其他三重圖案化製程或其組合);其他多重圖案化製程(舉例而言,自對準四重圖案化製程(self-aligned quadruple patterning,SAQP)或其組合。在一些實施方式中,在形成鰭片結構220時,運用定向自組裝(directed self-assembly,DSA)技術。此外在一些替代實施方式中,曝光製程可運用無光罩微影、電子束曝光(electron-beam writing)、離子束曝光(ion-beam writing)及/或奈米壓模(nanoimprint)技術,以圖案化光阻層。
在第2B圖中,執行修整製程以修整鰭片結構220,從而縮減鰭片222的尺寸。舉例而言,修整製程減少了鰭片222的寬度,使得在修整製程後,鰭片222具有小於寬度w1的寬度w2。修整製程亦增加了鰭片222之間的間隙,使得相鄰的鰭片222被大於間隙S1的間隙S2所分隔。在一些實施方式中,修整製程減少鰭片222的寬度到2nm至約20nm,儘管本揭示的修整製程移除了不同數量的鰭片 222。舉例而言,在一些寬度w1為約5nm至約30nm的實施方式中,修整製程減少鰭片222的寬度,使得寬度w2為約2nm至約20nm。在一些實施方式中,修整製程可使得後續形成的層之形成更為容易。(舉例而言,藉由增加鰭片222之間的間距)。雖然沒有繪示,但可理解的是,修整製程可減少鰭片222的高度h。在一些實施方式中,可調整(或控制)修整製程以縮減寬度w1,同時最小化對鰭片222的高度h的影響(舉例而言,相較於鰭片222的高度h,鰭片222的寬度w1以較快的速率縮減)。在一些實施方式中,調整修整製程,以相同的速率縮減寬度w1和高度h,使得鰭片222亦具有縮減的高度(雖這些並沒有繪示)。此外,本揭示考量可能源自修整製程中之鰭片222的高度h、寬度w2及長度l的變化。舉例而言,相似於上述的寬度w1,寬度w2可沿著鰭片222變化。在一些實施方式中,應用修整製程於鰭片222的次組合,其中一些鰭片222並沒有受到修整製程的修整。
修整製程執行任何合適的製程,以縮減鰭片222的尺寸。舉例而言,在一些實施方式中,修整製程包括蝕刻製程,此蝕刻製程可以選擇性地蝕刻鰭片222,相對於鰭式場效電晶體裝置200的其他特徵。蝕刻製程是乾蝕刻製程、濕蝕刻製程或其組合。在一些實施方式中,濕蝕刻製程使用的蝕刻溶液包括氨水(NH4OH)、過氧化氫(H2O2)、硫酸(H2SO4)、四甲基氫氧化銨(TMAH)、其他合適的濕蝕刻溶液或其組合。舉例而言,濕蝕刻溶液可使用NH4OH:H2O2溶液、NH4OH:H2O2:H2O溶液(稱為氨水-過氧化 氫混合物(ammonia-peroxide mixture,APM)、或H2SO4:H2O2溶液(稱為硫酸-過氧化氫混合物(sulfuric peroxide mixture,SPM)。在一些實施方式中,乾蝕刻製程使用的蝕刻劑氣體包括含氟蝕刻劑氣體(舉例而言,CF4、SF6、CH2F2、CHF3及/或C2F6)、含氧氣體、含氯氣體(舉例而言,Cl2、CHCl3、CCl4及/或BCl3)、含溴氣體(舉例而言,HBr及/或CHBR3)、含碘氣體、其他合適的氣體及/或電漿、或其組合。在一些實施方式中,修整製程執行氧化製程。舉例而言,修整製程可將鰭片222暴露至臭氧環境,從而氧化鰭片222的一部分,其接著藉由清洗製程及/或蝕刻製程而移除,例如在此敘述者。藉由控制修整製程(例如修整時間、修整製程條件或其他修整參數),可修改鰭片222的分佈,以符合鰭式場效電晶體裝置200的各種設計要求。舉例而言,其中修整製程包括蝕刻製程、各種蝕刻參數,例如所使用的蝕刻劑、蝕刻溫度、蝕刻溶液濃度、蝕刻壓力、電源功率、射頻偏壓(RF bias voltage)、射頻偏壓功率(RF bias power)、蝕刻劑流速及/或其他合適的蝕刻參數,被修改以移除所欲數量的鰭片222,及/或達到所欲的鰭片222的分佈。
在第2C圖中,摻雜非晶層230形成於鰭片結構220的上方。摻雜非晶層230包括非結晶結構的材料(換言之,具有不規則原子結構的材料)。在一些實施方式中,摻雜非晶層230與鰭片結構220包括相同的材料,但具有不同的原子結構。舉例而言,摻雜非晶層230包括與鰭片結構 210相同的材料,除了具有非結晶結構的材料。在所繪示的實施方式中,其中鰭片結構220包括位於結晶結構內的矽,摻雜非晶層230包括位於非結晶結構內的矽,例如非晶矽。摻雜非晶層230因此可被稱為摻雜非晶矽層。另外地,在一些實施方式中,摻雜非晶層230與鰭片結構包括具有不同原子結構的不同材料。舉例而言,鰭片結構220包括半導體材料,例如矽鍺,並且摻雜非晶層230包括非結晶態的矽,例如非晶矽。根據鰭式場效電晶體裝置200的設計要求,摻雜非晶層230包括n型摻雜物、p型摻雜物或其組合。當鰭式場效電晶體裝置200配置為p型鰭式場效電晶體裝置,摻雜非晶層230包括p型摻雜物,例如硼、鍺、銦、其他p型摻雜物或其組合。當鰭式場效電晶體裝置200配置為n型鰭式場效電晶體裝置,摻雜非晶層230包括n型摻雜物,例如砷、磷、其他n型摻雜物或其組合。在一些實施方式中,摻雜非晶層230是重度摻雜,係以具有介於約1×1021摻雜物/cm3(cm-3)至約4×1021cm-3之摻雜物濃度的摻雜物。在一些實施方式中,相較於鰭片結構220,摻雜非晶層230具有較高的摻雜物濃度。舉例而言,摻雜非晶層230可具有介於1×1021cm-3至約4×1021cm-3之間的摻雜物濃度,而鰭片結構220可具有介於1×1010cm-3至約1×1018cm-3之間的摻雜物濃度(在一些實施方式中,鰭片結構220被視為無摻雜、或位於、或低於這個摻雜濃度)。
摻雜非晶層230包覆鰭片結構220。舉例而言,鰭片222包括定義於側壁部分之間的頂部分(例如定義鰭片 222的寬度w2的側壁),其中摻雜非晶層230設置於頂部分與側壁部分上。在一些實施方式中,頂部分是鰭片結構220的基本上水平的一側(舉例而言,基本上平行於x-y平面),而側壁部分是鰭片結構220的基本上垂直的側邊(舉例而言,基本上平行於x-z平面)。摻雜非晶層230具有厚度t。在一些實施方式中,摻雜非晶層230具有的厚度t為約1nm至約10nm。舉例而言,在所繪示的實施方式中,厚度t為約3nm至約5nm。在一些實施方式中,鰭片222的最終寬度將會符合所定義的目標寬度(wt),例如鰭式場效電晶體裝置200的設計規格及/或積體電路設計佈局所定義的臨界尺寸。在這些實施方式中,寬度w3代表鰭片222的寬度w2與摻雜非晶層230的厚度t的總和(舉例而言,w3
Figure 106136381-A0305-02-0019-1
w2+t),其中寬度w3係基本上相等於目標寬度wt(舉例而言,w3
Figure 106136381-A0305-02-0019-2
wt)。在一些實施方式中,厚度t係基本上相等於在修整製程時所移除的鰭片222的寬度wr(舉例而言,t
Figure 106136381-A0305-02-0019-3
wr)。本揭示考量了如在此所敘述的,源自製程中所產生的寬度w3與厚度t的變異。
摻雜非晶層230由合適的沉積製程而形成,例如化學氣相沉積(chemical vapor deposition,CVD)、物理氣相沉積(physical vapor deposition,PVD)、原子層沉積(atomic layer deposition,ALD)、高密度電漿、化學氣相沉積(high density plasma CVD,HDPCVD)、金屬有機物化學氣相沉積(metal organic CVD,MOCVD)、遠距式電漿化學氣相沉積(remote plasma CVD, RPCVD)、電漿增強化學氣相沉積(plasma enhanced CVD,PECVD)、低壓化學氣相沉積(low-pressure CVD,LPCVD)、原子層化學氣相沉積(atomic layer CVD,ALCVD)、大氣壓化學氣相沉積(atmospheric pressure CVD,APCVD)、旋轉塗佈、鍍覆(plating)、其他沉積方法或其組合。在所繪示的實施方式中,摻雜非晶層230係共形地沉積於鰭片結構220上方,使得厚度t在鰭片結構220及/或基板210的暴露表面上方是基本上均勻的。任何合適的製程(舉例而言,離子佈植製程、擴散製程、原位摻雜製程或其組合)可被運用於摻雜沉積於鰭片結構220上方的材料。在一些實施方式中,執行選擇性磊晶生長(Selective Epitaxial Growth,SEG)製程,以將半導體材料生長於鰭片結構220的暴露部分上,其中在選擇性磊晶生長製程中,將摻雜物引入半導體材料內(舉例而言,藉由添加摻雜物至選擇性磊晶生長製程的源材料),從而形成摻雜非晶層230。選擇性磊晶生長製程可運用化學氣相沉積沉積技術(舉例而言,氣相磊晶(vapor-phase epitaxy,VPE)、超真空化學氣相沉積(ultra-high vacuum CVD,UHV-CVD)、低壓化學氣相沉積(LPCVD)及/或電漿增強化學氣相沉積(PECVD)),分子束磊晶(molecular beam epitaxy),其他合適的選擇性磊晶生長製程或其組合。選擇性磊晶生長製程可使用氣相前驅物(舉例而言,含矽氣體,例如SiH4及/或含鍺氣體,例如GeH4)及/或液體前驅物,其與鰭片結構220的組成物相互作用。舉例而言,在所 繪示的實施方式中,其中摻雜非晶層230是摻雜非晶矽層,沉積製程可暴露鰭片結構220(及基板210)至含矽氣體(舉例而言,含氫化矽的氣體(例如SiH4、Si2H6、Si3H8、Si4H10或其組合)),並添加摻雜物(舉例而言,鍺、硼、砷、磷或其組合)至含矽氣體。在一些實施方式中,摻雜非晶層230包括在鰭式場效電晶體裝置200的通道區中實現所欲的拉伸應力及/或壓應力的材料及/或摻雜物。
在第2D圖中,撞擊式佈植製程240執行於摻雜非晶層230上,從而形成摻雜特徵250。撞擊式佈植製程240以離子242轟擊摻雜非晶層230,而離子242將摻雜物從摻雜非晶層230驅入鰭片結構220及/或基板210內(換言之,離子242將摻雜物從摻雜非晶層230撞擊進入鰭片結構220與基板210)。離子242可包括碳、鍺、氬、氮及/或不會對鰭式場效電晶體裝置200的工作特性產生不利影響的離子。在所繪示的實施方式中,離子242是氬原子。在一些實施方式中,離子242是非摻雜的物種。撞擊式佈植製程240可於傾斜角α執行,其中可調整傾斜角α,以最小化陰影效應,同時最大化進入摻雜非晶層230的離子深度,及/或進入鰭片結構220及/或基板210的摻雜物深度。在一些實施方式中,撞擊式佈植製程240是電漿浸沒離子佈植(plasma immersion ion,PIII)製程(亦稱為電漿摻雜)。在一些佈植中,於約20℃至約40℃的溫度下執行撞擊式佈植製程240,並且在一些實施方式中,於約室溫(舉例而言,約20℃至約25℃)執行。在一些佈植中,撞擊式佈 植製程240使用約1kV至約5kV的偏電壓(亦稱為佈植電壓(implant voltage))。在一些實施方式中,偏電壓一般所指的是在撞擊式佈植製程240中,施加於基板210的直流偏電壓。相較於傳統摻雜技術對鰭片結構220所使用的佈植電壓,撞擊式佈植製程240於較低的佈植電壓下完成。舉例而言,傳統的離子佈植製程一般上需要約8kV至約15kV的偏電壓,而其他摻雜技術可需要約20kV至約50kV偏電壓,以確保摻雜物深入鰭片的足夠深度內。已經觀察到如此的高電壓佈植製程會損傷鰭片,經常是損傷鰭片的分佈。相反地,在摻雜非晶層230上執行使用了低於約5kV偏電壓的撞擊式佈植製程240,可足以將摻雜物驅入鰭片結構220內(在一些實施方式中,將摻雜物驅入鰭片結構220的20nm處),並且不損傷鰭片結構220的分佈,從而提供了優於傳統摻雜技術的改良。此外,相較於常表現出較少的有效摻雜物分佈的傳統離子佈植製程,撞擊式佈植製程240可較好地控制鰭片結構220的摻雜物分佈,這是因於傳統離子佈植製程的摻雜物散射與摻雜物角度限制。
藉由將摻雜物驅入鰭片222內,撞擊式佈植製程240形成鰭片222的摻雜部分252,同時亦導致摻雜非晶層230的至少一部分成為鰭片結構220的一部分。特定而言,撞擊式佈植製程240修飾了摻雜非晶層230的一部分的原子結構,轉化(結晶化)摻雜非晶層230的非結晶材料的一部分成為結晶材料。在所繪示的實施方式中,撞擊式佈植製程240轉化摻雜非晶層230成為摻雜層254,使得摻雜非晶 層230在整體上成為鰭片結構220的一部分,並且沒有殘留的摻雜非晶層230部分。摻雜特徵250因而包括摻雜部分252與摻雜層254。當鰭片結構220包括矽,並且摻雜非晶層23包括非晶矽時,撞擊式佈植製程240結晶化非晶矽(換言之,重排列其原子結構),使得摻雜特徵250是摻雜矽特徵。另外,當鰭片結構220包括矽鍺(或其他半導體材料),並且摻雜非晶層230包括非晶矽時,撞擊式佈植製程240結晶化非晶矽(換言之,重排列其原子結構),使得摻雜特徵250是包括一個摻雜矽特徵與一個摻雜矽鍺(或其他半導體材料)特徵。在一些實施方式中,摻雜層254是矽覆蓋層。在一些實施方式中,摻雜特徵250是設置於鰭片結構220的源極區及/或汲極區中的輕度摻雜的源極與汲極(lightly doped source and drain,LDD)特徵(或區域)。在一些實施方式中,摻雜特徵250是鰭式場效電晶體裝置200的摻雜阱(或區域),例如用於定義鰭式場效電晶體裝置200的主動區域的摻雜阱。已經觀察到,相較於使用傳統摻雜技術所形成的摻雜特徵,摻雜特徵250表現出更深、更大及/或更均勻的摻雜分佈,例如使用具有結晶結構的材料的摻雜層之離子佈植製程及/或撞擊式佈植製程。在一些實施方式中,摻雜特徵250具有介於約1×1020cm-3至約5×1020cm-3的摻雜物濃度。在一些實施方式中,沿著鰭片222的寬度及/或高度,摻雜特徵250內的摻雜濃度是基本上均勻的。在一些實施方式中,沿著摻雜特徵250的寬度的任何定義點、沿著摻雜特徵250的高度、及/或在摻雜特徵250內 的摻雜濃度是位於彼此的±5%內,摻雜濃度被認為是基本上均勻的。在一些實施方式中,摻雜部分252的摻雜物濃度是基本上相等於摻雜層254的摻雜物濃度。在一些實施方式中,當摻雜部分252的摻雜濃度(例如平均摻雜濃度)與摻雜層254的摻雜濃度(例如平均摻雜濃度)是位於彼此的±5%內,摻雜濃度被認為是基本上相等的。
鰭式場效電晶體的傳統摻雜技術經常需要在形成摻雜特徵後移除材料層(例如摻雜層),其導致表面損傷及/或鰭片結構的其他損傷。藉由轉化摻雜非晶層230成為鰭片結構220的一部分,不需要進一步的處理來移除摻雜非晶層230,而得到第2E圖中所繪示的鰭式場效電晶體裝置200。後續的濕蝕刻製程及/或後續的清洗製程可因而被消去,將表面損傷(例如表面粗糙度)及/或鰭片結構220的其他損傷最小化。此外,轉化摻雜非晶層230成為鰭片結構220的一部分,將鰭片222之間的間距S3最小化,改善了鰭片至鰭片合併製程窗口(舉例而言,其中磊晶源極與汲極特徵後續形成於鰭片222上,其中這些磊晶源極與汲極特徵結合以形成合併磊晶源極與汲極特徵)。
第3A圖至第3E圖係根據本揭示的多個態樣,於部分或全部,在各個製造階段中的鰭式場效電晶體裝置300的局部橫截面圖(例如與方法100相關者)。在一些實施方式中,鰭式場效電晶體裝置300可是積體電路晶片、系統上晶片(SoC)或其部分的一部分,包括各種被動式與主動微電子裝置,例如電阻器、電容器、電感器、二極管、金屬氧化物 半導體場效電晶體(MOSFET)、互補式金屬氧化物半導體(CMOS)電晶體、雙極性接面電晶體(BJTs)、橫向擴散金屬氧化物半導體(LDMOS)電晶體、高電壓電晶體、高頻電晶體、其他合適的部件或其組合。鰭式場效電晶體裝置300在許多方面與鰭式場效電晶體裝置相似。相應地,為了清楚和簡化起見,在第2A圖至第2E圖與第3A至第3E圖中,相似的特徵以相同的參考符號標記。為清楚起見,第3A圖至第3E圖已被簡化,以更好地理解本揭示的發明概念。另外的特徵可加入鰭式場效電晶體裝置300內,並且在鰭式場效電晶體裝置300的其他實施方式中,一些以下所敘述的特徵可被取代、修改或刪除。
在第3A圖中,相似於鰭式場效電晶體裝置200,鰭式場效電晶體裝置300包括基板210與鰭片結構220(包括從基板210延伸的鰭片222),如上文參照第2A圖的詳細敘述。與鰭式場效電晶體裝置200的製造相反的是,沒有在鰭式場效電晶體裝置300上執行修整製程。在第3B圖中,因此續行鰭式場效電晶體裝置300的製造,伴隨在鰭片結構220上形成摻雜非晶層230,如上文參照第2C圖的詳細敘述。
在第3C圖中,相似於鰭式場效電晶體裝置200,在摻雜非晶層230上執行撞擊式佈植製程240,其中離子242將摻雜物從摻雜非晶層230驅入鰭片結構220及/或基板210內,如上文參照第2D圖的詳細敘述。與鰭式場效電晶體裝置200的製造相反的是,在撞擊式佈植製程240 時,只有摻雜非晶層230的一部分成為鰭片結構220的一部分,使得鰭片結構220包括摻雜特徵350,其包括鰭片222的摻雜部分352與摻雜層354(另也稱為摻雜非晶層230的轉化部分)。舉例而言,撞擊式佈植製程240僅有轉化摻雜非晶層230的一部分成為摻雜層354,使得摻雜非晶層230的一部分殘留於鰭片結構220上方。特定而言,撞擊式佈植製程240修飾了摻雜非晶層230的一部分的原子結構,轉化(結晶化)摻雜非晶層230的非結晶材料的一部分成為結晶材料。當鰭片結構220包括矽,並且摻雜非晶層230包括非晶矽時,撞擊式佈植製程240結晶化非晶矽(換言之,重排列其原子結構),使得摻雜特徵350是摻雜矽特徵。另外,當鰭片結構220包括矽鍺(或其他半導體材料),並且摻雜非晶層230包括非晶矽時,撞擊式佈植製程240結晶化非晶矽(換言之,重排列其原子結構),使得摻雜特徵350是包括摻雜矽特徵與摻雜矽鍺(或其他半導體材料)特徵。在一些實施方式中,摻雜層354是矽覆蓋層。另外與鰭式場效電晶體裝置200相反的是,撞擊式佈植製程240將摻雜物驅入部分的鰭片結構220內,使得鰭式場效電晶體裝置300包括具有摻雜部分352與無摻雜部分356的鰭片222(其在一些實施方式中,表明部分的鰭片222具有的的摻雜濃度低於摻雜部分352的摻雜濃度)。
在撞擊式佈植製程240之後,摻雜非晶層230具有厚度t1,而摻雜層354具有厚度t2,這兩者均小於摻雜非晶層230的原始厚度(在此為厚度t)。在一些實施方式 中,厚度t1是約1nm至約7nm,而厚度t2是約1nm至約3nm。在一些實施方式中,鰭片222的最終寬度將會符合所定義的目標寬度(wt),例如鰭式場效電晶體裝置300的設計規格及/或積體電路的設計佈局所定義的臨界尺寸。在這些實施方式中,寬度w4代表鰭片222的寬度w1與摻雜層354的厚度t2的總和(舉例而言,w4
Figure 106136381-A0305-02-0027-4
w1+t2),其中寬度w4係基本上相等於目標寬度wt(舉例而言,w4
Figure 106136381-A0305-02-0027-5
wt)。在一些實施方式中,調整撞擊式佈植製程240,以確保足夠部分的摻雜非晶層230轉化成為摻雜層354,使得寬度w4係基本上相等於目標寬度wt。本揭示考量了源自此處敘述的處理所產生之寬度w4、厚度t1與厚度t2的變異。
在一些實施方式中,摻雜特徵350是設置於鰭片結構220的源極區及/或汲極區內的輕度摻雜的源極與汲極特徵(或區域)。在一些實施方式中,摻雜特徵350是鰭式場效電晶體裝置300的摻雜阱(或區域),例如用以定義鰭式場效電晶體裝置的主動區域的摻雜阱。已觀察到,相對於使用傳統摻雜技術形成的摻雜特徵,摻雜特徵350表現了更深、更大及/或更均勻的摻雜分佈,例如使用具有結晶結構的材料的摻雜層之離子佈植製程及/或撞擊式佈植製程中。在一些實施方式中,摻雜特徵350具有介於約1×1020cm-3至約5×1020cm-3之間的摻雜物濃度。在一些實施方式中,摻雜特徵350中的摻雜濃度沿著其厚度是基本上均勻的。在一些實施方式中,當位於沿著摻雜特徵350的厚度的任一定義數目的點的摻雜濃度是落在彼此的±5%內,摻雜濃 度被認為是基本上均勻的。在一些實施方式中,摻雜部分352的摻雜物濃度是基本上相等於摻雜層354的摻雜物濃度。在一些實施方式中,摻雜濃度摻雜特徵基本上相同的,當摻雜部分352的摻雜濃度(例如平均摻雜濃度)與摻雜層354的摻雜濃度(例如平均摻雜濃度)是位於彼此的±5%內。
藉由合適的製程移除摻雜非晶層230的任何殘留部分。在第3D圖中,在摻雜非晶層230上執行氧化製程,從而形成氧化摻雜非晶層360。在一些實施方式中,氧化製程是高溫氧化製程。舉例而言,摻雜非晶層230暴露於溫度為約800℃至約1,000℃的臭氧環境中,從而氧化摻雜非晶層230。在一些實施方式中,氧化製程是快速熱氧化(rapid thermal oxidation,RTO)製程。接下來,藉由合適的濕蝕刻製程及/或清洗製程移除氧化摻雜非晶層360,留下第3E圖中所繪示的鰭式場效電晶體裝置300。在一些實施方式中,清洗製程是硫酸-過氧化氫混合物(SPM)清洗製程,舉例而言,運用硫酸-過氧化氫混合物(SPM)濕蝕刻溶液。在這些實施方式中,可於約150℃至約200℃的溫度下執行硫酸-過氧化氫混合物(SPM)清洗製程。藉由氧化摻雜非晶層230,可運用較溫和的濕蝕刻製程及/或清洗製程,以移除氧化摻雜非晶層360,最小化或消除表面損傷(例如表面粗糙度)及/或其他鰭片結構220的損傷,相對於傳統摻雜技術中所使用的濕蝕刻製程及/或清洗製程。此外,轉化摻雜非晶層230的一部分成為鰭片結構220的一部分,將鰭片222之間的間距S4最小化,改善鰭片至鰭片合併製程窗口 (舉例而言,其中磊晶源極與汲極特徵後續形成於鰭片222上,其中這些磊晶源極與汲極特徵結合以形成合併磊晶源極與汲極特徵)。
第4圖係根據本揭示的多個態樣,在完成參照第2A圖至第2E圖所敘述的製程以形成輕度摻雜的源極區與汲極區後,鰭式場效電晶體裝置400的透視、三維視圖(舉例而言,在x-y-z平面中)。鰭式場效電晶體裝置400可以是包括位於微處理器、記憶體及/或其他積體電路裝置內。在一些實施方式中,鰭式場效電晶體裝置400可以是積體電路晶片、系統上晶片(SoC)或其部分的一部分,包括各種被動式與主動式微電子裝置,例如電阻器、電容器、電感器、二極管、金屬氧化物半導體場效電晶體(MOSFET)、互補式金屬氧化物半導體(CMOS)電晶體、雙極性接面電晶體(BJTs)、橫向擴散金屬氧化物半導體(LDMOS)電晶體、高電壓電晶體、高頻電晶體、其他合適的部件或其組合。鰭式場效電晶體裝置400在許多方面上與鰭式場效電晶體裝置200類似。相應地,為了清楚和簡化起見,在第2A圖至第2E圖與第4圖中,相似的特徵以相同的參考符號標記。為清楚起見,第4圖已被簡化,以更好地理解本揭示的發明概念。另外的特徵可加入鰭式場效電晶體裝置400內,並且在鰭式場效電晶體裝置400的其他實施方式中,一些以下所敘述的特徵可被取代、修改或刪除。
類似於鰭式場效電晶體裝置200,鰭式場效電晶體裝置400包括基板210與鰭片結構220,包括從基板 210延伸的鰭片。在第4圖中,鰭片222在z方向上從基板210延伸,使得鰭片222具有在z方向上定義的高度(例如高度h)、在x方向上定義的長度、在y方向上定義的寬度及/或間距(例如寬度w1、寬度w2、寬度w3、間距S1、間距S2、間距S3)。每一個鰭片222具有沿著各別鰭片222而定義的長度(在此為沿著x方向)的通道區402、源極區404與汲極區406,其中通道區402設置於源極區404與汲極區406之間(一般稱為源極區/汲極區)。每一個通道區402包括定義於各別鰭片222的側壁部分之間的頂部分,其中頂部分與側壁部分接觸閘極結構410(以下將詳細敘述),使得在操作鰭式場效電晶體裝置時,電流可以流過各自源極區404與各自汲極區406之間。在第4圖中,閘極結構410阻擋了鰭片222的通道區402之顯示。在一些實施方式中,頂部分是鰭片222的基本上水平的側邊(舉例而言,基本上平行於x-y平面),而兩個側壁部分是鰭片222的基本上垂直的側邊(舉例而言,基本上平行於x-z平面)。
鰭式場效電晶體裝置400的製造類似於參照第2A圖至第2E圖所敘述之鰭式場效電晶體裝置200的製造。然而,在形成摻雜非晶層230之前,隔離特徵420形成於基板210的上方及/或內部,以隔離各個區域,例如鰭式場效電晶體裝置400的各種裝置區域。舉例而言,隔離特徵420將鰭片222彼此分隔與隔離。在所繪示的實施方式中,隔離特徵420環繞鰭片結構220的一部分,例如鰭片結構220的底部分。隔離特徵420包括氧化矽、氮化矽、氮氧化矽、其 他合適的隔離材料或其組合。隔離特徵420可包括不同的結構,例如淺溝槽隔離(Shallow Trench Isolation,STI)結構、深溝槽隔離(Deep Trench Isolation,DTI)結構及/或矽局部氧化(local oxidation of silicon,LOCOS)結構。在一些實施方式中,隔離特徵420包括淺溝槽隔離(STI)特徵,將鰭片結構220從其他主動裝置區域及/或被動裝置區域定義並電性隔離。舉例而言,淺溝槽隔離(STI)特徵可藉由蝕刻溝槽而形成於基板210內(舉例而言,藉由使用乾蝕刻製程及/或濕蝕刻製程),並以絕緣體的材料充填溝槽(舉例而言,藉由使用化學氣相沉積製程或旋轉塗佈玻璃製程)。可執行化學機械研磨(CMP)製程,以移除過多的絕緣體的材料及/或平坦化隔離特徵420的頂表面。在另一實施例中,在形成鰭片結構220之後,可藉由沉積絕緣體的材料於基板210上方而形成淺溝槽隔離(STI)特徵,(在一些實施方式中,使得絕緣體的材料層充填鰭片222之間的凹口(溝槽)),並反蝕刻絕緣體的材料層,以形成隔離特徵420。在一些實施方式中,淺溝槽隔離(STI)特徵包括充填溝槽的多層結構。舉例而言,淺溝槽隔離(STI)特徵包括設置於熱氧化物襯墊層(thermal oxide liner layer)上方的氮化矽層。在另一實施例中,淺溝槽隔離(STI)特徵包括設置於摻雜襯墊層的介電層(例如硼矽酸鹽玻璃(boron silicate glass,BSG)襯墊層或磷矽玻璃(phosphosilicate glass,PSG)襯墊層)。在另一實施例中,淺溝槽隔離(STI)特徵包括設置於襯墊介電層(liner dielectric layer)上方的主 介電層(bulk dielectric layer),其中主介電層與襯墊介電層所包括的材料係依據設計要求。
更進一步來說,在這些實施方式中,在形成摻雜非晶層230之前,閘極結構410可形成於鰭片結構220上方。閘極結構410包覆鰭片222的通道區402,從而接合鰭片222,並中介源極區404與汲極區406。在所繪示的實施方式中,閘極結構410接合通道區402的頂部分與側壁部分,使得閘極結構410接合通道區402的三側。閘極結構410包括虛擬閘極堆疊,在閘極替換製程時,其部分可被金屬閘極所取代,如以下所詳細敘述之。在所繪示的實施方式中,虛擬閘極堆疊包括閘極介電質430與閘極電極432。閘極介電質430係設置於閘極電極432與鰭片222之間,而閘極介電質430與閘極電極432配置為包覆鰭片222(特定而言,通道區402)。閘極介電質430包括介電材料,例如氧化矽、高k值介電材料、其他合適的介電材料或其組合。高k值介電材料的實施例包括HfO2、HfSiO、HfSiON、HfTaO、HfTiO、HfZrO、氧化鋯、氧化鋁、二氧化鉿-氧化鋁(HfO2-Al2O3)合金、其他合適的高k值介電材料或其組合。閘極電極432包括合適的虛擬閘極材料,例如多晶矽。虛擬閘極堆疊可包括多個其他的層,舉例而言,覆蓋層、界面層(interface layer)、擴散層、阻隔層、硬遮罩層或其組合。在一些實施方式中,虛擬閘極堆疊進一步包括內界面層(interfacial layer),例如氧化矽層,設置於閘極介電質430與閘極電極432之間。在一些實施方式中,覆蓋層可設置於 閘極介電質430與閘極電極432之間,例如TiN覆蓋層。
閘極結構410由沉積製程、微影製程、蝕刻製程、其他合適的製程或其組合而形成。舉例而言,可執行沉積製程,以形成閘極介電層於基板210上方,特別是鰭片結構220及隔離特徵420上方,並且可執行沉積製程,以形成閘極電極於閘極介電層上方。在一些實施方式中,在形成閘極介電層之前,執行沉積製程,以形成內界面層於基板210上方。沉積製程包括化學氣相沉積(CVD)、物理氣相沉積(PVD)、原子層沉積(ALD)、高密度電漿化學氣相沉積(HDPCVD)、金屬有機物化學氣相沉積(MOCVD)、遠距式電漿化學氣相沉積(RPCVD)、電漿增強化學氣相沉積(PECVD)、低壓化學氣相沉積(LPCVD)、原子層化學氣相沉積(ALCVD)、大氣壓化學氣相沉積(APCVD)、鍍覆(plating)、其他合適的方法或其組合。接著可執行微影圖案化與蝕刻製程,以圖案化閘極介電層與閘極電極層(在一些實施方式中,以及內界面層),以形成閘極介電質430與閘極電極432。微影圖案化製程包括光阻塗佈(舉例而言,旋轉塗佈)、軟烘烤、光罩對準、曝光、曝光後烘烤、光阻顯影、沖洗、乾燥(舉例而言,硬烘烤)、其他合適的製程或其組合。另外,由其他方法輔助、實施或取代微影曝光製程,例如無光罩微影、電子束曝光(electron-beam writing)或離子束曝光(ion-beam writing)。在另一alternative中,微影圖案化製程運用了奈米壓模技術。蝕刻製程包括乾蝕刻製程、濕蝕刻製程、其他蝕刻製程或其組 合。
在閘極結構410的形成之後,輕度摻雜的源極與汲極(LDD)特徵450形成於鰭式場效電晶體裝置400的源極區404與汲極區406內。輕度摻雜的源極與汲極(LDD)特徵450類似於鰭式場效電晶體裝置200的摻雜特徵250,上文已參照第2A圖至第2E圖詳細敘述。舉例而言,摻雜非晶層形成於鰭片222的源極區404與汲極區406上方,並且在摻雜非晶層上執行撞擊式佈植製程,以將摻雜物從摻雜非晶層驅入源極區404與汲極區406內。撞擊式佈植製程亦轉化摻雜非晶層成為鰭片222的一部分,使得輕度摻雜的源極與汲極(LDD)特徵450包括鰭片222的摻雜部分與轉化(重結晶)的摻雜非晶層。在一些實施方式(例如所繪示的實施方式),在形成隔離特徵420及/或閘極結構410之前,執行鰭片修整製程,例如以上所敘述的。或者,在一些實施方式中,在形成隔離特徵420及/或閘極結構410之後,執行鰭片修整製程,使得鰭片222的頂部分的寬度(例如延伸於隔離特徵420之上的鰭片222的一部分)係小於鰭片222的底部分的寬度。此後,鰭式場效電晶體裝置400可受到後續的製造,如以下所敘述。
第5圖係根據本揭示的多個態樣,在受到如參照第3A圖至第3E圖所敘述的製程以形成輕度摻雜的源極區與汲極區後,鰭式場效電晶體裝置500的透視、三維視圖(舉例而言,在x-y-z平面中)。鰭式場效電晶體裝置500可位於包括微處理器、記憶體及/或其他積體電路裝置內。在一些 實施方式中,鰭式場效電晶體裝置500可以是積體電路晶片、系統上晶片(SoC)、或其部分的一部分,其包括各種被動式與主動式微電子裝置,例如電阻器、電容器、電感器、二極管、金屬氧化物半導體場效電晶體(MOSFET)、互補式金屬氧化物半導體(CMOS)電晶體、雙極性接面電晶體(BJTs)、橫向擴散金屬氧化物半導體(LDMOS)電晶體、高電壓電晶體、高頻電晶體、其他合適的部件或其組合。鰭式場效電晶體裝置500在許多方面上類似於鰭式場效電晶體裝置300與鰭式場效電晶體裝置400。相應地,為了清楚和簡化起見,在第3A圖至第3E圖、第4圖及第5圖中,相似的特徵以相同的參考符號標記。為清楚起見,第5圖已被簡化,以更好地理解本揭示的發明概念。另外的特徵可加入鰭式場效電晶體裝置500內,並且在鰭式場效電晶體裝置500的其他實施方式中,一些以下所敘述的特徵可被取代、修改或刪除。
類似於裝置300,鰭式場效電晶體裝置500包括基板210與鰭片結構220,其包括從基板210延伸的鰭片222。在第5圖中,鰭片222在z方向上從基板210延伸,使得鰭片222具有在z方向上定義的高度(例如高度h)、在x方向上定義的長度、以及在y方向上定義的寬度及/或間距(例如寬度w1、寬度w4、間距S1與間距S4)。每一個鰭片222具有沿著各自鰭片222的長度所定義的通道區502、源極區504與汲極區506(在此為沿著x方向),其中通道區502係設置於源極區504與汲極區506之間(一般稱為源極區/汲極 區)。每一個通道區502包括定義於各自鰭片222的側壁部分之間的頂部分,而頂部分與側壁部分接合於閘極結構410(上文已詳細敘述),使得在鰭式場效電晶體裝置500運作時,電流可以流過各自源極區504與各自汲極區506之間。在第5圖中,閘極結構410阻擋了鰭片222的通道區502的顯示。
鰭式場效電晶體裝置500的製造相似於鰭式場效電晶體裝置300的製造,如參照第3A圖至第3E圖所敘述的。然而,在形成摻雜非晶層230之前,相似於鰭式場效電晶體裝置400,隔離特徵420形成於基板210的上方及/或內部,以隔離鰭式場效電晶體裝置500的各個區域,如上所述。相似於鰭式場效電晶體裝置400,在形成摻雜非晶層230之前,閘極結構410亦形成於鰭片結構220上方,如上所述。在形成閘極結構410之後,輕度摻雜的源極與汲極(LDD)特徵550形成於鰭式場效電晶體裝置500的源極區504與汲極區506內。輕度摻雜的源極與汲極(LDD)特徵550相似於鰭式場效電晶體裝置300的摻雜特徵350,上文已經參照第3A圖至第3E圖所詳細敘述。舉例而言,摻雜非晶層形成於鰭片222的源極區504與汲極區506的上方,並執行撞擊式佈植製程於摻雜非晶層上,以將摻雜物從摻雜非晶層驅入源極區504與汲極區506的一部分內。撞擊式佈植製程亦轉化摻雜非晶層的一部分成為鰭片222的一部分,使得輕度摻雜的源極與汲極(LDD)特徵550包括鰭片222的摻雜部分與摻雜非晶層的轉化(重結晶)部分。此後,移除任何殘留的摻雜 非晶層,舉例而言,藉由氧化移除製程。此後,鰭式場效電晶體裝置500可受到進一步的製程處理。
鰭式場效電晶體裝置400及/或鰭式場效電晶體裝置500可受到進一步的製程處理。舉例而言,可形成間隔件並相鄰於閘極結構410(在此為閘極介電質430與閘極電極432)。間隔件包括介電材料,例如氧化矽、氮化矽、氧氮化矽、碳化矽、其他合適的材料或其組合。在一些實施方式中,間隔件包括多層結構,例如氮化矽層與氧化矽層。間隔件係由任何合適的製程形成。在一些實施方式中,間隔件包括多於一套組的間隔件,例如密封(seal)間隔件、偏移(offset)間隔件、虛擬(dummy)間隔件及/或主間隔件,形成並相鄰於虛擬閘極堆疊。在這些實施方式中,各種套組的間隔件可包括的材料具有不同的蝕刻速率。舉例而言,氧化矽層可沉積於鰭片結構220上方,以及接下來受到各向異性蝕刻(舉例而言,乾蝕刻),以形成相鄰於虛擬閘極堆疊的第一間隔件套組,並且可沉積氮化矽層於鰭片結構220上方,接著蝕刻(舉例而言,乾蝕刻),以形成相鄰於第一間隔件套組的第二間隔件。
接下來可執行閘極替換製程,以使用閘極來取代閘極結構410的虛擬閘極堆疊,例如金屬閘極堆疊。舉例而言,層間介電層(inter-level dielectric,ILD)可形成於基板210上方,舉例而言,藉由沉積製程(例如化學氣相沉積(CVD)、物理氣相沉積(PVD)、原子層沉積(ALD)、高密度電漿化學氣相沉積(HDPCVD)、金屬有機物化學氣 相沉積(MOCVD)、遠距式電漿化學氣相沉積(RPCVD)、電漿增強化學氣相沉積(PECVD)、低壓化學氣相沉積(LPCVD)、原子層化學氣相沉積(ALCVD)、大氣壓化學氣相沉積(APCVD)、鍍覆(plating)、其他合適的方法或其組合)。層間介電層包括介電材料,例如氧化矽、氮化矽、氧氮化矽、四乙氧基矽烷(TEOS)形成的氧化物、磷矽酸鹽玻璃(PSG)、硼摻雜磷酸矽酸鹽玻璃(borophosphosilicate glass,BPSG)、低k值介電材料、其他合適的介電材料或其組合。例示性的低k值介電材料包括氟化石英玻璃(fluorinated silica glass,FSG)、碳摻雜氧化矽、黑鑽石®(Black Diamond®,加利福尼亞州聖克拉拉的應用材料)、乾凝膠(Xerogel)、氣凝膠(Aerogel)、無定形氟化碳、聚對二甲苯(Poly-para-xylylene,Parylene)、雙苯並環丁烯(bis-benzocyclobutenes,BCB)、SiLK(道氏化學,Midland,密歇根州)、聚酰亞胺、其他適當的材料或其組合。在一些實施方式中,層間介電層可包括具有多個介電材料的多層結構。後續於層間介電層的沉積,可執行化學機械研磨,使得到達閘極結構410的頂部分(被暴露),例如閘極電極432的頂部分。接著移除閘極結構410的一部分(例如閘極電極432,以及在一些實施方式中,閘極介電質430),從而形成溝槽(開口),其可暴露內界面層及/或閘極介電質(例如閘極介電質430)。在一些實施方式中,蝕刻製程選擇性地移除虛擬閘極電極(在一些實施方式中,以及虛擬閘極介電質)。蝕刻製程是乾蝕刻製程、濕蝕刻製程或 其組合。可調整選擇性蝕刻製程,使得虛擬閘極電極層具有足夠的蝕刻速率,相對於內界面層、間隔件及/或層間介電層。
閘極結構410的金屬閘極堆疊接著形成於開口(溝槽)內。金屬閘極堆疊包括閘極介電質與閘極電極(舉例而言,例如功函數充填層(work function fill layer)與金屬充填層(metal fill layer))。閘極結構410的金屬閘極堆疊可包括多個其他層,舉例而言,覆蓋層、界面層、擴散層、阻隔層、硬遮罩層或其組合。在一些實施方式中,閘極介電層形成於內界面層的上方,而閘極電極層(例如功函數充填層與金屬充填層)形成於閘極介電層的上方。閘極介電層包括介電材料,例如氧化矽、高k值介電材料、其他合適的介電材料或其組合。高k值介電材料的實施例包括HfO2、HfSiO、HfSiON、HfTaO、HfTiO、HfZrO、氧化鋯、氧化鋁、二氧化鉿-氧化鋁(HfO2-Al2O3)合金、其他合適的高k值介電材料或其組合。在一些實施方式中,閘極介電層是高k值介電層。在一些從虛擬閘極堆疊略去內界面層的實施方式中,閘極介電層可包括內界面層(例如氧化矽層)以及設置於內界面層上方的高k值介電層。閘極電極包括導電性的材料,例如多晶矽、Al、Cu、Ti、Ta、W、Mo、TaN、NiSi、CoSi、TiN、WN、TiAl、TiAlN、TaCN、TaC、TaSiN、其他導電性的材料或其組合。在一些實施方式中,功函數層是導電性材料,可調整以具有所欲的功函數(例如n型功函數或p型功函數),金屬充填層是形成於功函數層上 的導電層。在一些實施方式中,功函數層包括n型功函數的材料,例如Ti、Ag、TaAl、TaAlC、TiAlN、TaC、TaCN、TaSiN、Mn、Zr、其他合適的n型功函數的材料或其組合。在一些實施方式中,功函數層包括p型功函數的材料,例如TiN、TaN、Ru、Mo、Al、WN、ZrSi2、MoSi2、TaSi2、NiSi2、WN、其他合適的p型功函數的材料或其組合。金屬充填層包括合適的導電性的材料,例如鋁、鎢或銅。金屬充填層可另外或整體性地包括多晶矽、鈦、鉭、金屬合金、其他合適的材料或其組合。閘極介電層、功函數層與金屬充填層係藉由各種沉積製程所形成,例如原子層沉積(ALD)、化學氣相沉積(CVD)、物理氣相沉積(PVD)及/或其他合適的製程。在一些實施方式中,功函數層與金屬充填層可共形以暴露開口內的表面。可執行化學機械研磨製程,以移除過多的材料(例如任何過多的功函數層及/或任何過多的金屬充填層),平面化閘極結構410。
在一些實施方式中,另外的源極/汲極特徵,例如重度摻雜源極與汲極(heavily doped source and drain,HDD)特徵形成於鰭片222內。在一些實施方式中,磊晶源極特徵與磊晶汲極特徵(稱為磊晶源極/汲極特徵)形成於輕度摻雜的源極與汲極(LDD)450及/或輕度摻雜的源極與汲極(LDD)550上方。舉例而言,形成輕度摻雜的源極與汲極(LDD)450及/或輕度摻雜的源極與汲極(LDD)550,執行選擇性磊晶生長製程以生長半導體材料於鰭片222的暴露部分,從而形成磊晶源極/汲極特徵於源極 區404(及/或源極區504)與汲極區406(及/或汲極區506)上方。在一些實施方式中,磊晶源極/汲極特徵包覆源極區404(及/或源極區504)與汲極區406(及/或汲極區506)。選擇性磊晶生長製程可運用化學氣相沉積技術(舉例而言,氣相磊晶(VPE)、超真空化學氣相沉積(UHV-CVD)、低壓化學氣相沉積(LPCVD)及/或電漿增強化學氣相沉積(PECVD))、分子束磊晶、其他合適的選擇性磊晶生長製程或其組合。選擇性磊晶生長製程可以使用氣相前驅物(舉例而言,含矽氣體,例如SiH4及/或含鍺氣體,例如GeH4)及/或液體前驅物,其與鰭片222的組成相互作用。摻雜物可引入選擇性磊晶生長製程,使得在選擇性磊晶生長製程時,原位摻雜磊晶源極/汲極特徵。舉例而言,藉由沉積時添加摻雜物至選擇性磊晶生長製程的源材料,摻雜磊晶源極/汲極特徵。在一些實施方式中,當鰭式場效電晶體裝置400及/或鰭式場效電晶體裝置500配置為n型裝置(舉例而言,具有n通道),磊晶源極/汲極特徵包括矽或碳化矽,其中矽或碳化矽受到磷、砷、其他的n型摻雜物或其組合的摻雜(舉例而言,形成Si:P磊晶層或Si:C:P磊晶層)。在一些鰭式場效電晶體裝置400及/或鰭式場效電晶體裝置500配置為p-type裝置(舉例而言,具有p通道)的實施方式中,磊晶源極/汲極特徵包括矽鍺(SiGe),其中矽鍺層受到硼、其他的p型摻雜物或其組合的摻雜(舉例而言,形成Si:Ge:B磊晶層)。在一些實施方式中,依據設計要求,磊晶源極/汲極特徵包括實現通道區402及/或 通道區502中所需的拉伸應力及/或壓縮應力之材料及/或摻雜物。
可形成不同的接觸,以促進鰭式場效電晶體裝置400及/或鰭式場效電晶體裝置500的操作。舉例而言,層間介電層可形成於基板210上方(在一些實施方式中,第二層間介電層形成於第一層間介電層上方(在閘極替換製程時形成)。接觸接著可形成於層間介電層內。舉例而言,接觸與閘極結構410(特定地來說,閘極電極)電性耦合,接觸電性耦合至源極區404,並且接觸電性耦合至汲極區406。接觸包括導電性的材料,例如金屬。金屬包括鋁、鋁合金(例如鋁/矽/銅合金)、銅、銅合金、鈦、氮化鈦、鉭、氮化鉭、鎢、多晶矽、金屬矽化物、其他合適的金屬或其組合。金屬矽化物可以包括矽化鎳、矽化鈷、矽化鎢、矽化鉭、矽化鈦、矽化鉑、矽化鉺、矽化鈀或其組合。在一些實施方式中,層間介電層與接觸(舉例而言,延伸穿過層間介電層)是設置於基板210上方的多層互連特徵(multilayer interconnect,MLI)的一部分。多層互連特徵電性耦合鰭式場效電晶體裝置400及/或鰭式場效電晶體裝置500的多個部件,使得多個部件可按照鰭式場效電晶體裝置400及/或鰭式場效電晶體裝置500的設計要求所規範的功能進行操作。多層互連特徵可包括金屬層與層間介電層的一組合,配置以形成垂直互連特徵及/或水平互連特徵,垂直互連特徵的例子如接觸及/或通孔,水平互連特徵的例子如線。各種導電性特徵包括的材料與接觸相似。在一些實施方式中, 使用鑲嵌製程(damascene process)及/或雙鑲嵌製程(dual damascene process)以形成基於銅的多層互連結構。
本揭示提供多個不同實施方式。例示性方法包括形成鰭片結構、形成摻雜非晶層於鰭片結構的一部分上方,以及執行撞擊式佈植製程,以將摻雜物從摻雜非晶層驅入鰭片結構的一部分內,從而形成摻雜特徵。摻雜非晶層包括鰭片結構的非結晶態的材料。在一些實施方式中,鰭片結構包括結晶材料,而且撞擊式佈植製程轉化摻雜非晶層的至少一部分成為結晶材料(舉例而言,藉由結晶化摻雜非晶層的一部分),使得摻雜非晶層的一部分成為鰭片結構的一部分。在一些實施方式中,鰭片結構包括矽,而摻雜非晶層包括非晶矽,使得撞擊式佈植製程結晶化非晶矽的至少一部分。
在一些實施方式中,方法進一步包括執行鰭片修整製程,以在形成摻雜非晶層之前,縮減鰭片結構的尺寸。在一些實施方式中,摻雜非晶層的厚度約等於在鰭片修整製程中所移除的鰭片結構的厚度,並且撞擊式佈植製程使得摻雜非晶層成為鰭片結構的一部分。在一些實施方式中,撞擊式佈植製程使得摻雜非晶層的一部分成為鰭片結構的一部分。在這些實施方式中,方法進一步包括氧化摻雜非晶層的殘留部分,並移除摻雜非晶層的氧化部分。
在一些實施方式中,摻雜非晶層形成於鰭片結構的源極區與汲極區上,而摻雜特徵是設置於鰭片結構之源極區與汲極區中的輕度摻雜的源極與汲極(LDD)區域。在一 些實施方式中,方法進一步包括在形成摻雜非晶層之前,形成閘極結構於鰭片結構的通道區上。在一些實施方式中,撞擊式佈植製程使用氬離子,以將摻雜物從摻雜非晶層驅入鰭片結構的一部分內。
另一個例示性方法包括形成鰭片結構,形成摻雜非晶矽層於鰭片結構的一部分上,以及執行撞擊式佈植製程,以將摻雜物從摻雜非晶矽層驅入鰭片結構的一部分內,從而形成摻雜特徵。在一些實施方式中,摻雜非晶矽層包覆鰭片結構的源極區與汲極區,而摻雜特徵是設置於源極區與汲極區內的輕度摻雜的源極與汲極(LDD)區域。在一些實施方式中,形成摻雜非晶矽層,包括磊晶生長半導體材料於鰭片結構的一部分上方,其中當磊晶生長時,原位摻雜半導體材料。
在一些實施方式中,方法進一步包括在形成摻雜非晶矽層之前,縮減鰭片結構的寬度。在這些實施方式中,摻雜非晶層的厚度是約相等於縮減鰭片結構的寬度時所移除鰭片結構的量,而撞擊式佈植製程導致摻雜非晶矽層成為鰭片結構的一部分。在一些實施方式中,撞擊式佈植製程導致摻雜非晶矽層的一部分成為鰭片結構的一部分。在這些實施方式中,方法進一步包括氧化摻雜非晶矽層的殘留部分,並移除摻雜非晶矽層的氧化部分。
另一例示性方法包括形成鰭片結構。鰭片結構包括定義於源極區與汲極區之間的通道區。鰭片結構進一步包括具有結晶結構的材料。方法進一步包括形成閘極結構於 鰭片結構的通道區上。方法進一步包括形成摻雜層於鰭片結構的源極區與汲極區上。摻雜層包括具有非結晶結構的材料。方法進一步包括執行撞擊式佈植製程,以將摻雜物從摻雜層驅入鰭片結構的源極區與汲極區內,其中撞擊式佈植製程轉化摻雜層的一部分成為具有結晶結構的材料。
在一些實施方式中,撞擊式佈植製程形成輕度摻雜的源極與汲極(LDD)區域,其中鰭片結構的摻雜部分與摻雜層的至少一部分形成輕度摻雜的源極與汲極(LDD)區域。在一些實施方式中,方法進一步包括在形成摻雜層之前,執行鰭片修整製程,以縮減鰭片結構的源極區與汲極區的尺寸,其中所有的摻雜層成為輕度摻雜的源極與汲極(LDD)區域的一部分。在一些實施方式中,方法進一步包括移除摻雜層的任何殘留部分。在一些實施方式中,鰭片結構包括矽,而摻雜層包括非晶矽,使得撞擊式佈植製程結晶化非晶矽的至少一部分。
前述內容概述若干實施例或實例之特徵,以使得熟習此項技術者可較佳理解本揭示之態樣。熟習此項技術者應理解,他們可容易地使用本揭示作為設計或修改用於執行本文所介紹之實施方式相同目的及/或達成相同優點的其他製程及結構之基礎。熟習此項技術者應同時認識到,這些的等效構造並不偏離本揭示之精神及範疇,且其可在不偏離本揭示之精神及範疇之情況下於本文中進行各種變化、替換及變更。
100:方法
110:方塊
120:方塊
130:方塊
140:方塊

Claims (9)

  1. 一種鰭式場效電晶體的共形傳遞摻雜方法,包含:形成一鰭片結構;形成一摻雜非晶層於該鰭片結構的一部分的上方;以及執行一撞擊式佈植製程,以藉由一氬原子轟擊該摻雜非晶層,該氬原子將一摻雜物從該摻雜非晶層撞擊入該鰭片結構的該部分內,從而形成一摻雜特徵,其中該撞擊式佈植製程轉化該摻雜非晶層的至少一部分成為一結晶材料,使得該摻雜非晶層的該部分成為該鰭片結構的一部分。
  2. 如請求項1所述的方法,其中該鰭片結構包括矽,而該摻雜非晶層包括一非晶矽,並且該撞擊式佈植製程結晶化該非晶矽的至少一部分。
  3. 如請求項1所述的方法,更包含:氧化該摻雜非晶層的一殘留部分;以及移除該摻雜非晶層的該氧化部分。
  4. 如請求項1所述的方法,其中該撞擊式佈植製程轉化全部的該摻雜非晶層成為一結晶材料,使得在執行該撞擊式佈植製程的之後,沒有殘留該摻雜非晶層的部分。
  5. 一種鰭式場效電晶體的共形傳遞摻雜方法,包含:形成一鰭片結構;形成一摻雜非晶矽層於該鰭片結構的一部分之上;以及執行一撞擊式佈植製程,以將一摻雜物從該摻雜非晶矽層驅入該鰭片結構的該部分內,從而形成一摻雜特徵,其中該撞擊式佈植製程藉由一氬原子轟擊該摻雜非晶矽層,而重排列該摻雜非晶矽層的原子結構,以結晶化該摻雜非晶矽層的至少一部分。
  6. 如請求項5所述的方法,更包含在形成該摻雜非晶矽層之前,縮減該鰭片結構的一寬度。
  7. 如請求項5所述的方法,其中該撞擊式佈植製程導致該摻雜非晶矽層的該部分成為該鰭片結構的一部分,該方法更包含:氧化該摻雜非晶矽層的一殘留部分;以及移除該摻雜非晶矽層的該氧化部分。
  8. 一種鰭式場效電晶體裝置,包含:設置於一基板之上的一鰭片結構,該鰭片結構包含:一半導體鰭片的一未摻雜部分,該半導體鰭片由一第一半導體材料組成; 該半導體鰭片的一摻雜部分,該半導體鰭片的該摻雜部分設置於該半導體鰭片的該未摻雜部分之上及周圍;以及設置於該半導體鰭片的該摻雜部分之上及周圍的一摻雜層,該摻雜層由一第二半導體材料組成,其中該半導體鰭片的該第一半導體材料為結晶矽鍺,該摻雜層的該第二半導體材料為結晶矽,且該半導體鰭片的該摻雜部分及該摻雜層皆包含在一相同的摻雜物濃度,該濃度範圍為約從1x1020cm-3至約5x1020cm-3;設置於該鰭片結構的一部分的一閘極介電質,該閘極介電質與該半導體鰭片的該摻雜部分藉由該摻雜層分離而不相連;以及設置於該閘極介電質之上的一閘極電極。
  9. 如請求項8所述的裝置,其中該半導體鰭片的該摻雜部分及該摻雜層皆包含相同的摻雜物。
TW106136381A 2016-12-15 2017-10-23 鰭式場效電晶體裝置及其共形傳遞摻雜方法 TWI746673B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201662434694P 2016-12-15 2016-12-15
US62/434,694 2016-12-15
US15/653,720 US10276691B2 (en) 2016-12-15 2017-07-19 Conformal transfer doping method for fin-like field effect transistor
US15/653,720 2017-07-19

Publications (2)

Publication Number Publication Date
TW201824364A TW201824364A (zh) 2018-07-01
TWI746673B true TWI746673B (zh) 2021-11-21

Family

ID=62251367

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106136381A TWI746673B (zh) 2016-12-15 2017-10-23 鰭式場效電晶體裝置及其共形傳遞摻雜方法

Country Status (3)

Country Link
US (2) US11862713B2 (zh)
DE (1) DE102017126850A1 (zh)
TW (1) TWI746673B (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110970303B (zh) * 2018-09-28 2024-06-21 台湾积体电路制造股份有限公司 半导体器件及其形成方法
CN111755331A (zh) * 2019-03-27 2020-10-09 芯恩(青岛)集成电路有限公司 一种场效应晶体管及其制备方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110195555A1 (en) * 2010-02-09 2011-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Techniques for FinFET Doping
US20120112248A1 (en) * 2010-11-08 2012-05-10 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming ultra shallow junction
TW201246299A (en) * 2011-03-31 2012-11-16 Tokyo Electron Ltd Method for forming ultra-shallow doping regions by solid phase diffusion
TW201530622A (zh) * 2014-01-24 2015-08-01 Varian Semiconductor Equipment 處理半導體裝置的方法以及形成鰭式場效應電晶體的方法

Family Cites Families (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7224029B2 (en) 2004-01-28 2007-05-29 International Business Machines Corporation Method and structure to create multiple device widths in FinFET technology in both bulk and SOI
US8980719B2 (en) 2010-04-28 2015-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for doping fin field-effect transistors
US9245805B2 (en) 2009-09-24 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Germanium FinFETs with metal gates and stressors
US8309989B2 (en) * 2010-08-18 2012-11-13 Purdue Research Foundation Tunneling field-effect transistor with low leakage current
JP2012049286A (ja) 2010-08-26 2012-03-08 Sen Corp 半導体装置の製造方法
US8062963B1 (en) 2010-10-08 2011-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a semiconductor device having an epitaxy region
US8329567B2 (en) 2010-11-03 2012-12-11 Micron Technology, Inc. Methods of forming doped regions in semiconductor substrates
US8598025B2 (en) 2010-11-15 2013-12-03 Varian Semiconductor Equipment Associates, Inc. Doping of planar or three-dimensional structures at elevated temperatures
US8962400B2 (en) 2011-07-07 2015-02-24 Taiwan Semiconductor Manufacturing Company, Ltd. In-situ doping of arsenic for source and drain epitaxy
JP2013026345A (ja) 2011-07-19 2013-02-04 Toshiba Corp 半導体装置の製造方法
US8841701B2 (en) 2011-08-30 2014-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device having a channel defined in a diamond-like shape semiconductor structure
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US9159809B2 (en) * 2012-02-29 2015-10-13 United Microelectronics Corp. Multi-gate transistor device
US8847293B2 (en) 2012-03-02 2014-09-30 Taiwan Semiconductor Manufacturing Company, Ltd. Gate structure for semiconductor device
US8836016B2 (en) 2012-03-08 2014-09-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structures and methods with high mobility and high energy bandgap materials
KR101823105B1 (ko) 2012-03-19 2018-01-30 삼성전자주식회사 전계 효과 트랜지스터의 형성 방법
JP2014053452A (ja) 2012-09-07 2014-03-20 Toshiba Corp 半導体装置の製造方法
KR102047097B1 (ko) 2012-10-25 2019-11-20 삼성전자주식회사 반도체 장치의 제조방법
US9299564B2 (en) 2012-12-12 2016-03-29 Varian Semiconductor Equipment Associates, Inc. Ion implant for defect control
US8957476B2 (en) 2012-12-20 2015-02-17 Intel Corporation Conversion of thin transistor elements from silicon to silicon germanium
US8853025B2 (en) 2013-02-08 2014-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET/tri-gate channel doping for multiple threshold voltage tuning
US9159824B2 (en) 2013-02-27 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with strained well regions
US9093514B2 (en) 2013-03-06 2015-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Strained and uniform doping technique for FINFETs
US9831345B2 (en) 2013-03-11 2017-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with rounded source/drain profile
US8846508B1 (en) 2013-07-15 2014-09-30 Varian Semiconductor Equipment Associates, Inc. Method of implanting high aspect ratio features
US9105559B2 (en) 2013-09-16 2015-08-11 International Business Machines Corporation Conformal doping for FinFET devices
WO2015080945A1 (en) 2013-11-27 2015-06-04 Applied Materials, Inc. Method to reduce k value of dielectric layer for advanced finfet formation
KR102166237B1 (ko) 2013-12-19 2020-10-15 인텔 코포레이션 반도체 디바이스상에 랩-어라운드 콘택트를 형성하는 방법
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
KR20160134655A (ko) 2014-03-24 2016-11-23 인텔 코포레이션 단일 다이 상에 다수의 트랜지스터 핀 치수들을 얻기 위한 기술들
WO2015147784A1 (en) 2014-03-24 2015-10-01 Intel Corporation Fin sculpting and cladding during replacement gate process for transistor channel applications
US9793384B2 (en) * 2014-10-01 2017-10-17 Globalfoundries Inc. Tunneling field effect transistor and methods of making such a transistor
US9419016B2 (en) * 2014-11-10 2016-08-16 International Business Machines Corporation Junctionless tunnel FET with metal-insulator transition material
US9953836B2 (en) 2015-01-28 2018-04-24 Taiwan Semiconductor Manufacturing Co., Ltd. Barrier layer above anti-punch through (APT) implant region to improve mobility of channel region of fin field effect transistor (FinFET) device structure
KR102427596B1 (ko) 2015-09-03 2022-07-29 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US9472620B1 (en) 2015-09-04 2016-10-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including fin structures and manufacturing method thereof
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
EP3185301A1 (en) * 2015-12-22 2017-06-28 IMEC vzw Multi-gate tunnel field-effect transistor (tfet)
US10276691B2 (en) 2016-12-15 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Conformal transfer doping method for fin-like field effect transistor

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110195555A1 (en) * 2010-02-09 2011-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Techniques for FinFET Doping
US20120112248A1 (en) * 2010-11-08 2012-05-10 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming ultra shallow junction
TW201246299A (en) * 2011-03-31 2012-11-16 Tokyo Electron Ltd Method for forming ultra-shallow doping regions by solid phase diffusion
TW201530622A (zh) * 2014-01-24 2015-08-01 Varian Semiconductor Equipment 處理半導體裝置的方法以及形成鰭式場效應電晶體的方法

Also Published As

Publication number Publication date
US20240097010A1 (en) 2024-03-21
US20220376090A1 (en) 2022-11-24
TW201824364A (zh) 2018-07-01
US11862713B2 (en) 2024-01-02
DE102017126850A1 (de) 2018-06-21

Similar Documents

Publication Publication Date Title
TWI675003B (zh) 奈米線基積體電路裝置及其製造方法
US11476352B2 (en) Conformal transfer doping method for fin-like field effect transistor
US10510762B2 (en) Source and drain formation technique for fin-like field effect transistor
US11004747B2 (en) Fin critical dimension loading optimization
US9997616B2 (en) Semiconductor device having a strained region
US11145536B2 (en) Gate dielectric preserving gate cut process
US11018245B2 (en) Epitaxial structures for fin-like field effect transistors
TWI773223B (zh) 多閘極裝置及其形成方法
US10872970B2 (en) Source and drain formation technique for fin-like field effect transistor
US11862713B2 (en) Conformal transfer doping method for fin-like field effect transistor
US11810825B2 (en) Methods of forming epitaxial structures in fin-like field effect transistors
TW202137488A (zh) 積體電路裝置
US11876013B2 (en) Gate dielectric preserving gate cut process
US20230378304A1 (en) Source and Drain Enginering Process for Multigate Devices
TW202240709A (zh) 形成半導體結構的方法