US10325993B2 - Gate all around device and fabrication thereof - Google Patents

Gate all around device and fabrication thereof Download PDF

Info

Publication number
US10325993B2
US10325993B2 US15/719,301 US201715719301A US10325993B2 US 10325993 B2 US10325993 B2 US 10325993B2 US 201715719301 A US201715719301 A US 201715719301A US 10325993 B2 US10325993 B2 US 10325993B2
Authority
US
United States
Prior art keywords
gate electrode
sidewall
contact
gate
nanowire
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
US15/719,301
Other versions
US20190097010A1 (en
Inventor
Yung-Chih Wang
Yu-Chieh Liao
Tai-I Yang
Hsin-Ping Chen
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority to US15/719,301 priority Critical patent/US10325993B2/en
Assigned to TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD. reassignment TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CHEN, HSIN-PING, LIAO, YU-CHIEH, WANG, YUNG-CHIH, YANG, TAI-I
Publication of US20190097010A1 publication Critical patent/US20190097010A1/en
Priority to US16/443,769 priority patent/US10763337B2/en
Application granted granted Critical
Publication of US10325993B2 publication Critical patent/US10325993B2/en
Priority to US17/006,802 priority patent/US11302792B2/en
Priority to US17/718,080 priority patent/US20220238676A1/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0676Nanowires or nanotubes oriented perpendicular or at an angle to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • H01L29/42376Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the length or the sectional shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66666Vertical transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7827Vertical transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78642Vertical transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78681Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising AIIIBV or AIIBVI or AIVBVI semiconductor materials, or Se or Te
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/7869Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising an oxide semiconductor material, e.g. zinc oxide, copper aluminium oxide, cadmium stannate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel

Definitions

  • Semiconductor devices are used in a large number of electronic devices, such as computers, cell phones, and others.
  • Semiconductor devices comprise integrated circuits that are formed on semiconductor wafers by depositing many types of thin films of material over the semiconductor wafers, and patterning the thin films of material to form the integrated circuits.
  • Integrated circuits include field-effect transistors (FETs) such as metal oxide semiconductor (MOS) transistors.
  • FETs field-effect transistors
  • MOS metal oxide semiconductor
  • gate-all-around FETs are similar in concept to FETs except that the gate material surrounds the channel region on all sides.
  • FIGS. 1-21 are cross-sectional views of a method of fabricating a device in accordance with some embodiments of the present disclosure.
  • first and second features are formed in direct contact
  • additional features may be formed between the first and second features, such that the first and second features may not be in direct contact
  • present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.
  • spatially relative terms such as “beneath,” “below,” “lower,” “above,” “upper” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures.
  • the spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures.
  • the apparatus may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein may likewise be interpreted accordingly.
  • Gate all around (GAA) transistor structures may be patterned by any suitable method.
  • the structures may be patterned using one or more photolithography processes, including double-patterning or multi-patterning processes.
  • double-patterning or multi-patterning processes combine photolithography and self-aligned processes, allowing patterns to be created that have, for example, pitches smaller than what is otherwise obtainable using a single, direct photolithography process.
  • a sacrificial layer is formed over a substrate and patterned using a photolithography process. Spacers are formed alongside the patterned sacrificial layer using a self-aligned process. The sacrificial layer is then removed, and the remaining spacers may then be used to pattern the GAA structure.
  • FIGS. 1-21 are cross-sectional views of a method of fabricating a device in accordance with some embodiments of the present disclosure.
  • the method begins by receiving a substrate 100 .
  • the substrate 100 can be any appropriate support structure, and can include a semiconductor substrate.
  • the substrate 100 is a semiconductor substrate, and in other embodiments, the substrate 100 includes a semiconductor substrate with various dielectric layers, e.g., inter-layer dielectric (ILD) layers and/or inter-metallization dielectric (IMD) layers, thereon.
  • ILD inter-layer dielectric
  • IMD inter-metallization dielectric
  • a semiconductor substrate can be a bulk semiconductor substrate, a semiconductor-on-insulator (SOI) substrate, multi-layered or gradient substrates, or the like.
  • SOI semiconductor-on-insulator
  • the semiconductor of the semiconductor substrate may include any semiconductor material, such as elemental semiconductor like silicon, germanium, or the like; a compound or alloy semiconductor including SiC, GaAs, GaP, InP, InAs, indium antimonide, SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP, and/or GaInAsP; the like; or combinations thereof.
  • the semiconductor substrate may further be a wafer, for example.
  • a first conductive layer 110 is formed over the substrate 100 to form source/drain pickup regions in subsequent processes.
  • the first conductive layer 110 can be any acceptable conductive material, and some embodiments contemplate that the first conductive layer 110 is metal, a metal-semiconductor compound, the like, or combinations thereof.
  • Example metals include copper, gold, cobalt, titanium, aluminum, nickel, tungsten, titanium nitride (TiN), the like, or combinations thereof.
  • Example metal-semiconductor compounds include nickel silicide (NiSi), titanium silicide (TiSi), tungsten silicide (WSi), cobalt silicide (CoSi), titanium germanide (TiGe), NiSiGe, NiGe, the like, or combinations thereof.
  • the first conductive layer 110 can be formed by depositing a layer of conductive material on the underlying substrate 100 .
  • the conductive material is metal
  • the metal can be deposited on the underlying substrate 100 by Physical Vapor Deposition (PVD), Atomic Layer Deposition (ALD), Chemical Vapor Deposition (CVD), the like, or combinations thereof.
  • a semiconductor material such as silicon like polysilicon, polygermanium, or the like, can be deposited on the underlying substrate 100 by CVD, Plasma Enhanced CVD (PECVD), Low-Pressure CVD (LPCVD), evaporation, the like, or combinations thereof, and a metal can be deposited, such as discussed above, on the semiconductor material.
  • PECVD Plasma Enhanced CVD
  • LPCVD Low-Pressure CVD
  • evaporation the like, or combinations thereof
  • An anneal process can then be performed to react the semiconductor material with the metal to form the semiconductor-metal compound.
  • a dielectric layer 120 is formed over the first conductive layer 110 and a second conductive layer 130 is then formed over the dielectric layer 120 . Therefore, the first and second conductive layers 110 and 130 can be electrically isolated by the dielectric layer 120 .
  • the dielectric layer 120 and the overlying second conductive layer 130 have different etch resistance properties.
  • the dielectric layer 120 is made of a material which has higher etch resistance to a subsequent etching process performed to the second conductive layer 130 than that of the second conductive layer 130 .
  • the subsequent process performed to the second conductive layer 130 can be slowed down or even stopped by the dielectric layer 120 , and hence the dielectric layer 120 can act as an etch stop layer (ESL) in the subsequent etching process.
  • the dielectric layer 120 includes aluminum oxynitride (AlON), aluminum oxide (AlO x ), oxygen-doped silicon carbide (SiC:O, also known as ODC), silicon nitride (SiN), the like, or combinations thereof.
  • the dielectric layer 120 may be an AlON layer with a thickness in a range from about 10 angstroms to about 20 angstroms, an ODC layer with a thickness in a range from about 10 angstroms to about 20 angstroms, or an AlO x layer with a thickness in a range from about 30 angstroms to about 50 angstroms, or the like.
  • the second conductive layer 130 can be any acceptable conductive material.
  • the second conductive layer 130 includes a conductive material the same as the first conductive layer 110 .
  • the second conductive layer 130 includes a conductive material different from the first conductive layer 110 .
  • the second conductive layer 130 is metal, a metal-semiconductor compound, the like, or combinations thereof.
  • Example metals include copper, gold, cobalt, titanium, aluminum, nickel, tungsten, titanium nitride (TiN), the like, or combinations thereof.
  • Example metal-semiconductor compounds include nickel silicide (NiSi), titanium silicide (TiSi), tungsten silicide (WSi), cobalt silicide (CoSi), titanium germanide (TiGe), NiSiGe, NiGe, the like, or combinations thereof.
  • the second conductive layer 130 can be formed by depositing a layer of conductive material on the underlying dielectric layer 120 .
  • the conductive material is metal
  • the metal can be deposited on the underlying dielectric layer 120 by PVD, ALD, CVD, the like, or combinations thereof.
  • the conductive material is a metal-semiconductor compound
  • a semiconductor material such as silicon like polysilicon, polygermanium, or the like, can be deposited on the dielectric layer 120 by CVD, PECVD, LPCVD, evaporation, the like, or combinations thereof, and a metal can be deposited, such as discussed above, on the semiconductor material.
  • An anneal can then be performed to react the semiconductor material with the metal to form the semiconductor-metal compound.
  • a gate electrode layer 140 is formed over the second conductive layer 130 .
  • the gate electrode layer 140 can be any acceptable conductive material, such as a metal-containing material, a metal-semiconductor compound, doped semiconductor, the like, or combinations thereof.
  • the gate electrode layer 140 is a doped semiconductor, such as an n-doped polysilicon or a p-doped polysilicon.
  • the gate electrode layer 140 is undoped polysilicon.
  • the gate electrode layer 140 is a metal-containing material, such as TiN, TaN, TaC, Co, Ru, Al, W, the like, or combinations thereof.
  • the gate electrode layer 140 can be formed by depositing a layer of conductive material on the second conductive layer 130 by PVD, ALD, CVD, the like, or combinations thereof. As a result of the deposition, the gate electrode layer 140 is in contact with the second conductive layer 130 , and they are thus electrically coupled or electrically connected to each other.
  • a hard mask layer is formed over the gate electrode layer 140 and then patterned to form a hard mask M 1 with openings O 1 using suitable photolithography and etching processes, as example.
  • An exemplary photolithography process may include photoresist coating (e.g., spin-on coating), soft baking, mask aligning, exposure, post-exposure baking, developing the photoresist, rinsing, drying (e.g., hard baking), other suitable processes, or combinations thereof, so as to form a patterned photoresist mask over the hard mask layer.
  • the hard mask layer can be patterned using the patterned photoresist mask as an etch mask, so that the pattern of the patterned photoresist mask can be transferred to the hard mask M 1 .
  • the hard mask M 1 is TiN, SiN, amorphous silicon, the like, or combinations thereof.
  • openings O 2 corresponding to the openings O 1 can be etched into the gate electrode layer 140 , so that the gate electrode layer 140 can be patterned into a plurality of gate electrodes 142 , 144 and 146 separated from each other.
  • the resulting structure is illustrated in FIG. 6 . Due to nature of etch operation, the openings O 2 taper toward the underlying second conductive layer 130 , thus creating gate electrodes 142 , 144 and 146 in conical frustum shapes. Therefore, the gate electrodes 142 , 144 and 146 can be referred to as conical frustum-shaped gate electrodes in some embodiments.
  • the gate electrode layer 140 is patterned by a suitable etching process, such as dry etching, wet etching or combinations thereof.
  • the dry etching process suitable for patterning the gate electrode layer 140 may use an etching gas such as CF 4 , Ar, NF 3 , Cl 2 , He, HBr, O 2 , N 2 , CH 3 F, CH 4 , CH 2 F 2 , or combinations thereof.
  • an etching gas such as CF 4 , Ar, NF 3 , Cl 2 , He, HBr, O 2 , N 2 , CH 3 F, CH 4 , CH 2 F 2 , or combinations thereof.
  • openings O 3 corresponding to the openings O 2 can be etched into the second conductive layer 130 , the dielectric layer 120 and the first conductive layer 110 .
  • the resulting structure is shown in FIG. 7 .
  • the result of the etching step is that the second conductive layer 130 is patterned into gate pickup regions 132 , 134 and 136 respectively under the gate electrodes 142 , 144 and 146 , the dielectric layer 120 is patterned into dielectric layers 122 , 124 and 126 respectively under the gate pickup regions 132 , 134 and 136 , and the first conductive layer 110 is patterned into source/drain pickup regions 112 , 114 and 116 respectively under the dielectric layers 122 , 124 and 126 .
  • the gate pickup regions 132 , 134 and 136 are separated by the openings O 3
  • the dielectric layers 122 , 124 and 126 are separated by the openings O 3
  • the source/drain pickup regions 112 , 114 and 116 are separated by the openings O 3 as well.
  • the etching step includes one or more etching processes. For example, a first etching process is carried out to pattern the second conductive layer 130 and is stopped by the dielectric layer 120 (also referred to as ESL), and a second etching process is then carried out to pattern the dielectric layer 120 and the underlying first conductive layer 110 .
  • the etching process may be, for example, Reactive Ion Etching (RIE), chemical etching, the like, or combinations thereof. Other patterning techniques may be used.
  • the hard mask M 1 is removed using suitable etching techniques after the etching step. In some other embodiments, the hard mask M 1 is consumed during the etching step, and top surfaces of the gate electrodes 142 , 144 and 146 are exposed.
  • the openings O 3 taper toward the underlying substrate 100 , thus creating the source/drain pickup regions 112 , 114 and 116 in conical frustum shapes.
  • the source/drain pickup regions 112 , 114 and 116 taper in a direction farther away from the substrate 100 .
  • the source/drain pickup regions 112 , 114 and 116 include sloped sidewalls 112 s , 114 s and 116 s inclined with respect to a top surface of the substrate 100 .
  • Such conical frustum shapes may be beneficial to increase contact area between the source/drain pickup region and a subsequently formed source/drain contact.
  • FIG. 8 Another hard mask layer M 2 is formed over the gate electrode layer 140 , and a photoresist layer is formed over the hard mask layer M 2 and then patterned to form a photoresist mask P 1 with openings O 4 using suitable photolithography techniques.
  • An exemplary photolithography process may include photoresist coating (e.g., spin-on coating), soft baking, mask aligning, exposure, post-exposure baking, developing the photoresist, rinsing, drying (e.g., hard baking), other suitable processes, or combinations thereof, so as to form a patterned photoresist mask P 1 over the hard mask layer M 2 .
  • the hard mask M 2 is TiN, SiN, amorphous silicon, the like, or combinations thereof.
  • the hard mask layer M 2 can be patterned using the photoresist mask P 1 as a mask, and an etching process is performed to remove portions of the gate electrodes 142 , 144 and 146 using the patterned hard mask layer M 2 as a mask, so that geometries of gate electrodes 142 , 144 and 146 can be modified to form gate electrodes 142 ′, 144 ′ and 146 ′ with desired conical frustum shapes.
  • the hard mask layer M 2 and the photoresist mask P 1 are removed. The resulting structure is illustrated in FIG. 9 .
  • the etching process suitable for modifying geometries of the gate electrode layer 140 may be dry etching using an etching gas such as CF 4 , Ar, NF 3 , Cl 2 , He, HBr, O 2 , N 2 , CH 3 F, CH 4 , CH 2 F 2 , or combinations thereof.
  • an etching gas such as CF 4 , Ar, NF 3 , Cl 2 , He, HBr, O 2 , N 2 , CH 3 F, CH 4 , CH 2 F 2 , or combinations thereof.
  • the gate electrodes 142 ′, 144 ′ and 146 ′ taper in a direction farther away from the substrate 100 .
  • the gate electrodes 142 ′, 144 ′ and 146 ′ include sloped sidewalls 142 s , 146 s and 146 s inclined with respect to bottom surfaces 142 b , 144 b and 146 b of the gate electrodes 142 ′, 144 ′ and 146 ′.
  • the sloped sidewall 142 s coincides with the bottom surface 142 b , and they define an acute angle ⁇ 1 therebetween, so that a top surface 142 t of the gate electrode 142 ′ has a width less than a width of the bottom surface 142 b .
  • the sloped sidewall 144 s and the bottom surface 144 b define an acute angle ⁇ 2 therebetween, so that a top surface 144 t of the gate electrode 144 ′ has a width less than a width of the bottom surface 144 b .
  • the sloped sidewall 146 s and the bottom surface 146 b define an acute angle ⁇ 3 therebetween, so that a top surface 146 t of the gate electrode 146 ′ has a width less than a width of the bottom surface 146 b.
  • the acute angles ⁇ 1 , ⁇ 2 and ⁇ 3 may be in a range from about 60 degrees to about 90 degrees, so that the gate electrodes 142 ′, 144 ′ and 146 ′ can be formed in desired conical frustum shapes.
  • the acute angles ⁇ 1 , ⁇ 2 and ⁇ 3 are different from each other.
  • the acute angles ⁇ 1 , ⁇ 2 and ⁇ 3 are the same.
  • the acute angles ⁇ 1 , ⁇ 2 and ⁇ 3 can be controlled by etching conditions, such as etching gas, temperature, over etching (OE) time, the like, or combinations thereof.
  • the conical frustum-shaped gate electrodes 142 ′, 144 ′ and 146 ′ include sloped sidewalls 142 s , 144 s and 146 s rather than vertical sidewalls, gate contacts formed in a subsequent process can land either on the sloped sidewalls 142 s , 144 s , 146 s or on the gate pickup regions 132 , 134 , 136 .
  • the conical frustum-shaped gate electrodes 142 ′, 144 ′, and 146 ′ can provide improved flexibility for forming gate contacts.
  • the sloped sidewalls 142 s , 144 s , and 146 s can provide increased contact area compared to horizontal top surfaces of the gate pickup regions 132 , 134 and 134 , and hence the conical frustum-shaped gate electrodes 142 ′, 144 ′, and 146 ′ may also benefit reduction of the contact resistance of the gate contacts.
  • FIG. 10 Another hard mask layer M 3 is formed over the substrate 100 , and a photoresist layer is formed over the hard mask layer M 3 and then patterned to form a photoresist mask P 2 with openings O 5 using suitable photolithography techniques as discussed above.
  • the hard mask layer M 3 is TiN, SiN, amorphous silicon, the like, or combinations thereof.
  • the hard mask layer M 3 can be patterned using the photoresist mask P 2 as a mask, and an etching process is performed to remove portions of the gate pickup regions 132 , 134 and 136 using the patterned hard mask layer M 3 as a mask, so that gate pickup regions 132 , 134 and 136 can be modified to form gate pickup regions 132 ′, 134 ′ and 136 ′ with desired sizes.
  • the patterned hard mask layer M 3 and the photoresist mask P 2 are then removed.
  • the resulting structure is illustrated in FIG. 11 .
  • the etching process may be an RIE process, a chemical etching process, the like, or combinations thereof.
  • a dielectric layer 150 is formed on the gate electrodes 142 ′, 144 ′, 146 ′, the gate pickup regions 132 ′, 134 ′ 136 ′, the dielectric layers 122 , 124 , 126 , the source/drain pickup regions 112 , 114 , 116 and the substrate 100 .
  • the dielectric layer 150 can be formed by an appropriate deposition technique, such as CVD, PECVD, spin-on, the like, or combinations thereof, and can be formed of a dielectric material such as porous dielectric, silicon oxide, PSG, BSG, BPSG, USG, nitride, oxynitride, the like, or combinations thereof.
  • a chemical mechanical polish (CMP) process may be then performed to planarize the dielectric layer 150 as a dielectric layer 150 ′ with a substantially planar top surface.
  • CMP chemical mechanical polish
  • the resulting structure is shown in FIG. 13 .
  • the planarized dielectric layer 150 ′ has a top surface substantially level with top surfaces 142 t , 144 t and 146 t of the gate electrodes 142 ′, 144 ′ and 146 ′.
  • the gate electrodes 142 ′, 144 ′ and 146 ′ are etched to form through holes O 6 , as illustrated in FIG. 14 .
  • One through hole O 6 is formed through the gate electrode 142 ′, the gate pickup region 132 ′, the dielectric layer 122 to the source/drain pickup region 112 .
  • Another through hole O 6 is formed through the gate electrode 144 ′, the gate pickup region 134 ′, the dielectric layer 124 to the source/drain pickup region 114 .
  • Another through hole O 6 is formed through the gate electrode 146 ′, the gate pickup region 136 ′, the dielectric layer 126 to the source/drain pickup region 116 .
  • the through hole O 6 may be formed by using an acceptable photolithography and etching process, such as RIE, isotropic plasma etching, or the like.
  • a gate dielectric layer 160 is blanket formed over the dielectric layer 150 ′ and into the through hole O 6 .
  • the gate dielectric layer 160 includes substantially vertical portions lining sidewalls of the through holes O 6 and substantially horizontal portions in contact with exposed portions of the source/drain pickup regions 112 , 114 , 116 and the top surface of the dielectric layer 150 ′.
  • the gate dielectric layer 160 comprises silicon oxide, silicon nitride, the like, or multilayers thereof.
  • the gate dielectric layer 160 comprises a high-k dielectric material, and in these embodiments, the gate dielectric 160 may have a k value greater than about 7.0, and may include a metal oxide or a silicate of Hf, Al, Zr, La, Mg, Ba, Ti, Zr, Lu, the like, or combinations thereof.
  • the gate dielectric layer 160 may be deposited by ALD, Molecular-Beam Deposition (MBD), PECVD, the like, or combinations thereof.
  • a temperature during the ALD process may be in a range from about 177° C. to about 325° C.
  • an appropriate etching process such as an anisotropic etch like plasma etching, RIE, or the like, can be used to remove substantially horizontal portions of the gate dielectric layer 160 such that substantially vertical portions of the gate dielectric layer 160 remain in the through holes O 6 to form the gate dielectric layers 162 , 164 and 166 along the sidewalls of the through holes O 6 , respectively.
  • an appropriate etching process such as an anisotropic etch like plasma etching, RIE, or the like, can be used to remove substantially horizontal portions of the gate dielectric layer 160 such that substantially vertical portions of the gate dielectric layer 160 remain in the through holes O 6 to form the gate dielectric layers 162 , 164 and 166 along the sidewalls of the through holes O 6 , respectively.
  • RIE reactive etching
  • the metal containing material may be, for example, CoB, CoP, WB, WB, In 2 O 3 , the like, or combinations thereof.
  • the metal-containing material can be deposited using a bottom-up deposition process, such as electroless deposition (ELD), plasma enhanced ALD (PEALD), the like, or combinations thereof.
  • ELD electroless deposition
  • PEALD plasma enhanced ALD
  • the ELD process can provide a low process temperature (e.g. ranging from about 45° C.
  • a minimal diameter of the through hole O 6 that can be filled using the ELD process is about 10 nm.
  • the metal-containing material can be doped with an n-type dopant or a p-type dopant during the bottom-up deposition of the metal-containing material, e.g., in situ. Therefore, in some embodiments, bottom, middle and top regions of each nanowire can have different dopant concentrations because they are formed in sequence.
  • each nanowire and corresponding one of gate electrodes in combination form a junctionless transistor.
  • the nanowire 170 surrounded by the gate electrode 142 ′ includes source/drain regions 172 and 176 at bottom and top ends thereof and a channel region 174 between the source/drain regions 172 and 176 , the source/drain regions 172 , 176 and the channel region 174 may comprise the same n-type dopant (e.g. phosphorus) or p-type dopant (e.g. boron), and there is no P-N junction or N-P junction between the source/drain regions 172 , 176 and the channel region 174 .
  • n-type dopant e.g. phosphorus
  • p-type dopant e.g. boron
  • the junctionless transistor may be in the “ON” state when fabricated, and the gate electrode 142 ′ of the junction transistor can be used to provide an electric field that is able to deplete the channel region 174 thereby shutting off the transistor.
  • the dopant concentration of the source/drain regions 172 , 176 is different from the dopant concentration of the channel region 174 so as to improve performance of the junctionless transistor.
  • the dopant concentration of the source/drain regions 172 , 176 can be higher than the dopant concentration of the channel region 174 , and vice versa.
  • the nanowire 180 surrounded by the gate electrode 144 ′ includes source/drain regions 182 and 186 at bottom and top ends thereof and a channel region 184 between the source/drain regions 182 and 186 , the source/drain regions 182 , 186 and the channel region 184 may comprise the same n-type or p-type dopant, and there is no P-N junction or N-P junction between the source/drain regions 182 , 186 and the channel region 184 .
  • the nanowire 190 surrounded by the gate electrode 146 ′ includes source/drain regions 192 and 196 at bottom and top ends thereof and a channel region 194 between the source/drain regions 192 and 196 , the source/drain regions 192 , 196 and the channel region 194 may comprise the same n-type or p-type dopant, and there is no P-N junction or N-P junction between the source/drain regions 192 , 196 and the channel region 194 .
  • the sloped sidewall 142 s of the gate electrode 142 ′ is inclined with respect to a substantially vertical sidewall 170 s of the nanowire 170 .
  • the substantially vertical sidewall 170 s is non-parallel to the sloped sidewall 142 s .
  • the sloped sidewall 142 s is oriented at an acute angle relative the substantially vertical sidewall 170 s of the nanowire 170 .
  • the sloped sidewalls of the gate electrodes 144 ′ and 146 ′ are inclined with respect to sidewalls of the respective nanowires 180 and 190 .
  • Such orientation of the sloped sidewalls of gate electrodes 142 ′, 144 ′ and 146 ′ provides either improved flexibility of forming gate contacts or increased contact area for the gate contacts.
  • the gate dielectric layer 162 is between the gate electrode 142 ′ and the nanowire 170 .
  • the nanowire 170 , the gate dielectric layer 162 and the gate electrode 142 are concentrically arranged, wherein the gate dielectric layer 162 surrounds and in contact with the nanowire 170 , and the gate electrode 142 ′ surrounds and in contact with the gate dielectric layer 162 .
  • the gate electrode layer 142 ′ has an inner sidewall 142 i between the sloped sidewall 142 s and the nanowire 170 .
  • the inner sidewall 142 i is substantially parallel to the sidewall 170 s of the nanowire 170 , and hence the sloped sidewall 142 s is inclined with respect to the inner sidewall 142 i .
  • the inner sidewall 142 i of the gate electrode 142 ′ is in contact with the gate dielectric layer 162 , and hence the inner sidewall 142 i can also be referred to as an outer sidewall of the gate dielectric layer 162 that is non-parallel to the sloped sidewall 142 s .
  • the nanowire 180 , the gate dielectric layer 164 and the gate electrode 144 ′ may be arranged in a similar fashion as described above, and the nanowire 190 , the gate dielectric layer 166 and the gate electrode 146 ′ may be also arranged in a similar fashion as described above.
  • the metal-containing material may overfill the through holes O 6 to form spherical structures P 1 protruding above the dielectric layer 150 ′.
  • the spherical structures P 1 are removed using a CMP process, as illustrated in FIG. 17 . In some other embodiments, these spherical structures P 1 remain in a final product.
  • FIG. 17 Another mask layer is formed over the dielectric layer 150 ′ and then patterned to form a mask M 4 with openings O 7 using suitable photolithography and/or etching processes, as example.
  • the mask M 4 is photoresist, TiN, SiN, amorphous silicon, the like, or combinations thereof.
  • contact holes O 8 corresponding to the openings O 7 can be etched into the dielectric layer 150 ′.
  • the resulting structure is illustrated in FIG. 18 .
  • Top surface 132 t of the gate pickup region 132 ′ and the sloped sidewall 142 s of the gate electrode 142 ′ are exposed by one contact hole O 8 .
  • Opposed sloped sidewalls of the neighboring source/drain pickup regions 112 and 114 are exposed by another contact hole O 8 .
  • Top surface of the source/drain pickup region 116 is exposed by another contact hole O 8 .
  • Top surface of the gate pickup region 136 ′ is exposed by another contact hole O 8 .
  • gate contacts 200 , 220 , 240 and source/drain contacts 210 and 230 are formed in the contact holes O 8 , respectively, and the resulting structure is shown in FIG. 19 .
  • the gate contacts 200 , 220 , 240 and source/drain contacts 210 and 230 may be, for example, ruthenium, bismuth, tungsten, the like, or combinations thereof.
  • the gate contacts 200 , 220 , 240 and source/drain contacts 210 and 230 can be deposited using a bottom-up deposition process, such as electroless deposition (ELD), plasma enhanced ALD (PEALD), the like, or combinations thereof.
  • ELD electroless deposition
  • PEALD plasma enhanced ALD
  • the ELD process can provide a low process temperature (e.g. ranging from about 30° C. to about 100° C.), an intrinsic process selectivity and conformal bottom-up deposition to reduce gap-fill challenge, so that the contact holes O 8 can be properly filled by the metal-containing material.
  • the material may overfill the contact holes O 8 to form spherical structures P 2 protruding above the dielectric layer 150 ′.
  • these spherical structures P 2 remain in a final product, as illustrated in FIG. 21 .
  • the spherical structures P 2 are removed using a CMP process.
  • the gate contact 200 is in contact with the gate pickup region 132 ′ and the sloped sidewall 142 s of the gate electrode 142 ′. Therefore, the sloped sidewall 142 s can provide additional region on which the gate contact 200 lands. Moreover, the sloped sidewall 142 s can provide increased contact area for the gate contact 200 to reduce the contact resistance.
  • the source/drain contact 210 is in contact with opposed sloped sidewalls of the neighboring source/drain pickup regions 112 and 114 , and hence the contact area can be increased and the contact resistance is thus reduced.
  • the gate contact 220 is in contact with the gate pickup region 134 ′ and the sloped sidewall 144 s of the gate electrode 144 ′.
  • the source/drain contact 230 is in contact with the top surface of the source/drain pickup region 116 .
  • the gate contact 240 is in contact with the top surface of the gate pickup region 136 ′.
  • the gate pickup region 132 ′ is under the in contact with the gate electrode 142 ′, and the sloped sidewall 142 s is inclined with respect to the top surface 132 t of the gate pickup regions 132 ′.
  • the gate contact 200 is in contact with the sloped sidewall 142 s of the gate electrode 142 ′ and the top surface 132 t of the gate pickup region 132 ′.
  • the gate pickup region 132 ′ laterally extends across the sloped sidewall 142 s of the gate electrode 142 ′ and is in contact with a bottom edge of the sloped sidewall 142 s , and hence the gate pickup region 132 ′ has a portion not overlapped with the gate electrode 142 ′.
  • the gate contact 200 is in contact with this portion of the gate pickup region 132 ′ and the sloped sidewall 142 s of the gate electrode 142 ′.
  • the dielectric layer 150 ′ has various portions each between a gate electrode and a corresponding one of the gate contacts.
  • the dielectric layer 150 ′ includes a dielectric structure 152 with opposite first and second sidewalls 1521 and 1522 .
  • the first sidewall 1511 is in contact with the sloped sidewall 146 s of the gate electrode 146 ′, and the second sidewall 1522 is in contact with the gate contact 240 .
  • the first sidewall 1511 is inclined with the second sidewall 1522 due to incline of the sloped sidewall 146 s.
  • the source/drain contact 210 is in contact with the source/drain pickup region 112 that is under the nanowire 170 and electrically isolated from the gate electrode 142 ′.
  • the dielectric layer 150 ′ includes a dielectric structure 154 between the sloped sidewall 142 s of the gate electrode 142 ′ and the source/drain contact 210 .
  • the dielectric structure 154 has opposite first and second sidewalls 1541 and 1542 .
  • the first sidewall 1541 is in contact with the sloped sidewall 142 s of the gate electrode 142 ′, and the second sidewall 1542 is in contact with the source/drain contact 210 .
  • the first sidewall 1541 is inclined with the second sidewall 1542 due to incline of the sloped sidewall 142 s.
  • a third conductive layer 250 is formed over the dielectric layer 150 ′, the contacts 200 , 210 , 220 , 230 , 240 and the nanowires 170 , 180 and 190 using suitable deposition techniques.
  • the third conductive layer 250 may be copper, tungsten, the like, or combinations thereof.
  • Another mask layer is formed over the dielectric layer 150 ′ and then patterned to form a mask M 5 with openings O 9 using suitable photolithography and/or etching processes, as example.
  • the mask M 5 is photoresist, TiN, SiN, amorphous silicon, the like, or combinations thereof.
  • openings O 10 corresponding to the openings O 9 can be etched into the third conductive layer 150 , so that the third conductive layer 250 can be patterned into source/drain contacts 252 , 254 and 256 respectively on top ends of the nanowires 170 , 180 and 190 .
  • the resulting structure is illustrated in FIG. 21 .
  • the present disclosure offers advantages. It is understood, however, that other embodiments may offer additional advantages, and not all advantages are necessarily disclosed herein, and that no particular advantage is required for all embodiments.
  • One advantage is that the conical frustum-shaped gate electrodes with sloped sidewalls can provide improved flexibility for forming gate contacts.
  • Another advantage is that the conical frustum-shaped gate electrodes with sloped sidewalls can provide increased contact area for gate contacts.
  • Yet another advantage is that the conical frustum-shaped source/drain pickup regions with sloped sidewall can provide increased contact area for source/drain contacts.
  • a device comprises a nanowire, a gate dielectric layer and a gate electrode.
  • the nanowire has a sidewall.
  • the gate dielectric layer surrounds the nanowire.
  • the gate electrode surrounds the gate dielectric layer and is separated from the nanowire.
  • the gate electrode comprises a sloped sidewall inclined with respect to the sidewall of the nanowire.
  • a device comprises a conical frustum-shaped gate electrode, a nanowire and a gate dielectric layer.
  • the nanowire extends through the conical frustum-shaped gate electrode.
  • the nanowire comprises a sidewall non-parallel to an outer sidewall of the conical frustum-shaped gate electrode.
  • the gate dielectric layer is between the conical frustum-shaped gate electrode and the nanowire.
  • a method comprises forming a gate electrode layer over a substrate, patterning the gate electrode layer to form a conical frustum-shaped gate electrode, forming a through hole in the conical frustum-shaped gate electrode, forming a gate dielectric layer lining a sidewall of the through hole in the conical frustum-shaped gate electrode, and forming a nanowire in the through hole.

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Materials Engineering (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Thin Film Transistor (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

A device includes a nanowire, a gate dielectric layer and a gate electrode. The nanowire has a sidewall. The gate dielectric layer surrounds the nanowire. The gate electrode surrounds the gate dielectric layer and separated from the nanowire. The gate electrode comprises a sloped sidewall inclined with respect to the sidewall of the nanowire.

Description

BACKGROUND
Semiconductor devices are used in a large number of electronic devices, such as computers, cell phones, and others. Semiconductor devices comprise integrated circuits that are formed on semiconductor wafers by depositing many types of thin films of material over the semiconductor wafers, and patterning the thin films of material to form the integrated circuits. Integrated circuits include field-effect transistors (FETs) such as metal oxide semiconductor (MOS) transistors.
One of the goals of the semiconductor industry is to continue shrinking the size and increasing the speed of individual FETs. To achieve these goals, gate-all-around FETs were developed. The gate-all-around FETs are similar in concept to FETs except that the gate material surrounds the channel region on all sides.
BRIEF DESCRIPTION OF THE DRAWINGS
Aspects of the present disclosure are best understood from the following detailed description when read with the accompanying figures. It is noted that, in accordance with the standard practice in the industry, various features are not drawn to scale. In fact, the dimensions of the various features may be arbitrarily increased or reduced for clarity of discussion.
FIGS. 1-21 are cross-sectional views of a method of fabricating a device in accordance with some embodiments of the present disclosure.
DETAILED DESCRIPTION
The following disclosure provides many different embodiments, or examples, for implementing different features of the provided subject matter. Specific examples of components and arrangements are described below to simplify the present disclosure. These are, of course, merely examples and are not intended to be limiting. For example, the formation of a first feature over or on a second feature in the description that follows may include embodiments in which the first and second features are formed in direct contact, and may also include embodiments in which additional features may be formed between the first and second features, such that the first and second features may not be in direct contact. In addition, the present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.
Further, spatially relative terms, such as “beneath,” “below,” “lower,” “above,” “upper” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures. The spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. The apparatus may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein may likewise be interpreted accordingly.
Gate all around (GAA) transistor structures may be patterned by any suitable method. For example, the structures may be patterned using one or more photolithography processes, including double-patterning or multi-patterning processes. Generally, double-patterning or multi-patterning processes combine photolithography and self-aligned processes, allowing patterns to be created that have, for example, pitches smaller than what is otherwise obtainable using a single, direct photolithography process. For example, in one embodiment, a sacrificial layer is formed over a substrate and patterned using a photolithography process. Spacers are formed alongside the patterned sacrificial layer using a self-aligned process. The sacrificial layer is then removed, and the remaining spacers may then be used to pattern the GAA structure.
FIGS. 1-21 are cross-sectional views of a method of fabricating a device in accordance with some embodiments of the present disclosure. As illustrated in FIG. 1, the method begins by receiving a substrate 100. The substrate 100 can be any appropriate support structure, and can include a semiconductor substrate. In some embodiments, the substrate 100 is a semiconductor substrate, and in other embodiments, the substrate 100 includes a semiconductor substrate with various dielectric layers, e.g., inter-layer dielectric (ILD) layers and/or inter-metallization dielectric (IMD) layers, thereon. Some examples will be explained in more detail with reference to subsequent figures. A semiconductor substrate can be a bulk semiconductor substrate, a semiconductor-on-insulator (SOI) substrate, multi-layered or gradient substrates, or the like. The semiconductor of the semiconductor substrate may include any semiconductor material, such as elemental semiconductor like silicon, germanium, or the like; a compound or alloy semiconductor including SiC, GaAs, GaP, InP, InAs, indium antimonide, SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP, and/or GaInAsP; the like; or combinations thereof. The semiconductor substrate may further be a wafer, for example.
Reference is made to FIG. 2. A first conductive layer 110 is formed over the substrate 100 to form source/drain pickup regions in subsequent processes. The first conductive layer 110 can be any acceptable conductive material, and some embodiments contemplate that the first conductive layer 110 is metal, a metal-semiconductor compound, the like, or combinations thereof. Example metals include copper, gold, cobalt, titanium, aluminum, nickel, tungsten, titanium nitride (TiN), the like, or combinations thereof. Example metal-semiconductor compounds include nickel silicide (NiSi), titanium silicide (TiSi), tungsten silicide (WSi), cobalt silicide (CoSi), titanium germanide (TiGe), NiSiGe, NiGe, the like, or combinations thereof. The first conductive layer 110 can be formed by depositing a layer of conductive material on the underlying substrate 100. In some embodiments where the conductive material is metal, the metal can be deposited on the underlying substrate 100 by Physical Vapor Deposition (PVD), Atomic Layer Deposition (ALD), Chemical Vapor Deposition (CVD), the like, or combinations thereof. In some embodiments where the conductive material is a metal-semiconductor compound, a semiconductor material, such as silicon like polysilicon, polygermanium, or the like, can be deposited on the underlying substrate 100 by CVD, Plasma Enhanced CVD (PECVD), Low-Pressure CVD (LPCVD), evaporation, the like, or combinations thereof, and a metal can be deposited, such as discussed above, on the semiconductor material. An anneal process can then be performed to react the semiconductor material with the metal to form the semiconductor-metal compound.
Reference is made to FIG. 3. A dielectric layer 120 is formed over the first conductive layer 110 and a second conductive layer 130 is then formed over the dielectric layer 120. Therefore, the first and second conductive layers 110 and 130 can be electrically isolated by the dielectric layer 120. In some embodiments, the dielectric layer 120 and the overlying second conductive layer 130 have different etch resistance properties. In some embodiments, the dielectric layer 120 is made of a material which has higher etch resistance to a subsequent etching process performed to the second conductive layer 130 than that of the second conductive layer 130. Therefore, the subsequent process performed to the second conductive layer 130 can be slowed down or even stopped by the dielectric layer 120, and hence the dielectric layer 120 can act as an etch stop layer (ESL) in the subsequent etching process. In some embodiments, the dielectric layer 120 includes aluminum oxynitride (AlON), aluminum oxide (AlOx), oxygen-doped silicon carbide (SiC:O, also known as ODC), silicon nitride (SiN), the like, or combinations thereof. For example, the dielectric layer 120 may be an AlON layer with a thickness in a range from about 10 angstroms to about 20 angstroms, an ODC layer with a thickness in a range from about 10 angstroms to about 20 angstroms, or an AlOx layer with a thickness in a range from about 30 angstroms to about 50 angstroms, or the like.
The second conductive layer 130 can be any acceptable conductive material. In some embodiments, the second conductive layer 130 includes a conductive material the same as the first conductive layer 110. In other embodiments, the second conductive layer 130 includes a conductive material different from the first conductive layer 110. Some embodiments contemplate that the second conductive layer 130 is metal, a metal-semiconductor compound, the like, or combinations thereof. Example metals include copper, gold, cobalt, titanium, aluminum, nickel, tungsten, titanium nitride (TiN), the like, or combinations thereof. Example metal-semiconductor compounds include nickel silicide (NiSi), titanium silicide (TiSi), tungsten silicide (WSi), cobalt silicide (CoSi), titanium germanide (TiGe), NiSiGe, NiGe, the like, or combinations thereof. The second conductive layer 130 can be formed by depositing a layer of conductive material on the underlying dielectric layer 120. In some embodiments where the conductive material is metal, the metal can be deposited on the underlying dielectric layer 120 by PVD, ALD, CVD, the like, or combinations thereof. In some embodiments where the conductive material is a metal-semiconductor compound, a semiconductor material, such as silicon like polysilicon, polygermanium, or the like, can be deposited on the dielectric layer 120 by CVD, PECVD, LPCVD, evaporation, the like, or combinations thereof, and a metal can be deposited, such as discussed above, on the semiconductor material. An anneal can then be performed to react the semiconductor material with the metal to form the semiconductor-metal compound.
Reference is made to FIG. 4. A gate electrode layer 140 is formed over the second conductive layer 130. The gate electrode layer 140 can be any acceptable conductive material, such as a metal-containing material, a metal-semiconductor compound, doped semiconductor, the like, or combinations thereof. In the illustration, the gate electrode layer 140 is a doped semiconductor, such as an n-doped polysilicon or a p-doped polysilicon. In some embodiments, the gate electrode layer 140 is undoped polysilicon. In some embodiments, the gate electrode layer 140 is a metal-containing material, such as TiN, TaN, TaC, Co, Ru, Al, W, the like, or combinations thereof. The gate electrode layer 140 can be formed by depositing a layer of conductive material on the second conductive layer 130 by PVD, ALD, CVD, the like, or combinations thereof. As a result of the deposition, the gate electrode layer 140 is in contact with the second conductive layer 130, and they are thus electrically coupled or electrically connected to each other.
Reference is made to FIG. 5. A hard mask layer is formed over the gate electrode layer 140 and then patterned to form a hard mask M1 with openings O1 using suitable photolithography and etching processes, as example. An exemplary photolithography process may include photoresist coating (e.g., spin-on coating), soft baking, mask aligning, exposure, post-exposure baking, developing the photoresist, rinsing, drying (e.g., hard baking), other suitable processes, or combinations thereof, so as to form a patterned photoresist mask over the hard mask layer. After the photolithography process, the hard mask layer can be patterned using the patterned photoresist mask as an etch mask, so that the pattern of the patterned photoresist mask can be transferred to the hard mask M1. In some embodiments, the hard mask M1 is TiN, SiN, amorphous silicon, the like, or combinations thereof.
With the pattern of the hard mask M1 including the openings O1 is created, openings O2 corresponding to the openings O1 can be etched into the gate electrode layer 140, so that the gate electrode layer 140 can be patterned into a plurality of gate electrodes 142, 144 and 146 separated from each other. The resulting structure is illustrated in FIG. 6. Due to nature of etch operation, the openings O2 taper toward the underlying second conductive layer 130, thus creating gate electrodes 142, 144 and 146 in conical frustum shapes. Therefore, the gate electrodes 142, 144 and 146 can be referred to as conical frustum-shaped gate electrodes in some embodiments. In some embodiments, the gate electrode layer 140 is patterned by a suitable etching process, such as dry etching, wet etching or combinations thereof. In some embodiments, the dry etching process suitable for patterning the gate electrode layer 140 may use an etching gas such as CF4, Ar, NF3, Cl2, He, HBr, O2, N2, CH3F, CH4, CH2F2, or combinations thereof. After patterning the gate electrode layer 140, portions of the second conductive layer 130 are exposed by the openings O2.
With the pattern of the gate electrode layer 140 including the openings O2 is created, openings O3 corresponding to the openings O2 can be etched into the second conductive layer 130, the dielectric layer 120 and the first conductive layer 110. The resulting structure is shown in FIG. 7. The result of the etching step is that the second conductive layer 130 is patterned into gate pickup regions 132, 134 and 136 respectively under the gate electrodes 142, 144 and 146, the dielectric layer 120 is patterned into dielectric layers 122, 124 and 126 respectively under the gate pickup regions 132, 134 and 136, and the first conductive layer 110 is patterned into source/ drain pickup regions 112, 114 and 116 respectively under the dielectric layers 122, 124 and 126. The gate pickup regions 132, 134 and 136 are separated by the openings O3, the dielectric layers 122, 124 and 126 are separated by the openings O3, and the source/ drain pickup regions 112, 114 and 116 are separated by the openings O3 as well.
In some embodiments, the etching step includes one or more etching processes. For example, a first etching process is carried out to pattern the second conductive layer 130 and is stopped by the dielectric layer 120 (also referred to as ESL), and a second etching process is then carried out to pattern the dielectric layer 120 and the underlying first conductive layer 110. The etching process may be, for example, Reactive Ion Etching (RIE), chemical etching, the like, or combinations thereof. Other patterning techniques may be used. In some embodiments, the hard mask M1 is removed using suitable etching techniques after the etching step. In some other embodiments, the hard mask M1 is consumed during the etching step, and top surfaces of the gate electrodes 142, 144 and 146 are exposed.
Due to nature of the one or more etching processes, the openings O3 taper toward the underlying substrate 100, thus creating the source/ drain pickup regions 112, 114 and 116 in conical frustum shapes. For example, the source/ drain pickup regions 112, 114 and 116 taper in a direction farther away from the substrate 100. As illustrated, the source/ drain pickup regions 112, 114 and 116 include sloped sidewalls 112 s, 114 s and 116 s inclined with respect to a top surface of the substrate 100. Such conical frustum shapes may be beneficial to increase contact area between the source/drain pickup region and a subsequently formed source/drain contact.
Reference is made to FIG. 8. Another hard mask layer M2 is formed over the gate electrode layer 140, and a photoresist layer is formed over the hard mask layer M2 and then patterned to form a photoresist mask P1 with openings O4 using suitable photolithography techniques. An exemplary photolithography process may include photoresist coating (e.g., spin-on coating), soft baking, mask aligning, exposure, post-exposure baking, developing the photoresist, rinsing, drying (e.g., hard baking), other suitable processes, or combinations thereof, so as to form a patterned photoresist mask P1 over the hard mask layer M2. In some embodiments, the hard mask M2 is TiN, SiN, amorphous silicon, the like, or combinations thereof.
After the photolithography process, the hard mask layer M2 can be patterned using the photoresist mask P1 as a mask, and an etching process is performed to remove portions of the gate electrodes 142, 144 and 146 using the patterned hard mask layer M2 as a mask, so that geometries of gate electrodes 142, 144 and 146 can be modified to form gate electrodes 142′, 144′ and 146′ with desired conical frustum shapes. The hard mask layer M2 and the photoresist mask P1 are removed. The resulting structure is illustrated in FIG. 9. In some embodiments, the etching process suitable for modifying geometries of the gate electrode layer 140 may be dry etching using an etching gas such as CF4, Ar, NF3, Cl2, He, HBr, O2, N2, CH3F, CH4, CH2F2, or combinations thereof.
In the illustration, the gate electrodes 142′, 144′ and 146′ taper in a direction farther away from the substrate 100. As illustrated, the gate electrodes 142′, 144′ and 146′ include sloped sidewalls 142 s, 146 s and 146 s inclined with respect to bottom surfaces 142 b, 144 b and 146 b of the gate electrodes 142′, 144′ and 146′. For example, the sloped sidewall 142 s coincides with the bottom surface 142 b, and they define an acute angle θ1 therebetween, so that a top surface 142 t of the gate electrode 142′ has a width less than a width of the bottom surface 142 b. Similarly, the sloped sidewall 144 s and the bottom surface 144 b define an acute angle θ2 therebetween, so that a top surface 144 t of the gate electrode 144′ has a width less than a width of the bottom surface 144 b. In a similar fashion, the sloped sidewall 146 s and the bottom surface 146 b define an acute angle θ3 therebetween, so that a top surface 146 t of the gate electrode 146′ has a width less than a width of the bottom surface 146 b.
In some embodiments, the acute angles θ1, θ2 and θ3 may be in a range from about 60 degrees to about 90 degrees, so that the gate electrodes 142′, 144′ and 146′ can be formed in desired conical frustum shapes. In some embodiments, the acute angles θ1, θ2 and θ3 are different from each other. In some embodiments, the acute angles θ1, θ2 and θ3 are the same. In some embodiments, the acute angles θ1, θ2 and θ3 can be controlled by etching conditions, such as etching gas, temperature, over etching (OE) time, the like, or combinations thereof.
Since the conical frustum-shaped gate electrodes 142′, 144′ and 146′ include sloped sidewalls 142 s, 144 s and 146 s rather than vertical sidewalls, gate contacts formed in a subsequent process can land either on the sloped sidewalls 142 s, 144 s, 146 s or on the gate pickup regions 132, 134, 136. As a result, the conical frustum-shaped gate electrodes 142′, 144′, and 146′ can provide improved flexibility for forming gate contacts. Moreover, the sloped sidewalls 142 s, 144 s, and 146 s can provide increased contact area compared to horizontal top surfaces of the gate pickup regions 132, 134 and 134, and hence the conical frustum-shaped gate electrodes 142′, 144′, and 146′ may also benefit reduction of the contact resistance of the gate contacts.
Reference is made to FIG. 10. Another hard mask layer M3 is formed over the substrate 100, and a photoresist layer is formed over the hard mask layer M3 and then patterned to form a photoresist mask P2 with openings O5 using suitable photolithography techniques as discussed above. In some embodiments, the hard mask layer M3 is TiN, SiN, amorphous silicon, the like, or combinations thereof.
After the photolithography process, the hard mask layer M3 can be patterned using the photoresist mask P2 as a mask, and an etching process is performed to remove portions of the gate pickup regions 132, 134 and 136 using the patterned hard mask layer M3 as a mask, so that gate pickup regions 132, 134 and 136 can be modified to form gate pickup regions 132′, 134′ and 136′ with desired sizes. The patterned hard mask layer M3 and the photoresist mask P2 are then removed. The resulting structure is illustrated in FIG. 11. The etching process may be an RIE process, a chemical etching process, the like, or combinations thereof.
Reference is made to FIG. 12. A dielectric layer 150 is formed on the gate electrodes 142′, 144′, 146′, the gate pickup regions 132′, 134136′, the dielectric layers 122, 124, 126, the source/ drain pickup regions 112, 114, 116 and the substrate 100. The dielectric layer 150 can be formed by an appropriate deposition technique, such as CVD, PECVD, spin-on, the like, or combinations thereof, and can be formed of a dielectric material such as porous dielectric, silicon oxide, PSG, BSG, BPSG, USG, nitride, oxynitride, the like, or combinations thereof.
A chemical mechanical polish (CMP) process may be then performed to planarize the dielectric layer 150 as a dielectric layer 150′ with a substantially planar top surface. The resulting structure is shown in FIG. 13. The planarized dielectric layer 150′ has a top surface substantially level with top surfaces 142 t, 144 t and 146 t of the gate electrodes 142′, 144′ and 146′.
Thereafter, the gate electrodes 142′, 144′ and 146′ are etched to form through holes O6, as illustrated in FIG. 14. One through hole O6 is formed through the gate electrode 142′, the gate pickup region 132′, the dielectric layer 122 to the source/drain pickup region 112. Another through hole O6 is formed through the gate electrode 144′, the gate pickup region 134′, the dielectric layer 124 to the source/drain pickup region 114. Another through hole O6 is formed through the gate electrode 146′, the gate pickup region 136′, the dielectric layer 126 to the source/drain pickup region 116. At portions of the source/ drain pickup region 112, 114 and 116 are exposed by the through holes O6. The through hole O6 may be formed by using an acceptable photolithography and etching process, such as RIE, isotropic plasma etching, or the like.
Next, a gate dielectric layer 160 is blanket formed over the dielectric layer 150′ and into the through hole O6. The gate dielectric layer 160 includes substantially vertical portions lining sidewalls of the through holes O6 and substantially horizontal portions in contact with exposed portions of the source/ drain pickup regions 112, 114, 116 and the top surface of the dielectric layer 150′. In some embodiments, the gate dielectric layer 160 comprises silicon oxide, silicon nitride, the like, or multilayers thereof. In other embodiments, the gate dielectric layer 160 comprises a high-k dielectric material, and in these embodiments, the gate dielectric 160 may have a k value greater than about 7.0, and may include a metal oxide or a silicate of Hf, Al, Zr, La, Mg, Ba, Ti, Zr, Lu, the like, or combinations thereof. The gate dielectric layer 160 may be deposited by ALD, Molecular-Beam Deposition (MBD), PECVD, the like, or combinations thereof. In some embodiments where the gate dielectric layer 160 is formed using ALD, a temperature during the ALD process may be in a range from about 177° C. to about 325° C.
Next, as shown in FIG. 15, an appropriate etching process, such as an anisotropic etch like plasma etching, RIE, or the like, can be used to remove substantially horizontal portions of the gate dielectric layer 160 such that substantially vertical portions of the gate dielectric layer 160 remain in the through holes O6 to form the gate dielectric layers 162, 164 and 166 along the sidewalls of the through holes O6, respectively. After the substantially horizontal portions of the gate dielectric layer 160 are removed, at least respective portions of the source/ drain pickup regions 112, 114 and 116 are exposed through the through holes O6.
Thereafter, a metal-containing material is deposited in the through holes O6 to form nanowires 170, 180 and 190 in the respective through holes O6, and the resulting structure is illustrated in FIG. 16. The metal containing material may be, for example, CoB, CoP, WB, WB, In2O3, the like, or combinations thereof. The metal-containing material can be deposited using a bottom-up deposition process, such as electroless deposition (ELD), plasma enhanced ALD (PEALD), the like, or combinations thereof. In some embodiments where the metal-containing material is deposited using an ELD process, the ELD process can provide a low process temperature (e.g. ranging from about 45° C. to about 70° C.), an intrinsic process selectivity and conformal bottom-up deposition to reduce gap-fill challenge, so that the through holes O6 can be properly filled by the metal-containing material. For example, a minimal diameter of the through hole O6 that can be filled using the ELD process is about 10 nm. The metal-containing material can be doped with an n-type dopant or a p-type dopant during the bottom-up deposition of the metal-containing material, e.g., in situ. Therefore, in some embodiments, bottom, middle and top regions of each nanowire can have different dopant concentrations because they are formed in sequence.
In some embodiments, each nanowire and corresponding one of gate electrodes in combination form a junctionless transistor. For example, the nanowire 170 surrounded by the gate electrode 142′ includes source/ drain regions 172 and 176 at bottom and top ends thereof and a channel region 174 between the source/ drain regions 172 and 176, the source/ drain regions 172, 176 and the channel region 174 may comprise the same n-type dopant (e.g. phosphorus) or p-type dopant (e.g. boron), and there is no P-N junction or N-P junction between the source/ drain regions 172, 176 and the channel region 174. In some embodiments, the junctionless transistor may be in the “ON” state when fabricated, and the gate electrode 142′ of the junction transistor can be used to provide an electric field that is able to deplete the channel region 174 thereby shutting off the transistor. In some embodiments, the dopant concentration of the source/ drain regions 172, 176 is different from the dopant concentration of the channel region 174 so as to improve performance of the junctionless transistor. For example, the dopant concentration of the source/ drain regions 172, 176 can be higher than the dopant concentration of the channel region 174, and vice versa.
Similarly, the nanowire 180 surrounded by the gate electrode 144′ includes source/ drain regions 182 and 186 at bottom and top ends thereof and a channel region 184 between the source/ drain regions 182 and 186, the source/ drain regions 182, 186 and the channel region 184 may comprise the same n-type or p-type dopant, and there is no P-N junction or N-P junction between the source/ drain regions 182, 186 and the channel region 184. In a similar fashion, the nanowire 190 surrounded by the gate electrode 146′ includes source/ drain regions 192 and 196 at bottom and top ends thereof and a channel region 194 between the source/ drain regions 192 and 196, the source/ drain regions 192, 196 and the channel region 194 may comprise the same n-type or p-type dopant, and there is no P-N junction or N-P junction between the source/ drain regions 192, 196 and the channel region 194.
In the depicted embodiments, the sloped sidewall 142 s of the gate electrode 142′ is inclined with respect to a substantially vertical sidewall 170 s of the nanowire 170. For example, the substantially vertical sidewall 170 s is non-parallel to the sloped sidewall 142 s. For example, the sloped sidewall 142 s is oriented at an acute angle relative the substantially vertical sidewall 170 s of the nanowire 170. Similarly, the sloped sidewalls of the gate electrodes 144′ and 146′ are inclined with respect to sidewalls of the respective nanowires 180 and 190. Such orientation of the sloped sidewalls of gate electrodes 142′, 144′ and 146′ provides either improved flexibility of forming gate contacts or increased contact area for the gate contacts.
In the depicted embodiments, the gate dielectric layer 162 is between the gate electrode 142′ and the nanowire 170. For example, the nanowire 170, the gate dielectric layer 162 and the gate electrode 142 are concentrically arranged, wherein the gate dielectric layer 162 surrounds and in contact with the nanowire 170, and the gate electrode 142′ surrounds and in contact with the gate dielectric layer 162. The gate electrode layer 142′ has an inner sidewall 142 i between the sloped sidewall 142 s and the nanowire 170. The inner sidewall 142 i is substantially parallel to the sidewall 170 s of the nanowire 170, and hence the sloped sidewall 142 s is inclined with respect to the inner sidewall 142 i. In some embodiments, the inner sidewall 142 i of the gate electrode 142′ is in contact with the gate dielectric layer 162, and hence the inner sidewall 142 i can also be referred to as an outer sidewall of the gate dielectric layer 162 that is non-parallel to the sloped sidewall 142 s. The nanowire 180, the gate dielectric layer 164 and the gate electrode 144′ may be arranged in a similar fashion as described above, and the nanowire 190, the gate dielectric layer 166 and the gate electrode 146′ may be also arranged in a similar fashion as described above.
In some embodiments where the metal-containing material is deposited using ELD, the metal-containing material may overfill the through holes O6 to form spherical structures P1 protruding above the dielectric layer 150′. In some embodiments, the spherical structures P1 are removed using a CMP process, as illustrated in FIG. 17. In some other embodiments, these spherical structures P1 remain in a final product.
Reference is made to FIG. 17. Another mask layer is formed over the dielectric layer 150′ and then patterned to form a mask M4 with openings O7 using suitable photolithography and/or etching processes, as example. In some embodiments, the mask M4 is photoresist, TiN, SiN, amorphous silicon, the like, or combinations thereof.
With the pattern of the mask M4 including the openings O7 is created, contact holes O8 corresponding to the openings O7 can be etched into the dielectric layer 150′. The resulting structure is illustrated in FIG. 18. Top surface 132 t of the gate pickup region 132′ and the sloped sidewall 142 s of the gate electrode 142′ are exposed by one contact hole O8. Opposed sloped sidewalls of the neighboring source/ drain pickup regions 112 and 114 are exposed by another contact hole O8. Top surface of the source/drain pickup region 116 is exposed by another contact hole O8. Top surface of the gate pickup region 136′ is exposed by another contact hole O8. After formation of the contact holes O8, the mask M4 is removed.
Thereafter, gate contacts 200, 220, 240 and source/ drain contacts 210 and 230 are formed in the contact holes O8, respectively, and the resulting structure is shown in FIG. 19. The gate contacts 200, 220, 240 and source/ drain contacts 210 and 230 may be, for example, ruthenium, bismuth, tungsten, the like, or combinations thereof. The gate contacts 200, 220, 240 and source/ drain contacts 210 and 230 can be deposited using a bottom-up deposition process, such as electroless deposition (ELD), plasma enhanced ALD (PEALD), the like, or combinations thereof. In some embodiments where the metal-containing material is deposited using an ELD process, the ELD process can provide a low process temperature (e.g. ranging from about 30° C. to about 100° C.), an intrinsic process selectivity and conformal bottom-up deposition to reduce gap-fill challenge, so that the contact holes O8 can be properly filled by the metal-containing material. In some embodiments where these contacts 200, 210, 220, 230 and 240 are deposited using ELD, the material may overfill the contact holes O8 to form spherical structures P2 protruding above the dielectric layer 150′. In some embodiments, these spherical structures P2 remain in a final product, as illustrated in FIG. 21. In some other embodiments, the spherical structures P2 are removed using a CMP process.
In some embodiments, the gate contact 200 is in contact with the gate pickup region 132′ and the sloped sidewall 142 s of the gate electrode 142′. Therefore, the sloped sidewall 142 s can provide additional region on which the gate contact 200 lands. Moreover, the sloped sidewall 142 s can provide increased contact area for the gate contact 200 to reduce the contact resistance. The source/drain contact 210 is in contact with opposed sloped sidewalls of the neighboring source/ drain pickup regions 112 and 114, and hence the contact area can be increased and the contact resistance is thus reduced. The gate contact 220 is in contact with the gate pickup region 134′ and the sloped sidewall 144 s of the gate electrode 144′. The source/drain contact 230 is in contact with the top surface of the source/drain pickup region 116. The gate contact 240 is in contact with the top surface of the gate pickup region 136′.
In some embodiments, the gate pickup region 132′ is under the in contact with the gate electrode 142′, and the sloped sidewall 142 s is inclined with respect to the top surface 132 t of the gate pickup regions 132′. Moreover, the gate contact 200 is in contact with the sloped sidewall 142 s of the gate electrode 142′ and the top surface 132 t of the gate pickup region 132′. In some embodiments, the gate pickup region 132′ laterally extends across the sloped sidewall 142 s of the gate electrode 142′ and is in contact with a bottom edge of the sloped sidewall 142 s, and hence the gate pickup region 132′ has a portion not overlapped with the gate electrode 142′. The gate contact 200 is in contact with this portion of the gate pickup region 132′ and the sloped sidewall 142 s of the gate electrode 142′.
In some embodiments, the dielectric layer 150′ has various portions each between a gate electrode and a corresponding one of the gate contacts. For example, the dielectric layer 150′ includes a dielectric structure 152 with opposite first and second sidewalls 1521 and 1522. The first sidewall 1511 is in contact with the sloped sidewall 146 s of the gate electrode 146′, and the second sidewall 1522 is in contact with the gate contact 240. The first sidewall 1511 is inclined with the second sidewall 1522 due to incline of the sloped sidewall 146 s.
In some embodiments, the source/drain contact 210 is in contact with the source/drain pickup region 112 that is under the nanowire 170 and electrically isolated from the gate electrode 142′. The dielectric layer 150′ includes a dielectric structure 154 between the sloped sidewall 142 s of the gate electrode 142′ and the source/drain contact 210. The dielectric structure 154 has opposite first and second sidewalls 1541 and 1542. The first sidewall 1541 is in contact with the sloped sidewall 142 s of the gate electrode 142′, and the second sidewall 1542 is in contact with the source/drain contact 210. The first sidewall 1541 is inclined with the second sidewall 1542 due to incline of the sloped sidewall 142 s.
Reference is made to FIG. 20. A third conductive layer 250 is formed over the dielectric layer 150′, the contacts 200, 210, 220, 230, 240 and the nanowires 170, 180 and 190 using suitable deposition techniques. The third conductive layer 250 may be copper, tungsten, the like, or combinations thereof. Another mask layer is formed over the dielectric layer 150′ and then patterned to form a mask M5 with openings O9 using suitable photolithography and/or etching processes, as example. In some embodiments, the mask M5 is photoresist, TiN, SiN, amorphous silicon, the like, or combinations thereof.
With the pattern of the mask M5 including the openings O9 is created, openings O10 corresponding to the openings O9 can be etched into the third conductive layer 150, so that the third conductive layer 250 can be patterned into source/ drain contacts 252, 254 and 256 respectively on top ends of the nanowires 170, 180 and 190. The resulting structure is illustrated in FIG. 21.
Based on the above discussions, it can be seen that the present disclosure offers advantages. It is understood, however, that other embodiments may offer additional advantages, and not all advantages are necessarily disclosed herein, and that no particular advantage is required for all embodiments. One advantage is that the conical frustum-shaped gate electrodes with sloped sidewalls can provide improved flexibility for forming gate contacts. Another advantage is that the conical frustum-shaped gate electrodes with sloped sidewalls can provide increased contact area for gate contacts. Yet another advantage is that the conical frustum-shaped source/drain pickup regions with sloped sidewall can provide increased contact area for source/drain contacts.
In some embodiments, a device comprises a nanowire, a gate dielectric layer and a gate electrode. The nanowire has a sidewall. The gate dielectric layer surrounds the nanowire. The gate electrode surrounds the gate dielectric layer and is separated from the nanowire. The gate electrode comprises a sloped sidewall inclined with respect to the sidewall of the nanowire.
In some embodiments, a device comprises a conical frustum-shaped gate electrode, a nanowire and a gate dielectric layer. The nanowire extends through the conical frustum-shaped gate electrode. The nanowire comprises a sidewall non-parallel to an outer sidewall of the conical frustum-shaped gate electrode. The gate dielectric layer is between the conical frustum-shaped gate electrode and the nanowire.
In some embodiments, a method comprises forming a gate electrode layer over a substrate, patterning the gate electrode layer to form a conical frustum-shaped gate electrode, forming a through hole in the conical frustum-shaped gate electrode, forming a gate dielectric layer lining a sidewall of the through hole in the conical frustum-shaped gate electrode, and forming a nanowire in the through hole.
The foregoing outlines features of several embodiments so that those skilled in the art may better understand the aspects of the present disclosure. Those skilled in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments introduced herein. Those skilled in the art should also realize that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that they may make various changes, substitutions, and alterations herein without departing from the spirit and scope of the present disclosure.

Claims (20)

What is claimed is:
1. A device, comprising:
a nanowire having a sidewall;
a gate dielectric layer surrounding the nanowire; and
a gate electrode surrounding the gate dielectric layer and separated from the nanowire, the gate electrode comprising a sloped sidewall inclined with respect to the sidewall of the nanowire, the sloped sidewall of the gate electrode having a bottom edge in a position higher than a bottom surface of the nanowire.
2. The device of claim 1, further comprising:
a conductive layer under and in contact with the gate electrode, the sloped sidewall of the gate electrode is inclined with respect to a top surface of the conductive layer.
3. The device of claim 2, further comprising:
a gate contact in contact with the sloped sidewall of the gate electrode and the top surface of the conductive layer.
4. The device of claim 1, further comprising:
a gate contact in contact with the sloped sidewall of the gate electrode.
5. The device of claim 1, further comprising:
a conductive layer laterally extending across the sloped sidewall of the gate electrode and in contact with the bottom edge of the sloped sidewall.
6. The device of claim 5, further comprising:
a gate contact in contact with the conductive layer.
7. The device of claim 1, further comprising:
a gate contact electrically coupled to the gate electrode; and
a dielectric structure having a first sidewall in contact with the sloped sidewall of the gate electrode and a second sidewall in contact with the gate contact, wherein the first sidewall is inclined with respect to the second sidewall.
8. The device of claim 1, wherein the gate electrode further comprises an inner sidewall between the sloped sidewall and the nanowire, wherein the sloped sidewall is inclined with respect to the inner sidewall.
9. The device of claim 1, wherein the gate electrode further comprises top and bottom surfaces connected by the sloped sidewall, and the top surface has a width less than a width of the bottom surface.
10. The device of claim 1, wherein the gate dielectric layer has an outer sidewall in contact with the gate electrode, wherein the sloped sidewall of the gate electrode is inclined with respect to the outer sidewall of the gate dielectric layer.
11. The device of claim 1, further comprising:
a conductive layer under the nanowire and electrically isolated from the gate electrode;
a source/drain contact in contact with the conductive layer; and
a dielectric structure between the sloped sidewall of the gate electrode and the source/drain contact.
12. The device of claim 11, wherein the dielectric structure has a first sidewall in contact with the sloped sidewall of the gate electrode and a second sidewall in contact with the source/drain contact, wherein the first sidewall is inclined with respect to the second sidewall.
13. A device, comprising:
a conical frustum-shaped gate electrode;
a nanowire extending through a bottom surface of the conical frustum-shaped gate electrode, the nanowire comprising a sidewall non-parallel to an outer sidewall of the conical frustum-shaped gate electrode; and
a gate dielectric layer between the conical frustum-shaped gate electrode and the nanowire.
14. The device of claim 13, wherein the gate dielectric layer comprises a sidewall non-parallel to the outer sidewall of the conical frustum-shaped gate electrode.
15. The device of claim 13, wherein the conical frustum-shaped gate electrode has an inner sidewall non-parallel to the outer sidewall of the conical frustum-shaped gate electrode.
16. The device of claim 13, further comprising:
a gate contact in contact with the conical frustum-shaped gate electrode.
17. The device of claim 16, further comprising:
a conductive layer in contact with the bottom surface of the conical frustum-shaped gate electrode, the conductive layer has a portion not overlapped with the conical frustum-shaped gate electrode, and the gate contact is further in contact with the portion of the conductive layer.
18. A device, comprising:
a conductive layer;
a gate electrode over the conductive layer;
a doped nanowire through the conductive layer and the gate electrode; and
a gate contact having a bottom surface in contact with the conductive layer and a sidewall in contact with the gate electrode, wherein the sidewall of the gate contact is inclined with respect to the bottom surface of the gate contact.
19. The device of claim 18, wherein the gate electrode tapers in a direction away from the conductive layer.
20. The device of claim 18, wherein the doped nanowire comprises a metal-containing material.
US15/719,301 2017-09-28 2017-09-28 Gate all around device and fabrication thereof Active US10325993B2 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
US15/719,301 US10325993B2 (en) 2017-09-28 2017-09-28 Gate all around device and fabrication thereof
US16/443,769 US10763337B2 (en) 2017-09-28 2019-06-17 Fabrication of gate all around device
US17/006,802 US11302792B2 (en) 2017-09-28 2020-08-29 Fabrication of gate all around device
US17/718,080 US20220238676A1 (en) 2017-09-28 2022-04-11 Gate all around device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US15/719,301 US10325993B2 (en) 2017-09-28 2017-09-28 Gate all around device and fabrication thereof

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US16/443,769 Division US10763337B2 (en) 2017-09-28 2019-06-17 Fabrication of gate all around device

Publications (2)

Publication Number Publication Date
US20190097010A1 US20190097010A1 (en) 2019-03-28
US10325993B2 true US10325993B2 (en) 2019-06-18

Family

ID=65807926

Family Applications (4)

Application Number Title Priority Date Filing Date
US15/719,301 Active US10325993B2 (en) 2017-09-28 2017-09-28 Gate all around device and fabrication thereof
US16/443,769 Active US10763337B2 (en) 2017-09-28 2019-06-17 Fabrication of gate all around device
US17/006,802 Active 2037-11-14 US11302792B2 (en) 2017-09-28 2020-08-29 Fabrication of gate all around device
US17/718,080 Pending US20220238676A1 (en) 2017-09-28 2022-04-11 Gate all around device

Family Applications After (3)

Application Number Title Priority Date Filing Date
US16/443,769 Active US10763337B2 (en) 2017-09-28 2019-06-17 Fabrication of gate all around device
US17/006,802 Active 2037-11-14 US11302792B2 (en) 2017-09-28 2020-08-29 Fabrication of gate all around device
US17/718,080 Pending US20220238676A1 (en) 2017-09-28 2022-04-11 Gate all around device

Country Status (1)

Country Link
US (4) US10325993B2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20200176327A1 (en) * 2016-11-29 2020-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making breakdown resistant semiconductor device

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2019182264A1 (en) * 2018-03-23 2019-09-26 홍잉 Vertical nanowire semiconductor device and manufacturing method therefor
CN113053943B (en) * 2021-03-18 2023-04-18 长鑫存储技术有限公司 Semiconductor structure and forming method thereof

Citations (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110315950A1 (en) * 2010-06-28 2011-12-29 International Business Machines Corporation Nanowire fet with trapezoid gate structure
US20130168743A1 (en) * 2011-12-30 2013-07-04 Stmicroelectronics, Inc. Strained transistor structure
US20140077161A1 (en) * 2011-03-02 2014-03-20 The Regents Of The University Of California High performance graphene transistors and fabrication processes thereof
US20140170821A1 (en) * 2012-12-18 2014-06-19 Paul A. Nyhus Patterning of vertical nanowire transistor channel and gate with directed self assembly
US20140166981A1 (en) * 2012-12-18 2014-06-19 Brian S. Doyle Vertical nanowire transistor with axially engineered semiconductor and gate metallization
US20150228775A1 (en) * 2014-02-10 2015-08-13 Taiwan Semiconductor Manufacturing Company Limited Semiconductor structures and methods for multi-dimension of nanowire diameter to improve drive current
US9209247B2 (en) 2013-05-10 2015-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned wrapped-around structure
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US9362354B1 (en) * 2015-02-18 2016-06-07 International Business Machines Corporation Tuning gate lengths in semiconductor device structures
US9412817B2 (en) 2014-12-19 2016-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Silicide regions in vertical gate all around (VGAA) devices and methods of forming same
US9412828B2 (en) 2012-08-24 2016-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Aligned gate-all-around structure
US20160247938A1 (en) * 2014-02-18 2016-08-25 Unisantis Electronics Singapore Pte. Ltd. Method for producing semiconductor device and semiconductor device
US9472618B2 (en) 2013-12-10 2016-10-18 Taiwan Semiconductor Manufacturing Company Limited Nanowire field effect transistor device having a replacement gate
US9502265B1 (en) 2015-11-04 2016-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Vertical gate all around (VGAA) transistors and methods of forming the same
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US9536738B2 (en) 2015-02-13 2017-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Vertical gate all around (VGAA) devices and methods of manufacturing the same
US9576814B2 (en) 2013-12-19 2017-02-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method of spacer patterning to form a target integrated circuit pattern
US9608116B2 (en) 2014-06-27 2017-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. FINFETs with wrap-around silicide and method forming the same

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6290199B2 (en) * 2012-07-06 2018-03-07 クナノ・アーベー Radial nanowire Esaki diode device and method
US9136377B2 (en) * 2013-03-11 2015-09-15 Alpha & Omega Semiconductor, Inc. High density MOSFET array with self-aligned contacts delimited by nitride-capped trench gate stacks and method
US9111780B2 (en) * 2013-03-12 2015-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for vertical tunneling field effect transistor with leveled source and drain

Patent Citations (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110315950A1 (en) * 2010-06-28 2011-12-29 International Business Machines Corporation Nanowire fet with trapezoid gate structure
US20140077161A1 (en) * 2011-03-02 2014-03-20 The Regents Of The University Of California High performance graphene transistors and fabrication processes thereof
US20130168743A1 (en) * 2011-12-30 2013-07-04 Stmicroelectronics, Inc. Strained transistor structure
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US9412828B2 (en) 2012-08-24 2016-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Aligned gate-all-around structure
US20140170821A1 (en) * 2012-12-18 2014-06-19 Paul A. Nyhus Patterning of vertical nanowire transistor channel and gate with directed self assembly
US20140166981A1 (en) * 2012-12-18 2014-06-19 Brian S. Doyle Vertical nanowire transistor with axially engineered semiconductor and gate metallization
US9209247B2 (en) 2013-05-10 2015-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned wrapped-around structure
US9472618B2 (en) 2013-12-10 2016-10-18 Taiwan Semiconductor Manufacturing Company Limited Nanowire field effect transistor device having a replacement gate
US9576814B2 (en) 2013-12-19 2017-02-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method of spacer patterning to form a target integrated circuit pattern
US20150228775A1 (en) * 2014-02-10 2015-08-13 Taiwan Semiconductor Manufacturing Company Limited Semiconductor structures and methods for multi-dimension of nanowire diameter to improve drive current
US20160247938A1 (en) * 2014-02-18 2016-08-25 Unisantis Electronics Singapore Pte. Ltd. Method for producing semiconductor device and semiconductor device
US9608116B2 (en) 2014-06-27 2017-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. FINFETs with wrap-around silicide and method forming the same
US9412817B2 (en) 2014-12-19 2016-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Silicide regions in vertical gate all around (VGAA) devices and methods of forming same
US9536738B2 (en) 2015-02-13 2017-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Vertical gate all around (VGAA) devices and methods of manufacturing the same
US9362354B1 (en) * 2015-02-18 2016-06-07 International Business Machines Corporation Tuning gate lengths in semiconductor device structures
US9502265B1 (en) 2015-11-04 2016-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Vertical gate all around (VGAA) transistors and methods of forming the same
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate

Non-Patent Citations (5)

* Cited by examiner, † Cited by third party
Title
Atsushi Hori et al., "High Speed 0.1 μm Dual Gate CMOS with Low Energy Phosphorus/Boron Implantation and Cobalt Salicide", IEEE (1996), IEDM 96, 575-578.
Md Mash-Hud Iqbal, The Nanoscale Silicon Accumulation-Mode MOSFET-A Comprehensive Numerical Study, IEEE, Nov. 2008, pp. 14, vol. 55, No. 11.
Md Mash-Hud Iqbal, The Nanoscale Silicon Accumulation-Mode MOSFET—A Comprehensive Numerical Study, IEEE, Nov. 2008, pp. 14, vol. 55, No. 11.
S.B. Kang et al., "CVD-Cobalt for the Next Generation of Source/Drain Salicidation and Contact Silicidation in Novel MOS Device Structures with Complex Shape", IEEE (2003), IEDM 03, 501-504.
Scott A. Wartenberg et al., "Substrate Voltage and Accumulation-Mode MOS Varactor Capacitance", IEEE vol. 52, No. 7, (2005), 1563-1567.

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20200176327A1 (en) * 2016-11-29 2020-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making breakdown resistant semiconductor device

Also Published As

Publication number Publication date
US11302792B2 (en) 2022-04-12
US20200403075A1 (en) 2020-12-24
US10763337B2 (en) 2020-09-01
US20220238676A1 (en) 2022-07-28
US20190097010A1 (en) 2019-03-28
US20190305100A1 (en) 2019-10-03

Similar Documents

Publication Publication Date Title
US9502265B1 (en) Vertical gate all around (VGAA) transistors and methods of forming the same
US10083869B2 (en) Stacked device and associated layout structure
US20220238676A1 (en) Gate all around device
US9911855B2 (en) Top metal pads as local interconnectors of vertical transistors
US11984485B2 (en) Semiconductor device, FinFET device and methods of forming the same
US11502185B2 (en) Methods of manufacturing a gate electrode having metal layers with different average grain sizes
US11349025B2 (en) Multi-channel device to improve transistor speed
US11158512B2 (en) Fin field effect transistor (FinFET) device structure
US10090360B2 (en) Method of manufacturing a semiconductor structure including a plurality of trenches
US11984402B2 (en) Semiconductor device and method
US20200273794A1 (en) Semiconductor device and methods of forming the same
US11410930B2 (en) Semiconductor device and method
US9722068B2 (en) Semiconductor devices and methods of manufacturing the same
US20220310442A1 (en) Interconnect structures including air gaps
US11935786B2 (en) Contact features of semiconductor devices
US11810811B2 (en) Buried metal for FinFET device and method
US11749677B2 (en) Semiconductor structure and methods of forming the same
US20240030318A1 (en) Semiconductor device structure and methods of forming the same
US20230282739A1 (en) Semiconductor device and method
US20240055501A1 (en) Semiconductor device structure and manufacturing method thereof
US20220352153A1 (en) Finfet device and method of forming the same
US20220352328A1 (en) Disposable Hard Mask for Interconnect Formation

Legal Events

Date Code Title Description
FEPP Fee payment procedure

Free format text: ENTITY STATUS SET TO UNDISCOUNTED (ORIGINAL EVENT CODE: BIG.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

AS Assignment

Owner name: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD., TAIW

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:WANG, YUNG-CHIH;LIAO, YU-CHIEH;YANG, TAI-I;AND OTHERS;REEL/FRAME:043939/0896

Effective date: 20170929

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: NOTICE OF ALLOWANCE MAILED -- APPLICATION RECEIVED IN OFFICE OF PUBLICATIONS

STCF Information on status: patent grant

Free format text: PATENTED CASE

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 4TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1551); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 4