CN105826226B - 批量加热和冷却腔室或负载锁定装置 - Google Patents

批量加热和冷却腔室或负载锁定装置 Download PDF

Info

Publication number
CN105826226B
CN105826226B CN201610039843.1A CN201610039843A CN105826226B CN 105826226 B CN105826226 B CN 105826226B CN 201610039843 A CN201610039843 A CN 201610039843A CN 105826226 B CN105826226 B CN 105826226B
Authority
CN
China
Prior art keywords
cold plate
heater
wafer
wafer cassette
front side
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201610039843.1A
Other languages
English (en)
Other versions
CN105826226A (zh
Inventor
J·M·舒浩勒
R·B·沃派特
P·E·波尔甘德
B·B·莱尔顿
D·伯拉尼克
W·T·韦弗
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN105826226A publication Critical patent/CN105826226A/zh
Application granted granted Critical
Publication of CN105826226B publication Critical patent/CN105826226B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/67333Trays for chips
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6732Vertical carrier comprising wall type elements whereby the substrates are horizontally supported, e.g. comprising sidewalls
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • General Engineering & Computer Science (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本发明公开了对多个晶片提供加热和冷却以减少在处理腔室中的晶片切换之间的时间的晶片和使用方法。晶片被支撑在能够使所有晶片一起移动的晶片升降装置上,或者被支撑在能够移动多个单独的晶片以进行加热和冷却的独立的升降杆上。

Description

批量加热和冷却腔室或负载锁定装置
技术领域
本公开的实施例总体涉及用于处理基板的装置。更具体而言,本公开涉及用于加热和冷却晶片以进行批量处理的装置和方法。
背景技术
形成半导体器件的工艺通常在包含多个腔室的基板处理平台中执行。在一些实例中,多腔室式处理平台或群集工具的用途在于:在受控环境中顺序地对基板执行两个或更多个工艺。然而,在其他实例中,多腔室式处理平台可仅对基板执行单个处理步骤;附加的腔室旨在使平台处理基板的速率最大化。在后一种情况下,对基板执行的工艺通常是批量处理,其中,在给定的腔室中同时处理相对大数量(例如,25个或50个)的基板。批量处理对于以经济上可行的方式对单独的基板执行的、过于耗时的工艺是特别有益的,诸如,对于ALD工艺以及一些化学气相沉积(CVD)工艺是特别有益的。
ALD平台具有广泛的需要灵活的架构的应用,这些应用具有各种要求和约束。平台要求包括晶片预加热、后冷却、预加热以及后冷却、从30wph(晶片/小时)至270wph的生产量、高真空负载锁定装置(loadlock),并且许多其他规范对于以低权利成本提供功能可能是挑战。
ALD批量处理平台提供标准的、主动式能够冷却晶片的负载锁定装置。高温工艺(>450℃)得益于在将晶片放置在工艺腔室基座上之前的晶片预加热。目前,在工艺腔室中预加热室温晶片长达3分钟。这耗费了宝贵的处理时间,并且对于较短的工艺显著地减少了系统生产量。
当前的ALD处理器具有许多方法以在负载锁定装置中加热和冷却单个晶片。然而,ALD批量处理平台可以处理具有六个或更多个晶片的批次。单个晶片负载锁定循环过慢(36秒)而无法满足15秒的交换预算。因此,本领域中需要用于预加热和后冷却批量的晶片以进行批量处理的装置和方法。
发明内容
本公开的一个或多个实施例涉及晶片盒,所述晶片盒包括支撑多个冷板的壁。所述壁允许对所述冷板中的至少一些冷板的前侧进行接取。多个LED灯抵靠所述冷板的背侧来定位,并且具有与相邻的冷板的前侧间隔开的前侧以形成间隙。多个LED灯被引导至相邻的冷板的前侧。晶片升降装置定位成支撑晶片的外围。
本公开的附加实施例涉及晶片盒,所述晶片盒包括支撑多个冷板的壁。所述壁允许对所述冷板中的至少一些冷板的前侧的接取,使得晶片能够邻近所述冷板的前侧来定位。反射器邻近所述冷板中的至少一些冷板的背侧。由至少一个热障将反射器与所述冷板的背侧分开。加热器邻近所述反射器的背侧。由至少一个热障将加热器与反射器的背侧分开,使得加热器的背侧与相邻的冷板的前侧之间具有间隙。多个升降杆定位在冷板之内。
本公开的进一步的实施例涉及晶片盒,晶片盒包括支撑多个冷板的壁。壁允许对所述冷板中的至少一些冷板的前侧的接取,使得晶片能够邻近所述冷板的前侧来定位。加热器位于冷板的背侧上,使得加热器的背侧与相邻冷板的前侧间隔开以形成间隙。隔离器位于加热器与冷板之间。
附图说明
因此,为了可详细地理解本公开的上述特征结构的方式,可以参照实施例进行对上文中简要概述的本公开的更特定的描述,在附图中示出实施例中的一些。然而,应当注意,附图仅示出本公开的典型实施例,并且因此不应视为对本公开的范围的限制,因为本公开可以允许其他等效的实施例。
图1是根据本公开的一个或多个实施例的晶片盒的横截面图;
图2是根据本公开的一个或多个实施例的晶片盒的横截面图;
图3是根据本公开的一个或多个实施例的晶片盒的横截面图;
图4是根据本公开的一个或多个实施例的晶片盒的横截面图;
图5是根据本公开的一个或多个实施例的晶片盒的横截面图;
图6是根据本公开的一个或多个实施例的晶片盒的立体图;以及
图7示出根据本发明的一个或多个实施例的、用于加热和冷却晶片盒的系统的示意图。
具体实施方式
本公开的实施例涉及用于预加热和/或后冷却批量的晶片的装置和方法。如本说明书和所附权利要求书中所用,可互换地使用术语“晶片”、“基板”等。在一些实施例中,晶片是刚性、离散的基板,例如,200mm或300mm硅晶片。
本公开的实施例提供用于预加热和后冷却批量的晶片的装置。虽然所述实施例中的多数实施例涉及每批六晶片的负载锁定装置,但是本领域技术人员将会理解,一批中搬运的晶片数量可大于或小于六个。本公开的实施例提供可在负载锁定装置排空期间预加热并且在负载锁定装置排至大气压期间后冷却的装置。这允许并行的处理以及对系统的生产量的有限的影响。实施例对于本领域中的现有系统还是容易地可改装的。在针对ALD的负载锁定设计的上下文中讨论这些配置,但是这些配置可适用于任何批量加热和/或冷却应用。由于加热元件的堆叠、温度升降速率、最大温度以及部件成本,批量加热仍然是挑战。
本公开的一个方面利用具有双重功能冷基座的LED加热。LED加热技术是可容易地堆叠成盒配置的超薄式灯加热封装。LED加热技术是高效的,可即时地关闭,并且具有极少的热质量,从而使LED相比传统电阻式加热器能够相对快地冷却。
LED灯加热器可得益于主动式冷却,所述主动式冷却可去除由LED转换成热的能量的40%。在一些实施例中,LED和电路是直接组装到金属基板的背面的组件,所述金属基板具有用于起热交换作用以去除过量的热的冷却水的通道。热交换器的另一侧在灯被关闭时是水冷却表面,并且包含传统冷却板支架式(standoff)隆起图案和特征。
图1示出合并了LED和冷却的本公开的第一方面。本公开的实施例可合并到晶片盒中,或改装到负载锁定装置中。图1示出具有四个晶片110的盒100。这仅表示一种可能的配置,并且不应视为限制本公开的范围。一些实施例可以支持两个、三个、四个、五个、六个、七个、八个、九个或更多个晶片。
盒100具有至少一个壁105。在图1的实施例中,盒100具有两个壁105。一些实施例具有多于两个壁。壁105支撑多个冷板130,每一个冷板130具有前侧132和背侧131。冷板130可直接连接至壁105(如图1中所示),或可定位在保持器上。壁105允许对冷板130的前侧进行接取(access)。如在这方面所使用,允许接取意味着存在足够的空间以供晶片邻近冷板130的前侧132来定位。
多个LED灯120定位成使得LED灯120的背侧121与冷板130的背侧131接触。LED灯120的前侧122与相邻的冷板130的前侧132以一定距离间隔开,以在两者之间形成间隙125。如在这方面所使用,术语“相邻的冷板”意味着除了与LED灯接触的冷板之外的冷板。多个LED灯120定位成将光引导向相邻的冷板130的前侧132,使得如果晶片110先前定位在间隙125中,则光将被引导向晶片110。
一些实施例的冷板130具有延伸穿过冷板130的主体133的至少一个流体通道。在一些实施例中,壁包括与冷板中的通道流体地连通的供应歧管和/或返回歧管。如将更详细地所述,这允许流体流过通道以冷却至冷板130。供应歧管和/或返回歧管可以是壁105的一体的部分,或者能够连接至壁。冷板130还被称为基座。
LED灯120和冷板130具有小于1英寸的组合厚度,并且可堆叠在批量式负载锁定装置中。一些实施例具有小于约0.5英寸厚的组合厚度。与高度一般在4英寸至8英寸的范围内的典型的灯模块相比,LED占据更少的空间。LED的加热效率比标准的加热模块的加热效率高,并且LED光的波长可经选择以使加热效率最大化。LED可以发射在可由晶片吸收的任何波长处的光。例如,可以采用发射在UV、可见或NIR波长范围内的光的LED。在一些实施例中,LED发射在约450nm、或在约400nm至约500nm的范围内、或在约300nm至约600nm的范围内的光。在一些实施例中,LED发射具有约1000nm的波长、或在约900nm至约1100nm的范围内的波长的近红外光。一个或多个实施例使用具有NIR和可见波长的双带加热。例如,LED灯120中的一半可发射450nm处的光,而一半发射1000nm处的光。在加热工艺期间,基于基板随温度变化的吸收曲线,NIR LED可在与可见光LED不同的时间上激活。
一些实施例的LED灯120由单个的LED的阵列组成。取决于要加热的晶片的尺寸,阵列可以是任何合适的尺寸。在一些实施例中,LED灯包括具有在约200个至约1500个LED的范围内、或在约400个至约1300个LED的范围内、或在约600个至约1100个LED的范围内、或约900个LED的阵列。在一些实施例中,LED灯的阵列可以按区进行控制,使得LED阵列的不同部分可以具有不同的功率输出。
在使用时,晶片110装载到盒100中,并通过升降杆140升高至冷板130上方。一般而言,升降杆140具有足以将晶片110移离冷板130的前侧132间隙125的至少50%的距离的长度。出于描述的目的,图1仅示出支撑底部晶片的升降杆140。然而,本领域技术人员将会理解,升降杆140可以支撑晶片110中的任一个或全部。可同时或独立地移动升降杆140,使得所有的晶片110同时移动,或允许对晶片110的移动进行单独的控制。
晶片110定位成尽可能靠近LED灯120。一般而言,在加热期间,在远离冷板130所述冷板130与LED灯120之间的间隙125的至少50%处定位晶片110。例如,如果LED灯120和冷板130定位成使得间隙125为约20mm,则在加热期间,晶片110将升高至高于冷板130至少10mm。冷板130与LED灯120之间的间隙125高达约50mm。在一些实施例中,间隙125在约1mm至约20mm的范围内、或在约2mm至约15mm的范围内。在一些实施例中,晶片110被移动至距加热器小于约5mm、4mm、3mm、2mm或1mm的距离。
在负载锁定腔室的泵送循环期间,可保持晶片110离开冷板130,并且晶片110由LED灯120加热,同时等待真空转移。当交换晶片110时,关闭LED灯120,并且由热交换器160将热从基座中去除。在一个实施例中,热交换器160使水(或其他流体)流过盒100的壁105中的通道,并且流过图6中所示的冷板130(或是基座510)中的通道525。LED灯120的背侧121与冷板的背侧131之间的接触冷却LED灯120和晶片110。
在处理之后,晶片110被往回装载到盒100中。晶片110放置在冷板130上,并且在排放工艺期间进行冷却。一旦通过工厂接口交换了晶片110,则LED灯120可再次开始加热晶片110。
在一些实施例中,每一个冷板130都大于基板的直径。例如,用于300mm晶片的盒100可以具有直径约320mm的冷板130。在一些实施例中,冷板130是方形的,并且具有约320mm的长度和宽度。
图1中所示的实施例提供不影响系统的原始生产量的并行的预处理和后处理。另外,可减少在加热和冷却晶片时的热冲击。LED灯120可升高晶片110的温度,而不用将它们直接放置在热板上,并且在从系统中去除热时,利用冷板130,晶片将会冷却。
图2至图4示出合并了利用热障(thermal break)的电阻式加热和基座冷却的本公开的其他方面。在此,厚膜电阻加热基座和冷基座以这两个基座之间的热障240来堆叠。热障240可以是例如具有真空间隙235的防热罩状反射器250(图2中所示)、被排空的真空区域335(图3中所示)、或低热导率材料440(图4中所示)。热障减少在负载锁定装置中辐射至冷却基座的加热器能量的量。
图2至图4中所示的实施例中的每一个实施例能以与图1的实施例类似的方式来操作。图1与图2至图4之间的主要差异在于加热器。图1中的LED灯120在图2至图4中分别由电阻式加热器220、320、420替代。
参考图2,热障240提供加热器220、反射器250与冷板230之间的分离。反射器250定位成邻近冷板230,并且通过至少一个热障240与冷板230间隔开。加热器220定位成邻近反射器250,并且通过至少一个热障240与反射器250间隔开。在排空期间,热障240允许从盒200中排空加热器220、反射器250和冷板230之间的气体,从而减少部件之间的压力。在低压力下减小了通过对流进行的热传递,因此,加热器220对冷板230具有较小的影响。另外,反射器250在加热器220与冷板230之间提供另一阻碍。取决于例如要分开的部件的尺寸,可以存在任何合适数量的热障240。
图3示出将堆叠的冷板330和加热器320隔绝的另一实施例和方法。在此,具有部分真空的真空区域335形成在冷板330与加热器320之间,并且具有足够的间隙以减少两个板之间的热传递。在这方面所使用的“部分真空”表示充当对于对流的阻碍的减小的压力的区域。这将允许晶片加热和冷却在较高的压力下发生,在较高的压力下,对流具有对工艺的较大的影响。
在图3中,加热器320和冷板330示出为在靠近边缘350处接触。为使对流的效应最小化,可使用隔离器来连接加热器320和冷板330。例如,可将低热导率粘合剂或其他低热导率材料放置在冷板330与加热器320之间以防止单元之间的热传递。这还可被称为热垫片(thermal gasket)。在图4和图5中所示的实施例中,低热导率材料440用作加热器420与冷板430之间的隔离器。
图2至图5中所示实施例可改装到现有负载锁定腔室中,或者可以是单独的盒。在使用中,由晶片升降杆260、360、460将晶片210、310、410移动到紧密地靠近上方加热器220、320、420。在不使加热器220、320、420接触晶片210、310、410的前侧的情况下完成了对晶片210、310、410的预加热。现有的晶片210、310、410在离开系统之前被放置在冷板230、330、430上。与图的1实施例类似,图2至图5的实施例提供了与其他工艺并行的加热和冷却,使得产量不会显著地受添加预加热和后冷却工艺的不利影响。
图2至图4中所示的实施例具有单独地受控的升降杆260、360、460,这些单独地受控的升降杆可提升或降低盒中的任何单个的或成组的晶片。用于提升和降低杆的升降杆和控制元件占据盒中的空间,并且一些实施例不使用升降杆。在一些实施例中,如图5中所示,使用晶片升降装置470来一次移动所有的晶片。使用单个的晶片升降装置470可以占据比使用多个单独的升降杆更少的空间。图5中所示的实施例在所有的方面与图4的实施例是完全相同的,例外仅在于升降机构。在图4允许多个独立的升降杆来升降单个晶片的情况下,图5使用能够使所有的晶片一起移动的单个的晶片升降装置。单个的晶片升降装置可不费力地使所有的晶片从降低的冷却位置移动至升高的加热位置(如图所示)。
晶片升降装置定位成支撑晶片410的外围472。晶片升降装置470可由能够安全地接触晶片的任何合适的材料制成。晶片升降装置470可将晶片从邻近冷板430的位置移动至更靠近加热器420的位置。晶片升降装置可以同时将多个晶片移离冷板的前侧至少间隙的50%的距离。
本公开的另一方面涉及双重式(dual)高温/低温流体基座盒。以水通道来设计当前的冷却基座,以便在从工艺温度中冷却基板时,当前的冷却基座与低温流体交换热。本发明人已经发现,在流体被快速地加热或简单地以热流来替换的情况下,基座的热质量允许快速的热量。
图6示出根据本公开的一个或多个实施例的基座盒500的实施例。出于描述性目的,图6中所示的盒500不具有加热器。本领域技术人员将会理解,盒500可以具有各种实施例中所示的加热器中的任何一种。盒500示出为具有三个基座510,这些基座510可以支撑一个或多个晶片(未示出)。基座510连接至供应歧管520和返回歧管530,并与这两者流体地连通。流体通道525延伸穿过基座510中的每一个基座,并且在供应歧管520与返回歧管530之间形成流体连接。出于描述性目的,图6中的顶部基座510示出为被切割掉一半以示出流体通道525。一般而言,流体通道525被封闭在基座510的主体内。图6中的基座510各自都具有多个凹槽540,这些凹槽540可由例如机器人使用以将晶片定位在基座上。
每一个基座510的尺寸可设定为支撑任何基板。在一些实施例中,每一个基座510的尺寸设定为支撑300mm晶片。基座510的数量的范围可为从1至将在空间中匹配的任何数量。例如,可将盒500定位在负载锁定装置内。负载锁定装置的尺寸将基于基座-基座间距和部件的尺寸来限制基座510的最大数量。在一些实施例中,存在数量为6的倍数的基座510,例如,12个、18个或24个基座。
在使用中,流体源(未示出)连接至供应歧管520和返回歧管530。流体源可以是任何合适的流体源,包括但不限于,冷水储存器(reservoir)或再循环器、热水储存器或再循环器、经加热和/或经冷却的气缸、或提供具有不同于水的热容的流体的源。来自流体源的流体流入供应歧管520,在供应歧管520处,流被分至歧管内的多条路径中。对于每一个基座510,具有至少一条路径。在图6中所示的实施例中,每一个基座具有六个单独的流体通道525。然而,这仅仅是一种可能的配置,并且不应视为限制本公开的范围。在一些实施例中,每一个基座独立地包括至少一个、两个、三个、四个、五个、六个、七个、八个、九个、10个、11个、12个、13个、14个、15个、16个或更多个流体通道525。
任何流体通道525的长度取决于所遵循的路径。例如,将供应歧管520与返回歧管530连接的笔直的通道将具有比围绕基座510的外围弯曲的流体通道更短的长度。图6中所示的实施例具有六个流体通道525,其中,三组通道具有大约相同的长度。两个通道525a具有大约相同的长度,两个通道525b具有大约相同的长度,并且两个通道525c具有大约相同的长度。流体通道525中的每一个可以具有与其他通道中的任何一个不同的长度。
可改变流体通道525的直径以影响例如通过所述通道的流速,或者平衡通过多个通道的流速。在一些实施例中,基座510中所有的流体通道525都具有相同的直径。在一个或多个实施例中,流体通道525中的每一个具有独立于基座510中的其他流体通道525中的任何一个的直径。在一些实施例中,流体通道525的直径随着流体通道525的长度的增加而增加。在图6中,例如,通道525a的直径可以大于通道525b的直径,通道525b的直径可以大于通道525c。
来自供应歧管520的流体流过基座510中的流体通道525朝返回歧管530流动。在返回歧管530处,来自多个单独的通道525和基座510的流体被组合并且流向例如废料管线或再循环系统。
当前的热交换器系统具有保持在特定的温度下的大热质量流体,所述流体可用于快速地冷却和加热负载锁定装置内的铝基座510。每一个系统中的流体的热质量大约比基座的热质量大一个数量级。
图7示出根据本公开的一个或多个实施例的热交换器系统600。两个处理腔室680连接至中央传送站682,所述中央传送站682在其中具有至少一个机器人684。虽然示出了两个,但是至少一个负载锁定装置686定位在传送站682的前端,并且用作工厂接口(FI)以允许将晶片从处理系统外部移动到处理系统内部。盒500示出为在负载锁定装置686中的每一个内。盒500可用所述的盒实施例中的任何一个来替代,或者可以是负载锁定装置的一体的部分。作为负载锁定装置的一体的部分,盒壁将与负载锁定装置的壁相同。
入口管线630从加热系统640和冷却系统660延伸至负载锁定装置686。入口管线630与每一个盒500的供应歧管520流体地连通。入口管线630还与入口管线加热器连接件631和入口管线冷却器连接件632流体地连通。入口管线加热器连接件631和入口管线冷却器连接件632是允许分离的任何合适的连接部件。例如,入口管线加热器连接件630和/或入口管线冷却器连接件632可以是能够闭合以隔离入口管线630的阀。出口管线635与每一个盒500上的返回歧管530流体地连通。出口管线635还与出口管线加热器连接件636和出口管线冷却器连接件637流体地连通。像入口管线连接件那样,出口管线加热器连接件636和出口管线冷却器连接件637可以是允许分离的任何合适的连接部件。例如,出口管线加热器连接件636和/或出口管线冷却器连接件637可以是能够闭合以隔离出口管线635的阀。
在工厂接口(FI)传送期间,加热系统640使用高温流体将基座510加热至预定的温度(例如,高达300℃)。在排空至基础压力以及转移压力期间,将利用流过系统的热流体,在基座510上加热晶片。在真空转移期间,基座510将保持为热的,直到最后一个晶片被传送至工艺腔室或从负载锁定装置686中被移除为止。
为了提供加热流体,加热系统640连接至盒500中的每一个盒上的入口歧管520。加热流体的流离开加热系统640,并且穿过打开的阀641流至入口歧管520。在穿过基座510并且进入出口歧管530之后,流体通过打开的阀642往回流到加热系统640。在这个循环期间,旁路阀643是闭合的以防止流体流过旁路环路644。
为了防止混合,可隔离冷却系统660。关闭阀661和阀662以停止流出和流入冷却系统660。冷却器旁路环路664与入口管线冷却器连接件632和出口管线冷却器连接件637流体地连通。冷却器旁路环路664可以包括冷却器旁路阀663,所述冷却器旁路阀663可打开,使得冷却系统660内的流体能够继续通过旁路环路664进行循环以为冷却操作作好准备。
类似地,为了防止混合,可隔离加热系统640。阀设有加热器旁路环路,所述加热器旁路环路与入口管线加热器连接件和出口管线加热器连接件流体地连通,所述加热器旁路环路包括旁路阀,用以允许流体在入口管线与出口管线之间流动。
一旦已从基座510中移除了所有的晶片,就可接入(engage)冷却系统660。为了接入冷却系统660并防止与加热系统640混合,可隔离加热系统。加热器旁路环路644与入口管线加热器连接件631和出口管线加热器连接件636流体地连通。加热器旁路环路644还可具有加热器旁路阀643以允许流体在加热器管线中循环。为了隔离加热系统640,阀641和阀642是闭合的,并且旁路阀643是打开的以允许加热流体通过旁路环路644进行循环。冷却系统660上的旁路阀663可以是闭合的以防止进一步流过旁路环路664。阀661和阀662是打开的以允许流体从冷却系统660流向入口歧管520,并且流过盒500中的基座510。在这一时刻,热流体系统从基座510被转移走,并且冷却系统660中的流体将会将热流体推出基座510,从而迅速地使具有晶片的基座510冷却到预定的温度。
一旦符合了冷却温度,则可提升晶片以脱离冷板。一旦完成了对晶片的冷却,则冷却系统660将从盒500被转移走,并且将改变阀,使得加热系统640可以再次加热基座510。
图7中所示的配置提供了不影响系统的初始设计的产量的、并行的预处理和后处理。在加热和冷却晶片时的热冲击将减少,因为利用基础基座材料来加热和冷却晶片。本公开的实施例允许最小的负载锁定容积设计,所述最小的负载锁定容积设计相比其他概念将改善生产量。图7的配置示出根据本公开的一个或多个实施例的示例性加热/冷却循环系统。加热/冷却循环系统可与所述的晶片盒中的任何一个一起使用。
尽管上述内容针对本公开的实施例,但是可设计本公开的其他和进一步的实施例而不背离本公开的基本范围,并且本公开的范围由所附权利要求书来确定。

Claims (20)

1.一种晶片盒,所述晶片盒包括:
至少一个壁,所述至少一个壁支撑多个冷板,所述至少一个壁允许对所述冷板中的至少一些冷板的前侧进行接取;
多个LED灯,所述多个LED灯抵靠所述冷板的背侧来定位,并且具有与相邻的冷板的前侧间隔开的前侧以形成间隙,所述多个LED灯被引导向所述相邻的冷板的前侧;以及
晶片升降装置,所述晶片升降装置定位成在外围处支撑多个晶片,所述晶片升降装置能够在所述间隙内移动所述多个晶片。
2.根据权利要求1所述的晶片盒,其特征在于,所述多个冷板中的每一个冷板包括至少一个流体通道,所述至少一个流体通道穿过所述冷板的主体。
3.根据权利要求2所述的晶片盒,其特征在于,所述至少一个壁包括供应歧管,所述供应歧管与所述冷板的所述主体中的所述流体通道流体地连通。
4.根据权利要求3所述的晶片盒,其特征在于,所述至少一个壁进一步包括返回歧管,所述返回歧管与所述冷板的所述主体中的所述流体通道流体地连通。
5.根据权利要求1所述的晶片盒,其特征在于,所述LED灯的前侧与所述相邻的冷板的前侧之间的所述间隙在2mm至15mm的范围内。
6.根据权利要求1所述的晶片盒,其特征在于,所述LED灯发射处于450nm波长的光。
7.根据权利要求1所述的晶片盒,其特征在于,所述晶片升降装置将多个晶片移离所述冷板的前侧所述间隙的至少50%的距离。
8.一种热交换器系统,所述系统包括:
至少一个负载锁定腔室,所述至少一个负载锁定腔室容纳根据权利要求4所述的晶片盒;
入口管线,所述入口管线与供应歧管、入口管线加热器连接件和入口管线冷却器连接件流体地连通;
出口管线,所述出口管线与返回歧管、出口管线加热器连接件和出口管线冷却器连接件流体地连通;
加热器旁路环路,所述加热器旁路环路与所述入口管线加热器连接件和所述出口管线加热器连接件流体地连通,所述加热器旁路环路包括旁路阀以允许流体在所述入口管线与所述出口管线之间流动;以及
冷却器旁路环路,所述冷却器旁路环路与所述入口管线冷却器连接件和所述出口管线冷却器连接件流体地连通,所述冷却器旁路环路包括冷却器旁路阀以允许流体在所述入口管线与所述出口管线之间流动。
9.一种晶片盒,所述晶片盒包括:
至少一个壁,所述至少一个壁支撑多个冷板,所述至少一个壁允许对所述冷板中的至少一些冷板的前侧进行接取,使得晶片能够邻近所述冷板的前侧来定位;
反射器,所述反射器邻近所述冷板中的至少一些冷板的背侧,由至少一个热障将所述反射器与所述冷板的背侧分开;
加热器,所述加热器邻近所述反射器的背侧,由至少一个热障将所述加热器与所述反射器的背侧分开,使得所述加热器的背侧与相邻的冷板的前侧之间具有间隙;以及
多个升降杆,所述多个升降杆定位在所述冷板内。
10.根据权利要求9所述的晶片盒,其特征在于,所述多个冷板中的每一个冷板都包括至少一个流体通道,所述至少一个流体通道穿过所述冷板的主体。
11.根据权利要求10所述的晶片盒,其特征在于,所述至少一个壁包括供应歧管,所述供应歧管与所述冷板的所述主体中的所述流体通道流体地连通。
12.根据权利要求11所述的晶片盒,其特征在于,所述至少一个壁进一步包括返回歧管,所述返回歧管与所述冷板的所述主体中的所述流体通道流体地连通。
13.根据权利要求9所述的晶片盒,其特征在于,所述冷板的前侧与所述加热器的背侧之间的所述间隙在2mm至50mm的范围内。
14.根据权利要求9所述的晶片盒,其特征在于,所述加热器是电阻式加热器。
15.根据权利要求9所述的晶片盒,其特征在于,所述升降杆具有足以使晶片移离所述冷板的前侧所述间隙的至少50%的距离的长度。
16.一种晶片盒,所述晶片盒包括:
至少一个壁,所述至少一个壁支撑多个冷板,所述至少一个壁允许对所述冷板中的至少一些冷板的前侧进行接取,使得晶片能够邻近所述冷板的前侧来定位;
加热器,所述加热器位于所述冷板的背侧上,使得所述加热器的背侧与相邻的冷板的前侧间隔开以形成间隙;以及
隔离器,所述隔离器位于所述加热器与所述冷板之间。
17.根据权利要求16所述的晶片盒,其特征在于,所述隔离器包括部分真空,并且所述加热器的边缘接触所述冷板的边缘。
18.根据权利要求16所述的晶片盒,其特征在于,所述隔离器包括低热导率材料,所述低热导率材料定位在所述加热器与所述冷板之间,使得所述加热器与所述冷板不触碰。
19.根据权利要求16所述的晶片盒,其特征在于,所述至少一个壁包括供应歧管,所述供应歧管与所述冷板的主体中的至少一个流体通道流体地连通。
20.根据权利要求19所述的晶片盒,其特征在于,所述至少一个壁进一步包括返回歧管,所述返回歧管与所述冷板的所述主体中的所述流体通道流体地连通。
CN201610039843.1A 2015-01-22 2016-01-21 批量加热和冷却腔室或负载锁定装置 Active CN105826226B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201562106568P 2015-01-22 2015-01-22
US62/106,568 2015-01-22

Publications (2)

Publication Number Publication Date
CN105826226A CN105826226A (zh) 2016-08-03
CN105826226B true CN105826226B (zh) 2020-08-21

Family

ID=56432793

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201610039843.1A Active CN105826226B (zh) 2015-01-22 2016-01-21 批量加热和冷却腔室或负载锁定装置

Country Status (5)

Country Link
US (2) US10283379B2 (zh)
JP (1) JP2016154222A (zh)
KR (1) KR102444827B1 (zh)
CN (1) CN105826226B (zh)
TW (1) TW201639063A (zh)

Families Citing this family (280)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
TW201639063A (zh) * 2015-01-22 2016-11-01 應用材料股份有限公司 批量加熱和冷卻腔室或負載鎖定裝置
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
JP6270952B1 (ja) * 2016-09-28 2018-01-31 株式会社日立国際電気 基板処理装置、半導体装置の製造方法および記録媒体。
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
CN108615692B (zh) * 2016-12-12 2021-04-09 北京北方华创微电子装备有限公司 片盒、反应腔室和半导体设备
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP7158133B2 (ja) * 2017-03-03 2022-10-21 アプライド マテリアルズ インコーポレイテッド 雰囲気が制御された移送モジュール及び処理システム
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
WO2019036157A1 (en) 2017-08-18 2019-02-21 Applied Materials, Inc. HIGH PRESSURE AND HIGH TEMPERATURE RECOVERY CHAMBER
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP7274461B2 (ja) 2017-09-12 2023-05-16 アプライド マテリアルズ インコーポレイテッド 保護バリア層を使用して半導体構造を製造する装置および方法
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
TWI729319B (zh) 2017-10-27 2021-06-01 美商應用材料股份有限公司 具有空間分離的單個晶圓處理環境
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102396319B1 (ko) 2017-11-11 2022-05-09 마이크로머티어리얼즈 엘엘씨 고압 프로세싱 챔버를 위한 가스 전달 시스템
WO2019099125A1 (en) 2017-11-16 2019-05-23 Applied Materials, Inc. High pressure steam anneal processing apparatus
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
JP2021503714A (ja) 2017-11-17 2021-02-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧処理システムのためのコンデンサシステム
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
KR102695659B1 (ko) 2018-01-19 2024-08-14 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
CN110197807A (zh) * 2018-02-24 2019-09-03 旺宏电子股份有限公司 晶片传送盒
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
KR102536820B1 (ko) 2018-03-09 2023-05-24 어플라이드 머티어리얼스, 인코포레이티드 금속 함유 재료들을 위한 고압 어닐링 프로세스
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) * 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10858738B2 (en) * 2018-03-29 2020-12-08 Asm International N.V. Wafer boat cooldown device
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN108955080B (zh) * 2018-06-26 2020-12-04 武汉华星光电半导体显示技术有限公司 一种冷却设备
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10910243B2 (en) * 2018-08-31 2021-02-02 Applied Materials, Inc. Thermal management system
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
TWI728456B (zh) 2018-09-11 2021-05-21 荷蘭商Asm Ip私人控股有限公司 相對於基板的薄膜沉積方法
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) * 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TWI845607B (zh) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
CN110993532B (zh) * 2019-12-03 2022-08-16 拓荆科技股份有限公司 用于半导体制造的化学品加热装置
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
CN113451183B (zh) * 2020-06-03 2023-03-31 重庆康佳光电技术研究院有限公司 一种晶圆盒
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN114823427A (zh) * 2022-05-30 2022-07-29 北京北方华创微电子装备有限公司 半导体工艺设备及其预热腔室

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5674786A (en) * 1993-01-28 1997-10-07 Applied Materials, Inc. Method of heating and cooling large area glass substrates
US20020017377A1 (en) * 2000-08-11 2002-02-14 Anelva Corporation Heating and cooling apparatus, and vacuum processing apparatus equipped with this apparatus
US20080226272A1 (en) * 2005-11-14 2008-09-18 Tokyo Electron Limited Heating apparatus, heat treatment apparatus, computer program and storage medium
CN202003971U (zh) * 2011-03-23 2011-10-05 中芯国际集成电路制造(上海)有限公司 晶圆传输装置
CN103201828A (zh) * 2010-11-05 2013-07-10 夏普株式会社 氧化退火处理装置和使用氧化退火处理的薄膜晶体管的制造方法

Family Cites Families (74)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS58158914A (ja) * 1982-03-16 1983-09-21 Semiconductor Res Found 半導体製造装置
JPS59169125A (ja) * 1983-03-16 1984-09-25 Ushio Inc 半導体ウエハ−の加熱方法
US5059770A (en) * 1989-09-19 1991-10-22 Watkins-Johnson Company Multi-zone planar heater assembly and method of operation
US5155336A (en) * 1990-01-19 1992-10-13 Applied Materials, Inc. Rapid thermal heating apparatus and method
US5221829A (en) * 1990-10-15 1993-06-22 Shimon Yahav Domestic cooking apparatus
US5751003A (en) 1996-02-16 1998-05-12 Eaton Corporation Loadlock assembly for an ion implantation system
KR100245260B1 (ko) * 1996-02-16 2000-02-15 엔도 마코토 반도체 제조장치의 기판 가열장치
US5902088A (en) 1996-11-18 1999-05-11 Applied Materials, Inc. Single loadlock chamber with wafer cooling function
US5944857A (en) 1997-05-08 1999-08-31 Tokyo Electron Limited Multiple single-wafer loadlock wafer processing apparatus and loading and unloading method therefor
US20030049372A1 (en) * 1997-08-11 2003-03-13 Cook Robert C. High rate deposition at low pressures in a small batch reactor
US6165273A (en) * 1997-10-21 2000-12-26 Fsi International Inc. Equipment for UV wafer heating and photochemistry
US6042623A (en) 1998-01-12 2000-03-28 Tokyo Electron Limited Two-wafer loadlock wafer processing apparatus and loading and unloading method therefor
US6072163A (en) * 1998-03-05 2000-06-06 Fsi International Inc. Combination bake/chill apparatus incorporating low thermal mass, thermally conductive bakeplate
US6292250B1 (en) * 1998-08-10 2001-09-18 Tokyo Electron Limited Substrate process apparatus
KR100348939B1 (ko) * 1999-12-04 2002-08-14 한국디엔에스 주식회사 포토리소그라피 공정을 위한 반도체 제조장치
US6191399B1 (en) * 2000-02-01 2001-02-20 Asm America, Inc. System of controlling the temperature of a processing chamber
SG105487A1 (en) * 2000-03-30 2004-08-27 Tokyo Electron Ltd Substrate processing apparatus and substrate processing method
US6554905B1 (en) * 2000-04-17 2003-04-29 Asm America, Inc. Rotating semiconductor processing apparatus
US6567257B2 (en) * 2000-04-19 2003-05-20 Applied Materials, Inc. Method and apparatus for conditioning an electrostatic chuck
US6235656B1 (en) 2000-07-03 2001-05-22 Andrew Peter Clarke Dual degas/cool loadlock cluster tool
US6562141B2 (en) 2000-07-03 2003-05-13 Andrew Peter Clarke Dual degas/cool loadlock cluster tool
CN1440564A (zh) 2000-07-07 2003-09-03 应用材料有限公司 锁料室
US6825447B2 (en) * 2000-12-29 2004-11-30 Applied Materials, Inc. Apparatus and method for uniform substrate heating and contaminate collection
US6765178B2 (en) * 2000-12-29 2004-07-20 Applied Materials, Inc. Chamber for uniform substrate heating
WO2002067301A1 (fr) * 2001-02-20 2002-08-29 Tokyo Electron Limited Systeme d'utilisation de rejet thermique, procede d'utilisation de rejet thermique et installation de production de semiconducteurs
JP3825277B2 (ja) * 2001-05-25 2006-09-27 東京エレクトロン株式会社 加熱処理装置
US6529686B2 (en) * 2001-06-06 2003-03-04 Fsi International, Inc. Heating member for combination heating and chilling apparatus, and methods
US20040096300A1 (en) 2001-06-30 2004-05-20 Ilya Perlov Loadlock chamber
US7604306B1 (en) * 2001-08-23 2009-10-20 Taiwan Semiconductor Manufacturing Company Reticle box transport cart
US6776849B2 (en) * 2002-03-15 2004-08-17 Asm America, Inc. Wafer holder with peripheral lift ring
US20030194299A1 (en) 2002-04-15 2003-10-16 Yoo Woo Sik Processing system for semiconductor wafers
JP2004031557A (ja) * 2002-06-25 2004-01-29 Ushio Inc 光加熱装置
US6783630B2 (en) * 2002-08-27 2004-08-31 Axcelis Technologies, Inc. Segmented cold plate for rapid thermal processing (RTP) tool for conduction cooling
US20040226513A1 (en) * 2003-05-12 2004-11-18 Applied Materials, Inc. Chamber for uniform heating of large area substrates
JP4442171B2 (ja) * 2003-09-24 2010-03-31 東京エレクトロン株式会社 熱処理装置
US20060045668A1 (en) 2004-07-19 2006-03-02 Grabowski Al W System for handling of wafers within a process tool
US7283734B2 (en) * 2004-08-24 2007-10-16 Fujitsu Limited Rapid thermal processing apparatus and method of manufacture of semiconductor device
US20060127067A1 (en) * 2004-12-13 2006-06-15 General Electric Company Fast heating and cooling wafer handling assembly and method of manufacturing thereof
US20060182530A1 (en) 2005-01-05 2006-08-17 Min-Hsu Wang Wafer loadlock chamber and wafer holder
JP2007043042A (ja) * 2005-07-07 2007-02-15 Sumitomo Electric Ind Ltd ウェハ保持体およびその製造方法、ならびにそれを搭載したウェハプローバ及び半導体加熱装置
JP5055756B2 (ja) * 2005-09-21 2012-10-24 東京エレクトロン株式会社 熱処理装置及び記憶媒体
US8025097B2 (en) * 2006-05-18 2011-09-27 Centipede Systems, Inc. Method and apparatus for setting and controlling temperature
US8920097B2 (en) 2006-11-02 2014-12-30 Globalfoundries Singapore Pte. Ltd. Wafer handling system for a loadlock
US8905124B2 (en) 2007-06-27 2014-12-09 Taiwan Semiconductor Manufacturing Company, Ltd. Temperature controlled loadlock chamber
US20090016853A1 (en) 2007-07-09 2009-01-15 Woo Sik Yoo In-line wafer robotic processing system
US8033769B2 (en) 2007-11-30 2011-10-11 Novellus Systems, Inc. Loadlock designs and methods for using same
US20090212014A1 (en) * 2008-02-27 2009-08-27 Tokyo Electron Limited Method and system for performing multiple treatments in a dual-chamber batch processing system
US8288288B1 (en) 2008-06-16 2012-10-16 Novellus Systems, Inc. Transferring heat in loadlocks
US8314408B2 (en) * 2008-12-31 2012-11-20 Draka Comteq, B.V. UVLED apparatus for curing glass-fiber coatings
US20110036709A1 (en) * 2009-08-11 2011-02-17 Applied Materials, Inc. Process kit for rf physical vapor deposition
US20110259840A1 (en) * 2010-04-23 2011-10-27 Advanced Semiconductor Engineering, Inc. Semiconductor package magazine
US20110308458A1 (en) * 2010-06-21 2011-12-22 Semes Co., Ltd. Thin Film Deposition Apparatus
JP5638405B2 (ja) * 2010-10-08 2014-12-10 パナソニック株式会社 基板のプラズマ処理方法
JP5559656B2 (ja) * 2010-10-14 2014-07-23 大日本スクリーン製造株式会社 熱処理装置および熱処理方法
JP5875759B2 (ja) * 2010-10-14 2016-03-02 株式会社Screenセミコンダクターソリューションズ 熱処理方法および熱処理装置
JP2012113951A (ja) * 2010-11-24 2012-06-14 Canon Inc 表示装置およびそれを用いた映像情報処理装置
US8801950B2 (en) * 2011-03-07 2014-08-12 Novellus Systems, Inc. Reduction of a process volume of a processing chamber using a nested dynamic inert volume
KR101713799B1 (ko) 2011-04-15 2017-03-09 주식회사 원익아이피에스 반도체 제조장치 및 제조방법
US9793144B2 (en) * 2011-08-30 2017-10-17 Evatec Ag Wafer holder and temperature conditioning arrangement and method of manufacturing a wafer
WO2013061506A1 (ja) * 2011-10-28 2013-05-02 キヤノンアネルバ株式会社 真空処理装置
CN103123906A (zh) * 2011-11-18 2013-05-29 中芯国际集成电路制造(北京)有限公司 用于处理晶圆的反应装置、静电吸盘和晶圆温度控制方法
US8691706B2 (en) 2012-01-12 2014-04-08 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing substrate warpage in semiconductor processing
KR101940580B1 (ko) 2012-05-24 2019-01-22 에이씨엠 리서치 (상하이) 인코포레이티드 로드록 챔버와, 그를 이용하여 기판을 처리하는 방법
JP2013247197A (ja) * 2012-05-24 2013-12-09 Sokudo Co Ltd 基板処理装置
US20140087073A1 (en) * 2012-09-24 2014-03-27 Igor Constantin Ivanov Equipment and method of manufacturing for liquid processing in a controlled atmospheric ambient
JP6030393B2 (ja) * 2012-09-26 2016-11-24 株式会社Screenホールディングス 基板処理装置
US20140270731A1 (en) * 2013-03-12 2014-09-18 Applied Materials, Inc. Thermal management apparatus for solid state light source arrays
US10403521B2 (en) * 2013-03-13 2019-09-03 Applied Materials, Inc. Modular substrate heater for efficient thermal cycling
US9287148B1 (en) * 2014-12-18 2016-03-15 Varian Semiconductor Equipment Associates, Inc. Dynamic heating method and system for wafer processing
TW201639063A (zh) * 2015-01-22 2016-11-01 應用材料股份有限公司 批量加熱和冷卻腔室或負載鎖定裝置
JP6495707B2 (ja) * 2015-03-25 2019-04-03 株式会社Screenホールディングス 露光装置および基板処理装置
US10443934B2 (en) * 2015-05-08 2019-10-15 Varian Semiconductor Equipment Associates, Inc. Substrate handling and heating system
US9685303B2 (en) * 2015-05-08 2017-06-20 Varian Semiconductor Equipment Associates, Inc. Apparatus for heating and processing a substrate
US9728430B2 (en) * 2015-06-29 2017-08-08 Varian Semiconductor Equipment Associates, Inc. Electrostatic chuck with LED heating

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5674786A (en) * 1993-01-28 1997-10-07 Applied Materials, Inc. Method of heating and cooling large area glass substrates
US20020017377A1 (en) * 2000-08-11 2002-02-14 Anelva Corporation Heating and cooling apparatus, and vacuum processing apparatus equipped with this apparatus
US20080226272A1 (en) * 2005-11-14 2008-09-18 Tokyo Electron Limited Heating apparatus, heat treatment apparatus, computer program and storage medium
CN103201828A (zh) * 2010-11-05 2013-07-10 夏普株式会社 氧化退火处理装置和使用氧化退火处理的薄膜晶体管的制造方法
CN202003971U (zh) * 2011-03-23 2011-10-05 中芯国际集成电路制造(上海)有限公司 晶圆传输装置

Also Published As

Publication number Publication date
US20190259638A1 (en) 2019-08-22
KR20160090760A (ko) 2016-08-01
US10283379B2 (en) 2019-05-07
JP2016154222A (ja) 2016-08-25
US20160218028A1 (en) 2016-07-28
US11315806B2 (en) 2022-04-26
TW201639063A (zh) 2016-11-01
CN105826226A (zh) 2016-08-03
KR102444827B1 (ko) 2022-09-20

Similar Documents

Publication Publication Date Title
CN105826226B (zh) 批量加热和冷却腔室或负载锁定装置
US9349620B2 (en) Apparatus and method for pre-baking substrate upstream of process chamber
US7371998B2 (en) Thermal wafer processor
KR102176181B1 (ko) 효과적인 열 순환을 위한 모듈형 기판 히터
WO2014130673A1 (en) Apparatus and methods for injector to substrate gap control
KR20100033391A (ko) 기판 처리 장치 및 기판 처리 방법
TWI517252B (zh) 使用微環境之熱處理加工裝置
JP6377717B2 (ja) 小ロット基板ハンドリングシステムのための温度制御システム及び方法
US11444053B2 (en) Batch processing oven and method
US10425990B2 (en) Vacuum processing device
KR20150003841A (ko) 온도 관리를 갖는 램프헤드를 구비하는 기판 처리 시스템
TW201730968A (zh) 基板的熱處理裝置及方法以及基板的接收單元
US11410862B2 (en) Apparatus for processing substrate including cooling member closer to central axis than heating member
US20210020471A1 (en) Cooling unit and substrate treating apparatus including the same
CN105441876B (zh) 一种薄膜沉积设备
KR102397849B1 (ko) 기판 처리 장치
KR100941934B1 (ko) 상하좌우 독립처리영역을 갖는 이중적재 구현 로드락 챔버
KR102495469B1 (ko) 일괄 처리 챔버
CN117238815B (zh) 一种晶圆预热冷却装置及传片方法
TW201413856A (zh) 用以搬運及處理基板的系統

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant