CN105185681B - 气体分配装置及包含所述气体分配装置的基板加工装置 - Google Patents
气体分配装置及包含所述气体分配装置的基板加工装置 Download PDFInfo
- Publication number
- CN105185681B CN105185681B CN201510242245.XA CN201510242245A CN105185681B CN 105185681 B CN105185681 B CN 105185681B CN 201510242245 A CN201510242245 A CN 201510242245A CN 105185681 B CN105185681 B CN 105185681B
- Authority
- CN
- China
- Prior art keywords
- plate
- process gas
- intermediate plate
- gas
- component
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Active
Links
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/3244—Gas supply means
Landscapes
- Physics & Mathematics (AREA)
- Engineering & Computer Science (AREA)
- Plasma & Fusion (AREA)
- Chemical & Material Sciences (AREA)
- Analytical Chemistry (AREA)
- Plasma Technology (AREA)
- Chemical Vapour Deposition (AREA)
- Drying Of Semiconductors (AREA)
Abstract
本发明提供一种气体分配装置及包含其的基板加工装置,所述气体分配装置包括在垂直方向上分隔开的第一区与第二区。在第一区中,注入自外部供应至第一区的第一工艺气体,且接着将其激发至等离子体状态,且在第二区中,注入自外部被激发至等离子体状态后供应的第二工艺气体,且接着容置所述第二工艺气体。由于通过互不相同的方法来激发工艺气体,因此可提高基板上的工艺均匀性。
Description
技术领域
本发明涉及一种气体分配装置,且更具体而言,涉及一种能够通过利用双等离子体来提高基板上的工艺均匀性的气体分配装置以及一种包含所述气体分配装置的基板加工装置。
背景技术
一般而言,利用半导体工艺来制造半导体元件、显示元件、发光二极管或薄膜太阳能电池。半导体工艺包括:薄膜沉积工艺,用于在基板上沉积特定材料的薄膜;光刻工艺,用于利用光阻剂来暴露出或覆盖所述薄膜的选定区;以及蚀刻工艺,用于移除及图案化选定区中的所述薄膜。半导体工艺被重复执行多次,以形成所需的多层式结构。此种半导体工艺是在具有用于对应工艺的最佳环境的反应室内进行。
所述反应室包括用于支撑基板的基板支撑构件及用于注入工艺气体的气体分配部件、以及气体供应部件,所述基板支撑构件及所述气体分配部件被彼此面对地设置于所述反应室内,所述气体供应部件则位于所述反应室外并用于供应所述工艺气体。即,在所述反应室的内下侧,设置所述基板支撑构件以支撑基板,且在所述反应室的内上侧,设置所述气体分配部件以将由气体供应部件供应的工艺气体注入至所述基板上。此处,举例而言,薄膜沉积工艺可同时供应至少一种工艺气体以形成薄膜(CVD方法)、或者依序向反应室中供应至少两种工艺气体(ALD方法)。此外,随着基板变得越来越大,需要在基板的整个区域上沉积或蚀刻薄膜,以保持工艺均匀性。为此,已广泛地利用一种能够将工艺气体均匀地注入至宽的区上的淋浴头型气体分配装置。此种淋浴头型的实例揭示于韩国专利申请早期公开第2008-0020202号中。
此外,可利用用于使工艺气体活化及等离子体化的等离子体装置来制造高集成化及小型化的半导体元件。等离子体装置通常根据等离子体化方法而被分类成电容耦合等离子体(capacitive coupled plasma,CCP)装置及电感耦合等离子体(inductive coupledplasma,ICP)装置。所述CCP装置在反应室中具有电极,且所述ICP装置具有天线,所述天线设置于反应室外部,所述反应室被施加电源,从而可在所述反应室内产生工艺气体的等离子体。此种CCP型等离子体装置揭示于韩国专利早期公开第1997-0003557号中,且ICP型等离子体装置揭示于韩国专利早期公开第10-0963519号中。
同时,由于工艺气体的等离子体是在反应室内产生,因而可能会因热量及等离子体而出现故障等,例如厚度小于20nm的薄膜可被所述等离子体损坏。为解决此类限制,开发出远程等离子体(remote plasma),其在反应室外产生工艺气体的等离子体并将所述等离子体供应至所述反应室中。此外,已进行了其中利用双等离子体源(dual plasma source)来使因等离子体造成的损坏最小化的研究。然而,由双等离子体产生源产生的工艺气体的等离子体可能不会均匀地约束于基板上,因而使工艺均匀性受到限制。
发明内容
本发明提供一种能够防止因等离子体而对基板造成损坏的基板加工装置。
本发明还提供一种能够将通过双等离子体而活化的工艺气体均匀地分配至基板上、且据此能够提高基板上的工艺均匀性的气体分配装置以及一种包含所述气体分配装置的基板加工装置。
根据示例性实施例,一种气体分配装置包括在垂直方向上分隔开的第一区与第二区;在第一区中,可注入自外部供应至第一区的第一工艺气体,且接着将其激发至等离子体状态,且在第二区中,注入自外部被激发至等离子体状态后供应的第二工艺气体,且接着容置(accommodate)所述第二工艺气体。
上述气体分配装置还可包括在垂直方向上彼此间隔开的上板、中间板及下板,其中所述上板与所述中间板之间的空间是所述第二区,且所述中间板与所述下板之间的空间是所述第一区。
所述中间板可被施加射频电力,所述下板可被接地,且可在所述中间板与所述下板之间提供绝缘构件。
上述气体分配装置可包括在垂直方向上彼此间隔开的上板、中间板及下板,其中所述上板与所述中间板之间的空间是所述第一区,且所述中间板与所述下板之间的空间是所述第二区。
所述上板可被施加射频电力,所述中间板可被接地,且在所述上板与所述中间板之间提供绝缘构件。
上述气体分配装置还可包括自所述中间板穿透所述下板的多个注入喷嘴。
所述中间板可形成有多个第一贯穿孔,所述多个喷嘴穿过所述多个第一贯穿孔,且所述下板可形成有:多个第二贯穿孔,所述多个喷嘴穿过所述多个第二贯穿孔;以及多个第三贯穿孔,所述多个第三贯穿孔将工艺气体注入所述中间板与所述下板之间的区中。
所述第二贯穿孔与所述第三贯穿孔可被形成为相同的尺寸及数目。
可在所述中间板的所述第一贯穿孔的上部设置有台阶部,所述台阶部所具有的直径大于所述第一贯穿孔的直径,且所述注入喷嘴的上部可由所述台阶部支撑。
上述气体分配装置还可包括盖板,所述盖板具有一个表面接触所述中间板的上表面且所述盖板中形成有多个贯穿孔。
上述气体分配装置还可包括扩散板,所述扩散板设置于所述上板与所述中间板之间且在所述扩散板中形成有多个贯穿孔。
上述气体分配装置还可包括间隙调整构件,所述间隙调整构件设置于所述绝缘构件的上侧及下侧的至少一个部分上并与所述绝缘构件具有相同的形状。
在另一示例性实施例中,一种基板加工装置包括:反应室,具有预定反应空间;基板支撑部件,设置于所述反应室内以支撑基板;气体分配部件400,设置成面对所述基板支撑构件且所述气体分配部件中包括在垂直方向上分隔开的第一区与第二区,其中在第一区中,注入自外部供应至第一区的第一工艺气体,且接着将其激发至等离子体状态,且在第二区中,注入自外部被激发至等离子体状态后供应的第二工艺气体,且接着容置所述第二工艺气体;以及等离子体产生部件,用于在所述反应室外及所述气体分配部件内产生工艺气体的等离子体。
上述基板加工装置还可包括工艺气体供应部件,所述工艺气体供应部件包括向所述第一区供应所述第一工艺气体的第一工艺气体供应管及向所述第二区供应所述第二工艺气体的第二工艺气体供应管。
上述基板加工装置还可包括在垂直方向上彼此间隔开的上板、中间板及下板,其中所述上板与所述中间板之间的空间是所述第二区,且所述中间板与所述下板之间的空间是所述第一区。
所述中间板可被施加射频电力,所述下板可被接地,且可在所述中间板与所述下板之间提供绝缘构件。
上述基板加工装置还可包括在垂直方向上彼此间隔开的上板、中间板及下板,其中所述上板与所述中间板之间的空间是所述第一区,且所述中间板与所述下板之间的空间是所述第二区。
所述上板可被施加射频电力,所述中间板可被接地,且可在所述上板与所述中间板之间提供绝缘构件。
上述基板加工装置还可包括自所述中间板穿过所述下板的多个注入喷嘴。
所述等离子体产生部件可包括:ICP型第一等离子体产生部件,用于在所述气体分配部件内产生等离子体;以及ICP型、螺旋波型、及远程等离子体型等离子体产生部件中的至少一个第二等离子体产生部件,用于在所述反应室外产生等离子体。
上述基板加工装置还可包括磁场产生部件,所述磁场产生部件设置于所述反应室内以在所述基板支撑构件与所述气体分配部件之间的反应空间中产生磁场。
所述磁场产生部件可包括第一磁体及第二磁体,所述第一磁体及所述第二磁体在其之间设置有所述反应空间且具有彼此相反的极性。
上述基板加工装置还可包括过滤器部件,所述过滤器部件设置于所述气体分配部件与所述基板支撑构件之间,以阻挡所述工艺气体的所述等离子体的一部分。
附图说明
结合附图阅读以下说明,可更详细地理解示例性实施例,附图中:
图1为说明根据实施例的基板加工装置的示意性剖视图。
图2为根据示例性实施例的气体分配装置的分解透视图。
图3为根据示例性实施例的气体分配装置的局部分解剖视图。
图4为根据另一示例性实施例的气体分配装置的分解透视图。
图5为根据再一示例性实施例的气体分配装置的局部分解剖视图。
图6为说明根据另一示例性实施例的基板加工装置的示意性剖视图。
图7及图8为根据再一示例性实施例的基板加工装置的示意性剖视图。
具体实施方式
以下,将详细阐述本发明的示例性实施例。然而,本发明可实施为不同形式,而不应被视为仅限于本文所述的实施例。更确切而言,提供这些实施例是为了使本揭示内容透彻及完整,并将向所属领域的技术人员充分传达本发明的范围。
图1为基板加工装置的示意性剖视图,且图2为根据示例性实施例的气体分配装置的分解剖视图。此外,图3为根据示例性实施例的气体分配装置的局部分解剖视图。
参见图1,根据示例性实施例的基板加工装置包括:反应室100,具有预定反应空间;基板支撑部件200,用于支撑基板10;工艺气体供应部件300,用于供应工艺气体;以及气体分配部件400,设置于所述反应室中以分配至少两种活化工艺气体。此外,所述基板加工装置还可包括:第一等离子体产生部件500,用于产生第一工艺气体的等离子体;以及第二等离子体产生部件600,设置于反应室100外部以产生第二工艺气体的等离子体。此处,第二等离子体产生部件600可产生密度比第一等离子体产生部件500的等离子体高的等离子体。
反应室100界定预定区且将所述区保持为密封的。反应室100可包括:反应部件100a,包括平坦部及自所述平坦部向上延伸的侧壁部;以及盖100b,位于具有近似圆形形状的反应部件100a上,且将所述反应室保持为密封的。当然,反应部件100a及盖100b除所述圆形形状外还可被形成为各种形状,例如形成为与基板10的形状对应的形状。排放管道(discharge pipe)110连接至反应室100的侧下部,例如位于基板支撑部件200之下,且排放装置(未示出)连接至排放管道110。此处,可利用例如涡轮分子泵(turbo molecular pump)等真空泵作为排放装置,且据此,反应室100的内部被配置成处于减压环境下,例如被真空抽吸至大约0.1毫托以下的预定压力。排放管道110可设置于反应室中的下部以及侧表面处。另外,为减少排放时间,还可安装多个排放管道110及对应排放装置。此外,可在反应室内设置绝缘构件120,以使气体分配部件400与反应室100绝缘。同时,可在反应室100的侧部之外设置电磁体(未示出)。
基板支撑构件200设置于反应室100的下部,且设置于面对气体分配部件400的位置。基板支撑构件200可具有例如静电吸盘(electrostatic chuck)等,以便可安放被引入至反应室100中的基板10。基板10可通过静电力被保持吸附至静电吸盘。此处,除静电力之外,所述基板也可通过真空吸附或机械力来保持。此外,尽管基板支撑构件200被设置为近似圆形形状,然而基板支撑构件200可被设置为与基板10的形状对应的形状,且可被形成为比基板10的尺寸大的尺寸。此处,基板10可包括用于制造半导体元件的近似圆形硅基板以及用于制造显示元件的近似矩形玻璃基板。在基板支撑构件200的下部设置有使基板支撑构件200上/下移动的基板升降机(substrate lifter)210。当基板10安放于基板支撑构件200上时,基板升降机210使基板支撑构件200移动至靠近气体分配部件400。此外,可在基板支撑构件200内装有加热器(未示出)。所述加热器产生热量达预定温度以加热基板10,使得可对基板10容易地执行薄膜沉积工艺等。使用卤素灯作为所述加热器,且卤素灯可在基板支撑构件200的周围设置成围绕基板支撑构件200。此处,所产生的能量通过能量对流而加热基板支撑构件200,以提升基板10的温度。同时,还可在基板支撑构件200内设置冷却管(cooling tube)(未示出)。所述冷却管允许制冷剂在基板支撑构件200内循环,从而将低的温度传递至基板以将所述基板的温度控制在所需温度。当然,加热器及冷却管可不设置于基板支撑构件200中,而是设置于反应室100外部。因此,基板10可由设置于基板支撑构件200内或反应室100外部的加热器加热,且可通过调整所设置加热器的数目而加热至大约50℃至大约800℃。同时,偏压电源220连接至基板支撑构件200,且可控制由偏压电源220传入至基板10的离子的能量。
工艺气体供应部件300包括多个工艺气体存储器(未示出)以及多个工艺气体供应管310及320,所述多个工艺气体存储器分别存储多种工艺气体,所述多个工艺气体供应管310及320自所述工艺气体存储器向气体分配部件400供应工艺气体。举例而言,第一工艺气体供应管310可穿过反应室100的上中心部(upper central portion)以连接至气体分配部件400,且第二工艺气体供应管320可穿过反应室100的上外部(upper outer portion)以连接至气体分配部件400。此处,可设置至少一个第一工艺气体供应管310,且可设置多个第二工艺气体供应管320以环绕第一气体供应管310。此外,尽管未示出,然而可在所述多个工艺气体供应管310及320的预定区中设置用于控制工艺气体的供应的阀门、质量流量控制器(mass flow controller)等。同时,可利用例如含硅气体及含氧气体作为薄膜沉积气体。含硅气体可包括SiH4等,且含氧气体可包括O2、H2O、O3等。此处,含硅气体及含氧气体被经由互不相同的工艺气体供应管310及320供应。举例而言,含硅气体可经由第一工艺气体供应管310供应,且含氧气体可经由第二工艺气体供应管320供应。此外,可与薄膜沉积气体一起供应例如H2、Ar等惰性气体。所述惰性气体可与含硅气体及含氧气体一起经由第一工艺气体供应管310及第二工艺气体供应管320供应。同时,由于第二工艺气体供应管320用作在其中产生工艺气体的等离子体的等离子体产生管,因此第二工艺气体供应管320可由蓝宝石、石英、陶瓷等制成。
在气体分配部件400中具有预定空间,且气体分配部件400可包括接收第一工艺气体的第一区S1及接收第二工艺气体的第二区S2。此气体分配部件400可包括在垂直方向上彼此间隔开预定距离的上板410、中间板420及下板430。此处,第二区S2可设置于上板410与中间板420之间,且第一区S1可设置于中间板420与下板430之间。此外,在上板410与中间板420之间可设置有至少一个扩散板440,且在中间板420与下板430之间可设置有至少一个绝缘构件455,所述至少一个绝缘构件455保持中间板420与下板430之间的间隙及绝缘。另外,可设置多个注入喷嘴460,使注入喷嘴460自中间板420经由第一区S1穿过下板430。此气体分配部件400将自第一区S1接收的第一工艺气体活化至等离子体状态,且经由第二区S2接收在反应室100外被活化至等离子体状态的第二工艺气体。为此,中间板420与下板430可分别用作上电极及下电极以在中间板420与下板430之间的第一区中产生等离子体。下文将参照图2及图3详细阐述气体分配部件400的这些结构及功能。
设置有第一等离子体产生部件500,以将供应至反应室100中的第一工艺气体激发至等离子体状态。为此,在示例性实施例中,第一等离子体产生部件500利用CCP方法。即,第一等离子体产生部件500将供应至气体分配部件400的第一区S1的工艺气体激发至等离子体状态。此第一等离子体产生部件500可包括:电极,设置于气体分配部件400中;第一电力供应部件510,对所述电极施加第一射频电力;以及接地电力供应器,向所述电极供应接地电力。所述电极可包括设置于气体分配部件400中的中间板420及下板430。即,对中间板420供应第一射频电力,且下板430被接地,且因此,在中间板420与下板430之间的第一区S1产生工艺气体的等离子体。为此,中间板420及下板430可由导电材料制成。第一电力供应部件510通过穿透反应室100的侧表面而连接至中间板420,且供应射频电力以在第一区S1产生等离子体。第一电力供应部件510可包括射频电力供应器及匹配器(matcher)。所述射频电力供应器产生例如大约13.56MHz的射频电力。所述匹配器探测反应室100的阻抗,且产生相位与所探测阻抗的虚部阻抗分量相反的虚部阻抗分量,且因此可对反应室100供应最大电力,从而使所述阻抗等于作为实部阻抗分量的电阻。因此,可产生最佳等离子体。下板430可连接至反应室100的侧表面,且反应室100连接至接地端子(earth terminal),使得下板430也保持接地电位(earth potential)。因此,当对中间板420施加射频电力时,由于下板430保持接地状态,因此在中间板420与下板430之间产生电位差,因而工艺气体在第一区S1被激发至等离子体状态。此处,中间板420与下板430之间的间隙(即,第一区S1的垂直间隙)被有利地保持为可在其中激发等离子体的最小间隙或大于所述最小间隙。举例而言,可保持大约3mm以上的间隙。因此,在第一区S1被激发的工艺气体经由下板430的贯穿孔被注入至基板10上。
第二等离子体产生部件600在反应室100外产生工艺气体的等离子体。为此,第二等离子体产生部件600可利用ICP型、螺旋波型、及远程等离子体型中的至少一种,且在当前实施例中阐述螺旋波形方法作为实例。此第二等离子体产生部件600包括:天线610,被设置成环绕多个第二工艺气体供应管;线圈620,被设置成围绕第二工艺气体供应管320以产生磁场;以及第二射频电力供应器630,连接至天线610。第二工艺气体供应管320可由蓝宝石、石英、陶瓷等形成以便可在第二工艺气体供应管320中产生工艺气体的等离子体,且第二工艺气体供应管320被设置成具有预定的桶形状。天线610被设置成在反应室100的上外侧(upper outside)环绕第二工艺气体供应管320,且接收来自第二射频电力供应器630的第二射频电力,并且在第二工艺气体供应管320中将第二工艺气体激发至等离子体状态。天线610被设置成具有管形状,且允许冷却水在天线610中流动,从而在施加射频电力时防止温度升高。此外,磁场产生线圈620被设置成围绕第二工艺气体供应管320,使得在第二气体供应管320处由等离子体产生的自由基正常地到达基板10。在此第二等离子体产生部件600中,当自工艺气体供应部件300引入第二工艺气体且由第二射频电力供应器630对天线610施加第二射频电力、同时通过所排放气体将第二工艺气体供应管320的内部保持于适当压力时,在第二工艺气体供应管320中产生等离子体。此外,允许电流在磁场产生线圈620中在彼此相反的方向上流动,使得磁场被陷获于围绕第二工艺气体供应管320的空间中。举例而言,当允许电流在第二工艺气体供应管320的内侧的线圈620中流动而使得在朝向基板10的方向上产生磁场、且允许电流在第二工艺气体供应管320的外侧的线圈620中流动而使得在与基板10相反的方向上产生磁场时,所述磁场可陷获于围绕第二工艺气体供应管320的空间中。因此,尽管第二工艺气体供应管320与基板10之间的距离小,然而磁场在基板10周围保持于低水平,且因此可在相对高真空下产生高密度等离子体且可在损坏小的情况下对基板10进行处理。
参见图2及图3,将对气体分配部件更详细地阐述如下。
气体分配部件400可包括彼此间隔开预定距离的上板410、中间板420及下板430。此外,在上板410与中间板420之间可设置有至少一个扩散板440,且在中间板420与下板430之间可设置有至少一个绝缘构件455,所述至少一个绝缘构件455保持中间板420与下板430之间的间隙并使中间板420与下板430绝缘。另外,可设置有多个注入喷嘴460,使注入喷嘴460自中间板420经由第一区S1穿过下板430。
上板410可被设置成具有与基板10的形状对应的板形状。即,当基板具有圆形形状时,上板410可被设置成具有圆形板形状,且当基板10具有矩形形状时,上板410可被设置成具有矩形板形状。在当前实施例中,阐述其中气体分配部件400被设置成具有圆形形状且据此上板410等具有圆形形状的情形。在上板410中,可形成有多个插入孔411及412,工艺气体供应管310及320插入所述多个插入孔411及412中。即,在上板410的中心部形成有第一插入孔411,且可在上板410的外部形成有多个第二插入孔412,第一工艺气体供应管310以穿透方式插入第一插入孔411中,多个第二工艺气体供应管320穿过所述多个第二插入孔412。此处,第一插入孔411及第二插入孔412的直径是根据第一工艺气体供应管310及第二工艺气体供应管320来形成,使得第一工艺气体供应管310及第二工艺气体供应管320可插入第一插入孔411及第二插入孔412中。第一插入孔411及第二插入孔412的直径可相同或不同。同时,在上板410的边缘部设置有凸缘,且因此所述凸缘可用于将绝缘构件450耦合于上板410与中间板420之间。
中间板420可被设置成具有与上板410为相同形状的板形状。即,中间板420可被设置成具有与基板10的形状对应的板形状。此外,在中间板420中形成有多个贯穿孔。所述多个注入喷嘴可插入至所述多个贯穿孔421中。此外,在中间板420的中心部形成有插入孔,第一工艺气体供应管310以穿透方式插入插入孔中。此处,上板410与中间板420之间的区变成第二区S2,且在反应室100外活化的工艺气体被供应至第二区S2。即,第二工艺气体供应管320穿过上板410,且其出口位于第二区S2。由于在反应室100外由等离子体活化的工艺气体是由第二工艺气体供应管320供应,因此活化工艺气体被供应至区S2。此外,可在贯穿孔421的上部形成具有预定厚度的台阶部423,如图3所示。即,贯穿孔421的上部被凹进成具有比贯穿孔421的直径大的直径,且凹进部变成台阶部423。台阶部423允许注入喷嘴460的上部置于台阶部423上,使得注入喷嘴460可由中间板420支撑。
同时,可在上板410与中间板420之间设置有至少一个扩散板440。扩散板440被设置成使供应至第二区S2的活化工艺气体均匀地扩散于第二区S2上。即,由于扩散板440垂直地设置于第二区S2中,因此工艺气体被供应至扩散板440的上侧且被扩散板440扩散,使得所述工艺气体可均匀地分配于第二区S2上。此处,在扩撒板440中形成有多个贯穿孔。即,多个贯穿孔形成于扩散板440中,以均匀地分配供应至第二区S2的工艺气体且使所分配气体朝中间板420移动。此处,形成于扩散板440中的所述多个贯穿孔可被形成为具有相同的尺寸及间隔,或者具有不同的尺寸及间隔。举例而言,由于更大量的工艺气体被供应至位于第二工艺气体供应管320正下方的区,因此位于第二工艺气体供应管320正下方的贯穿孔441可具有更小的尺寸,且随着距第二工艺气体供应管320越远,贯穿孔441可具有越大的尺寸。此外,位于第二工艺气体供应管320正下方的贯穿孔441可在各贯穿孔441之间具有更大的间隔,且随着距第二工艺气体供应管320越远,贯穿孔441之间可具有越小的间隔。即,当各贯穿孔441的尺寸被形成为相同时,随着距第二工艺气体供应管320越远,各贯穿孔441之间的间隔可被形成为越小。此外,当各贯穿孔441之间的间隔被形成为相同时,随着距第二工艺气体供应管320越远,各贯穿孔441的尺寸可被形成为越大。同时,可在扩散板440的中心部形成有插入孔442,第一工艺气体供应管310以穿透方式插入插入孔442。即,第一工艺气体供应管310可在穿透扩散板440的插入孔442及中间板420的插入孔之后,延伸至中间板420的下侧。
同时,在上板410与中间板420之间设置有绝缘构件450,以保持上板410与中间板420之间的距离并保持彼此绝缘。因此,可根据绝缘构件450的厚度来确定第一区S1的宽度。绝缘构件450可被设置成具有例如环形状,以便设置于上板410与中间板420的边缘区之间。此外,扩散板440可设置于绝缘构件450的内侧。同时,还可在中间板420与下板430之间设置有第二绝缘构件455,以使中间板420与下板430绝缘。
下板430与中间板420间隔开且设置于中间板420之下。下板430被设置成与上板410及中间板420具有相同的尺寸,且被设置成具有近似圆形板形状。中间板420与下板430之间的区变成第一区S1。自第一工艺气体供应部件310向第一区S1供应工艺气体。此外,在下板430中形成有多个贯穿孔431。所述多个注入喷嘴460可插入至所述多个贯穿孔431的一部分中。因此,下板430的所形成贯穿孔431的数目多于中间板420的贯穿孔421的数目,例如可为中间板420的贯穿孔421的数目的两倍。即,下板430的贯穿孔431的一部分可在区S1中朝下侧注入活化气体,且注入喷嘴460插入至贯穿孔431的另一部分中。此处,其中插入有注入喷嘴460的贯穿孔421与其中未插入有注入喷嘴460的贯穿孔421可被安置成彼此邻近。即,为均匀地注入经由注入喷嘴460注入的第二工艺气体及经由贯穿孔431注入的第一工艺气体,贯穿孔421可被均匀地安置且彼此邻近。同时,中间板420及下板430用作用于活化被供应至第一区S1的第一工艺气体的电极。举例而言,对中间板420施加射频电力,且下板430被接地,因而被供应至第一区S1的工艺气体可被激发至等离子体状态。此外,绝缘构件455设置于中间板420与下板430之间,以保持中间板420与下板430之间的距离且使中间板420与下板430彼此绝缘。因此,可根据绝缘构件455的厚度来确定第一区S1的宽度。绝缘构件455可被设置成具有例如环形状,以便设置于中间板420与下板430的边缘区之间。
注入喷嘴460可被设置成具有管形状,所述管形状具有预定长度及直径。此注入喷嘴460可自中间板420经由第一区S1插入至下板430中。即,注入喷嘴460可插入至中间板420的贯穿孔421及下板430的贯穿孔431中,中间板420与下板430彼此间隔开且在中间板420与下板430之间具有第一区S1。因此,自外部活化且被供应至区S2的工艺气体可经由注入喷嘴460注入至基板10上。同时,由于中间板420及下板430是由导电材料形成且可分别用作上电极及下电极,因此注入喷嘴460可由绝缘材料形成以使中间板420与下板430绝缘。同时,注入喷嘴460可在其上部具有头部461,头部461具有大于注入喷嘴460的其他区的宽度,如图3所示。所述头部通过由中间板420的台阶部423止挡而被支撑。即,注入喷嘴460的主体以穿透方式插入至中间板420的贯穿孔421中,且注入喷嘴460的头部由中间板420的台阶部423止挡,因而注入喷嘴460可由中间板420支撑。
如上所述,根据示例性实施例的基板加工装置的气体分配部件400具有在垂直方向上彼此间隔开的第一区S1与第二区S2。第一区S1及第二区S2中的任一个容置在反应室100外被激发至等离子体状态的工艺气体,且另一个激发被供应至气体分配部件400的工艺气体。即,根据示例性实施例的气体分配部件400的至少一部分用作激发工艺气体的电极。举例而言,气体分配部件400包括在垂直方向上彼此间隔开预定距离的上板410、中间板420及下板430。在反应室100外被激发至等离子体状态的工艺气体供应至上板410与中间板420之间的第二区S2,且供应至中间板420与下板430之间的第一区S1的工艺气体被中间板420及下板430激发至等离子体状态,中间板420及下板430分别用作上电极及下电极。此外,注入喷嘴460被设置成穿过中间板420、第一区S1及下板430,以将第二区S2的激发工艺气体注入至基板10上。因此,由于不在反应室100中的基板10上产生工艺气体的等离子体,因此可防止等离子体对基板10造成损坏。
此外,示例性实施例的气体分配部件400还可包括盖板470,盖板470位于扩散板440与中间板420之间,如图4及图5所示。此外,还可在中间板420或下板430与绝缘构件450之间包括间隙调整构件480。
盖板470可设置于扩散板440与中间板420之间,以接触中间板420的上表面。此处,盖板470被设置成覆盖注入喷嘴460,注入喷嘴460的头部部件461被中间板420的台阶部423支撑且被插入至中间板420。由于设置有盖板470,因而可防止工艺气体的颗粒在中间板420与注入喷嘴460之间积聚。此外,可在中间板420的盖板470接触到的部分形成台阶。即,可形成台阶,所述台阶在中间板420的与盖板470接触的上表面的中心区和中间板420的不与盖板470的一个表面接触的边缘之间具有为盖板470的厚度的高度。中间板420的边缘比中间板420的上表面高出盖板470的高度。因此,在盖板470装于中间板420上之后,中间板420的边缘与盖板470可变得共面。此外,在盖板470中形成有多个贯穿孔471,且在盖板470的中心部形成有贯穿孔,第一工艺气体供应管310插入贯穿孔中。所述多个贯穿孔471可形成于相同位置,且与形成于中间板420中的所述多个贯穿孔421具有相同的尺寸。即,所述多个贯穿孔471与中间板420的所述多个贯穿孔421重叠。
可设置有至少一个间隙调整构件480,以调整中间板420与下板430之间的间隙。即,中间板420与下板430之间的间隙(即,第一区S1的间隙)是由绝缘构件455的厚度来固定。通过将至少一个间隙调整构件480插入至绝缘构件455的下侧或上侧,可根据间隙调整构件480的厚度来调整第一区S1的间隙。此间隙调整构件480可被设置成与绝缘构件455具有相同的形状(例如,环形状),且可被设置成与绝缘构件455具有相同的直径。
同时,根据示例性实施例的所述气体分配部件在所述气体分配部件下部中的第一区S1处产生第一工艺气体的等离子体,且容置自外部激发至等离子体状态且被供应至气体分配部件上部中的第二区S2的第二工艺气体。然而,如图6所示示例性实施例的气体分配部件可在第一区S1中容置被激发至等离子体状态且自外部供应的第二工艺气体,并且可在上板410与中间板420之间的第二区S2中产生第一工艺气体的等离子体。为此,自第一电力供应部件510对上板410供应电力,且中间板420被接地。此处,注入喷嘴460可自第二区S2穿过第一区S1且延伸至反应室100的内部空间,并且注入在第二区S2中产生的处于等离子体状态的第二工艺气体。
此外,包含上述气体分配部件的基板加工装置可以各种方式进行修改,且下文将参照图7及图8来阐述基板加工装置的这些各种实施例。
图7为根据示例性实施例的基板加工装置的示意性剖视图,其中还可包括磁场产生部件,磁场产生部件设置于反应室100内且产生用于活化等离子体的磁场。即,根据另一示例性实施例的基板加工装置可包括:反应室100,界定预定反应空间;基板支撑部件200,设置于反应室100的内下部且支撑基板10;工艺气体供应部件300,支撑工艺气体;气体分配部件400,设置于反应室100内且分配至少两种活化工艺气体;第一等离子体产生部件500,用于在气体分配部件400内产生第一工艺气体的等离子体;第二等离子体产生部件600,设置于反应室100外部以产生第二工艺气体的等离子体;以及磁场产生部件,设置于反应室100内以产生用于活化等离子体的磁场。
磁场产生部件设置于反应室100内,以在反应室100内产生磁场。此磁场产生部件可包括例如第一磁体710及第二磁体720,第一磁体710设置于气体分配部件400的上部,第二磁体720设置于基板支撑构件200的下部。即,第一磁体710可设置于气体分配部件400与反应室100的盖之间,且第二磁体720可设置于反应室100的处于基板支撑构件200之下的内底面处。然而,第一磁体710及第二磁体720可设置于其中执行等离子体处理的区,即气体分配部件400的下部与基板支撑构件200的上部区的外部的任何部分处。举例而言,第一磁体710可设置于气体分配部件400的内上部(即,第二区S2),且第二磁体720可设置于基板支撑构件200与反应室100的底面之间。此外,第一磁体710及第二磁体720可被设置成具有互不相同的极性。即,第一磁体710及第二磁体720可被设置为分别具有N极及S极的单个磁体,或可被设置为分别具有S极及N极的单个磁体。这些第一磁体710及第二磁体720可被设置为永久磁体、电磁体等,且可设置壳体以使所述磁体设置于所述壳体中且所述壳体自外部环绕所述磁体。即,第一磁体710及第二磁体720可被制造成使得永久磁体、电磁体等可设置于具有预定内部空间的壳体中。此处,所述壳体可由例如铝材料形成。此外,第一磁体710及第二磁体720可被设置为单个磁体,且可被设置成具有基板10的形状及尺寸。同时,第一磁体710可具有供插入第一工艺气体供应管310及第二工艺气体供应管320的开口,且第二磁体720可具有供基板升降机210在其中上下移动的开口。由于具有互不相同极性的第一磁体710及第二磁体720分别设置于反应室100的上部及下部,因此在反应室100中在垂直方向上产生磁场。等离子体可被在垂直方向上产生的此磁场活化,且据此可提高等离子体的密度。即,在反应室100的下部以及上部,等离子体可被产生成具有近似相同的密度。因此,等离子体的密度可保持为高的,使得沉积于基板10上的薄膜的品质可得以提高且所述薄膜的蚀刻速率可得以提高。
图8为根据另一示例性实施例的基板加工装置的剖视图。
参见图8,根据另一示例性实施例的基板加工装置可包括:反应室100,界定预定反应空间;基板支撑部件200,设置于反应室100的内下部以支撑基板10;工艺气体供应部件300,用于供应工艺气体;气体分配部件400,设置于反应室100内以分配至少两种活化工艺气体;第一等离子体产生部件500,用于在气体分配部件400内产生第一工艺气体的等离子体;第二等离子体产生部件600,设置于反应室100外部以产生第二工艺气体的等离子体;以及过滤器部件800,设置于基板支撑部件200与气体分配部件400之间。此外,还可包括磁场产生部件,磁场产生部件设置于反应室100内以产生用于活化等离子体的磁场。
过滤器部件800设置于基板支撑部件200与气体分配部件400之间,且具有连接至反应室100的侧壁的侧表面。因此,过滤器部件800可保持接地电位。此过滤器部件800过滤自气体分配部件400注入的等离子体的离子、电子及光。即,当自气体分配部件400注入的激发工艺气体穿过过滤器部件800时,离子、电子及光被阻挡,且仅反应种子(reaction seed)可与基板10发生反应。此过滤器部件800允许等离子体碰撞过滤器部件800至少一次且接着施加至基板10。这样,当等离子体碰撞具有接地电位的过滤器部件800时,可吸附具有大能量的离子及电子。此外,等离子体的光碰撞过滤器部件800且可不透射。此过滤器部件800可被设置成具有各种形状,例如,可被形成为在其中形成有多个贯穿孔810的单个板;可被形成为使其中形成有贯穿孔810的多个板设置于多个层中,使得每一个板的贯穿孔810彼此错位;或者也可被形成为具有板形状,使得多个贯穿孔810具有预定弯曲路径。
根据示例性实施例的基板加工装置的气体分配装置包括在所述气体分配装置中在垂直方向上彼此分隔开的第一区与第二区。第一区及第二区中的任一个容置在自外部被激发至等离子体状态后供应的工艺气体,且另一个将供应至气体分配部件的工艺气体激发至等离子体状态。即,根据示例性实施例的气体分配部件400的至少一部分用作用于激发工艺气体的电极。因此,由于不在基板上产生工艺气体的等离子体,因而可防止等离子体对基板造成损坏。
此外,由于通过互不相同的方法来激发工艺气体,因此可提高基板上的工艺均匀性。
尽管已参照特定实施例阐述了气体分配装置及包含所述气体分配装置的基板加工装置,然而所述气体分配装置及包含所述气体分配装置的基板加工装置并非仅限于此。因此,所属领域的技术人员应容易地理解,可在不背离由随附权利要求书所界定的本发明精神及范围的条件下对本发明作出各种修改及改变。
Claims (14)
1.一种气体分配装置,其特征在于,包括在垂直方向上彼此间隔开的上板、中间板及下板,以具有在垂直方向上分隔开的第一区与第二区,
其中所述中间板施加有射频电力,所述下板接地,且在所述中间板与所述下板之间设置绝缘构件,
在所述中间板与所述下板之间的所述第一区中,注入自外部供应至所述第一区的第一工艺气体,且接着将其激发至等离子体状态,且
在所述上板与所述中间板之间的所述第二区中,注入自外部被激发至等离子体状态后供应的第二工艺气体,且接着容置所述第二工艺气体,且
所述气体分配装置还包括扩散板以及间隙调整构件,所述扩散板设置于所述上板与所述中间板之间,且在所述扩散板中形成有多个贯穿孔,所述间隙调整构件设置于所述绝缘构件的上侧及下侧的至少一个部分上并与所述绝缘构件具有相同的形状。
2.一种气体分配装置,其特征在于,包括在垂直方向上彼此间隔开的上板、中间板及下板,以具有在垂直方向上分隔开的第一区与第二区,
其中所述上板施加有射频电力,所述中间板接地,且在所述中间板与所述下板之间设置绝缘构件,
在所述上板与所述中间板之间的所述第一区中,注入自外部供应至所述第一区的第一工艺气体,且接着将其激发至等离子体状态,且在所述中间板与所述下板之间的所述第二区中,注入自外部被激发至等离子体状态后供应的第二工艺气体,且接着容置所述第二工艺气体,且
所述气体分配装置还包括扩散板以及间隙调整构件,所述扩散板设置于所述上板与所述中间板之间,且在所述扩散板中形成有多个贯穿孔,所述间隙调整构件设置于所述绝缘构件的上侧及下侧的至少一个部分上并与所述绝缘构件具有相同的形状。
3.根据权利要求2所述的气体分配装置,其特征在于,所述上板施加有射频电力,所述中间板接地,且在所述上板与所述中间板之间设置绝缘构件。
4.根据权利要求1或2所述的气体分配装置,其特征在于,还包括自所述中间板穿透所述下板的多个注入喷嘴。
5.根据权利要求4所述的气体分配装置,其特征在于,
所述中间板形成有多个第一贯穿孔,所述多个注入喷嘴穿过所述第一贯穿孔;且
所述下板形成有:多个第二贯穿孔,所述多个注入喷嘴穿过所述第二贯穿孔,以及
多个第三贯穿孔,所述多个第三贯穿孔将所述工艺气体注入所述中间板与所述下板之间的区中。
6.根据权利要求5所述的气体分配装置,其特征在于,所述第二贯穿孔与所述第三贯穿孔形成为相同的尺寸及数目。
7.根据权利要求5所述的气体分配装置,其特征在于,在所述中间板的所述第一贯穿孔的上部设置有台阶部,所述台阶部的直径大于所述第一贯穿孔的直径,且所述注入喷嘴的上部由所述台阶部支撑。
8.根据权利要求4所述的气体分配装置,其特征在于,还包括盖板,所述盖板具有一个表面接触所述中间板的上表面且所述盖板中形成有多个贯穿孔。
9.一种基板加工装置,其特征在于,包括:
反应室,具有反应空间;
基板支撑构件,设置于所述反应室内以支撑基板;
气体分配部件,设置成面对所述基板支撑构件且所述气体分配部件中包括在垂直方向上分隔开的第一区与第二区,其中在所述第一区中,注入自外部供应至所述第一区的第一工艺气体,且接着将其激发至等离子体状态,且在所述第二区中,注入自外部被激发至等离子体状态后供应的第二工艺气体,且接着容置所述第二工艺气体;以及
等离子体产生部件,用于在所述反应室外及所述气体分配部件内产生工艺气体的等离子体,
其中所述气体分配部件包括在垂直方向上彼此间隔开的上板、中间板及下板,其中所述上板与所述中间板之间的空间是所述第二区,且所述中间板与所述下板之间的空间是所述第一区,
其中所述中间板施加有射频电力,所述下板接地,且在所述中间板与所述下板之间设置绝缘构件,且
所述气体分配部件还包括扩散板以及间隙调整构件,所述扩散板设置于所述上板与所述中间板之间,且在所述扩散板中形成有多个贯穿孔,所述间隙调整构件设置于所述绝缘构件的上侧及下侧的至少一个部分上并与所述绝缘构件具有相同的形状。
10.根据权利要求9所述的基板加工装置,其特征在于,还包括工艺气体供应部件,其包括向所述第一区供应所述第一工艺气体的第一工艺气体供应管及向所述第二区供应所述第二工艺气体的第二工艺气体供应管。
11.一种基板加工装置,其特征在于,包括:
反应室,具有反应空间;
基板支撑构件,设置于所述反应室内以支撑基板;
气体分配部件,设置成面对所述基板支撑构件且所述气体分配部件中包括在垂直方向上分隔开的第一区与第二区,其中在所述第一区中,供应且注入第一工艺气体,且接着将其激发至等离子体状态,且在所述第二区中,注入自所述反应室的外部被激发至等离子体状态后供应的第二工艺气体,且接着容置所述第二工艺气体;以及
等离子体产生部件,用于在所述反应室外及所述气体分配部件内产生工艺气体的等离子体,
其中所述气体分配部件包括在垂直方向上彼此间隔开的上板、中间板及下板,其中所述上板与所述中间板之间的空间是所述第一区,且所述中间板与所述下板之间的空间是所述第二区,且
其中所述上板施加有射频电力,所述中间板接地,且在所述上板与所述中间板之间设置绝缘构件,且
所述气体分配部件还包括扩散板以及间隙调整构件,所述扩散板设置于所述上板与所述中间板之间,且在所述扩散板中形成有多个贯穿孔,所述间隙调整构件设置于所述绝缘构件的上侧及下侧的至少一个部分上并与所述绝缘构件具有相同的形状。
12.根据权利要求9或11所述的基板加工装置,其特征在于,还包括自所述中间板穿过所述下板的多个注入喷嘴。
13.根据权利要求9或11所述的基板加工装置,其特征在于,所述等离子体产生部件包括:
电感耦合等离子体型的第一等离子体产生部件,用于在所述气体分配部件内产生等离子体;以及
电感耦合等离子体型、螺旋波型、及远程等离子体型的等离子体产生部件中的至少一个第二等离子体产生部件,用于在所述反应室外产生等离子体。
14.根据权利要求10所述的基板加工装置,其特征在于,还包括磁场产生部件与过滤器部件中的至少一个,所述磁场产生部件设置于所述反应室内以在所述基板支撑构件与所述气体分配部件之间的反应空间中产生磁场,所述过滤器部件设置于所述气体分配部件与所述基板支撑构件之间,以阻挡所述第一工艺气体和/或所述第二工艺气体的等离子体的一部分。
Applications Claiming Priority (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
KR1020140064956A KR101614032B1 (ko) | 2014-05-29 | 2014-05-29 | 가스 분배 장치 및 이를 구비하는 기판 처리 장치 |
KR10-2014-0064956 | 2014-05-29 | ||
KR10-2014-0138223 | 2014-10-14 | ||
KR1020140138223A KR101632376B1 (ko) | 2014-10-14 | 2014-10-14 | 가스 분배 장치 및 이를 구비하는 기판 처리 장치 |
Publications (2)
Publication Number | Publication Date |
---|---|
CN105185681A CN105185681A (zh) | 2015-12-23 |
CN105185681B true CN105185681B (zh) | 2017-08-08 |
Family
ID=54702606
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN201510242245.XA Active CN105185681B (zh) | 2014-05-29 | 2015-05-13 | 气体分配装置及包含所述气体分配装置的基板加工装置 |
Country Status (3)
Country | Link |
---|---|
US (1) | US20150348755A1 (zh) |
JP (1) | JP6042942B2 (zh) |
CN (1) | CN105185681B (zh) |
Families Citing this family (341)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
US8562785B2 (en) * | 2011-05-31 | 2013-10-22 | Lam Research Corporation | Gas distribution showerhead for inductively coupled plasma etch reactor |
US9245717B2 (en) | 2011-05-31 | 2016-01-26 | Lam Research Corporation | Gas distribution system for ceramic showerhead of plasma etch reactor |
US9312155B2 (en) | 2011-06-06 | 2016-04-12 | Asm Japan K.K. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US10364496B2 (en) | 2011-06-27 | 2019-07-30 | Asm Ip Holding B.V. | Dual section module having shared and unshared mass flow controllers |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
US9659799B2 (en) | 2012-08-28 | 2017-05-23 | Asm Ip Holding B.V. | Systems and methods for dynamic semiconductor process scheduling |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
US9589770B2 (en) | 2013-03-08 | 2017-03-07 | Asm Ip Holding B.V. | Method and systems for in-situ formation of intermediate reactive species |
US9484191B2 (en) | 2013-03-08 | 2016-11-01 | Asm Ip Holding B.V. | Pulsed remote plasma method and system |
US9240412B2 (en) | 2013-09-27 | 2016-01-19 | Asm Ip Holding B.V. | Semiconductor structure and device and methods of forming same using selective epitaxial process |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
KR102451499B1 (ko) * | 2014-05-16 | 2022-10-06 | 어플라이드 머티어리얼스, 인코포레이티드 | 샤워헤드 설계 |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
KR101744379B1 (ko) | 2014-11-11 | 2017-06-08 | 주식회사 아르케 | 증착장치 |
KR102263121B1 (ko) | 2014-12-22 | 2021-06-09 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 및 그 제조 방법 |
US10249479B2 (en) * | 2015-01-30 | 2019-04-02 | Applied Materials, Inc. | Magnet configurations for radial uniformity tuning of ICP plasmas |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US9960072B2 (en) | 2015-09-29 | 2018-05-01 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US10322384B2 (en) * | 2015-11-09 | 2019-06-18 | Asm Ip Holding B.V. | Counter flow mixer for process chamber |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
KR20180094109A (ko) * | 2016-01-07 | 2018-08-22 | 어플라이드 머티어리얼스, 인코포레이티드 | 원격 플라즈마 소스 및 dc 전극을 구비하는 원자 층 에칭 시스템 |
US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10501866B2 (en) | 2016-03-09 | 2019-12-10 | Asm Ip Holding B.V. | Gas distribution apparatus for improved film uniformity in an epitaxial system |
US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
US9892913B2 (en) | 2016-03-24 | 2018-02-13 | Asm Ip Holding B.V. | Radial and thickness control via biased multi-port injection settings |
WO2017169556A1 (ja) * | 2016-03-30 | 2017-10-05 | 東京エレクトロン株式会社 | プラズマ電極およびプラズマ処理装置 |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10428426B2 (en) * | 2016-04-22 | 2019-10-01 | Applied Materials, Inc. | Method and apparatus to prevent deposition rate/thickness drift, reduce particle defects and increase remote plasma system lifetime |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
KR102592471B1 (ko) | 2016-05-17 | 2023-10-20 | 에이에스엠 아이피 홀딩 비.브이. | 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법 |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
KR102354490B1 (ko) | 2016-07-27 | 2022-01-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
KR102532607B1 (ko) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 가공 장치 및 그 동작 방법 |
US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
KR102613349B1 (ko) | 2016-08-25 | 2023-12-14 | 에이에스엠 아이피 홀딩 비.브이. | 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법 |
US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
KR20180068582A (ko) | 2016-12-14 | 2018-06-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
KR102700194B1 (ko) | 2016-12-19 | 2024-08-28 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11694911B2 (en) * | 2016-12-20 | 2023-07-04 | Lam Research Corporation | Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
US10283353B2 (en) | 2017-03-29 | 2019-05-07 | Asm Ip Holding B.V. | Method of reforming insulating film deposited on substrate with recess pattern |
KR102457289B1 (ko) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US11201036B2 (en) | 2017-06-09 | 2021-12-14 | Beijing E-Town Semiconductor Technology Co., Ltd | Plasma strip tool with uniformity control |
US10790119B2 (en) * | 2017-06-09 | 2020-09-29 | Mattson Technology, Inc | Plasma processing apparatus with post plasma gas injection |
US12040200B2 (en) | 2017-06-20 | 2024-07-16 | Asm Ip Holding B.V. | Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus |
US20190003054A1 (en) * | 2017-06-28 | 2019-01-03 | Wuhan China Star Optoelectronics Technology Co., Ltd. | Vapor deposition apparatus |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
US10312055B2 (en) | 2017-07-26 | 2019-06-04 | Asm Ip Holding B.V. | Method of depositing film by PEALD using negative bias |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
KR102491945B1 (ko) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
KR102401446B1 (ko) | 2017-08-31 | 2022-05-24 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
KR102630301B1 (ko) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치 |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
KR102443047B1 (ko) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 방법 및 그에 의해 제조된 장치 |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
KR102597978B1 (ko) | 2017-11-27 | 2023-11-06 | 에이에스엠 아이피 홀딩 비.브이. | 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치 |
CN111344522B (zh) | 2017-11-27 | 2022-04-12 | 阿斯莫Ip控股公司 | 包括洁净迷你环境的装置 |
US10290508B1 (en) | 2017-12-05 | 2019-05-14 | Asm Ip Holding B.V. | Method for forming vertical spacers for spacer-defined patterning |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
CN111630203A (zh) | 2018-01-19 | 2020-09-04 | Asm Ip私人控股有限公司 | 通过等离子体辅助沉积来沉积间隙填充层的方法 |
TWI799494B (zh) | 2018-01-19 | 2023-04-21 | 荷蘭商Asm 智慧財產控股公司 | 沈積方法 |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
US11685991B2 (en) | 2018-02-14 | 2023-06-27 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
KR102501472B1 (ko) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US11189502B2 (en) * | 2018-04-08 | 2021-11-30 | Applied Materials, Inc. | Showerhead with interlaced gas feed and removal and methods of use |
US10943768B2 (en) * | 2018-04-20 | 2021-03-09 | Applied Materials, Inc. | Modular high-frequency source with integrated gas distribution |
KR102670124B1 (ko) * | 2018-05-03 | 2024-05-28 | 주성엔지니어링(주) | 기판 처리 장치 |
TWI811348B (zh) | 2018-05-08 | 2023-08-11 | 荷蘭商Asm 智慧財產控股公司 | 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構 |
US12025484B2 (en) | 2018-05-08 | 2024-07-02 | Asm Ip Holding B.V. | Thin film forming method |
KR20190129718A (ko) | 2018-05-11 | 2019-11-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조 |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
TWI840362B (zh) | 2018-06-04 | 2024-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 水氣降低的晶圓處置腔室 |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
US11164725B2 (en) * | 2018-06-11 | 2021-11-02 | Beijing E-town Semiconductor Technology Co., Ltd. | Generation of hydrogen reactive species for processing of workpieces |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
JP2021529254A (ja) | 2018-06-27 | 2021-10-28 | エーエスエム・アイピー・ホールディング・ベー・フェー | 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法 |
TWI815915B (zh) | 2018-06-27 | 2023-09-21 | 荷蘭商Asm Ip私人控股有限公司 | 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法 |
KR102686758B1 (ko) | 2018-06-29 | 2024-07-18 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102707956B1 (ko) | 2018-09-11 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
KR20200038184A (ko) | 2018-10-01 | 2020-04-10 | 에이에스엠 아이피 홀딩 비.브이. | 기판 유지 장치, 장치를 포함하는 시스템, 및 이를 이용하는 방법 |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
KR102605121B1 (ko) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (ko) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US12040199B2 (en) | 2018-11-28 | 2024-07-16 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
DE102018130859A1 (de) * | 2018-12-04 | 2020-06-04 | Aixtron Se | CVD-Reaktor mit einem von einer Schirmplatten-Anordnung abgedeckten Gaseinlassorgan |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
TW202037745A (zh) | 2018-12-14 | 2020-10-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成裝置結構之方法、其所形成之結構及施行其之系統 |
TW202405220A (zh) | 2019-01-17 | 2024-02-01 | 荷蘭商Asm Ip 私人控股有限公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
KR20200091543A (ko) | 2019-01-22 | 2020-07-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
JP1648531S (zh) * | 2019-01-28 | 2019-12-23 | ||
CN111524788B (zh) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | 氧化硅的拓扑选择性膜形成的方法 |
TWI845607B (zh) | 2019-02-20 | 2024-06-21 | 荷蘭商Asm Ip私人控股有限公司 | 用來填充形成於基材表面內之凹部的循環沉積方法及設備 |
KR102626263B1 (ko) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
US11482533B2 (en) | 2019-02-20 | 2022-10-25 | Asm Ip Holding B.V. | Apparatus and methods for plug fill deposition in 3-D NAND applications |
TW202044325A (zh) | 2019-02-20 | 2020-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備 |
TWI842826B (zh) | 2019-02-22 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備及處理基材之方法 |
KR20200108243A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 층을 포함한 구조체 및 이의 형성 방법 |
KR20200108248A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOCN 층을 포함한 구조체 및 이의 형성 방법 |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
JP7190948B2 (ja) * | 2019-03-22 | 2022-12-16 | 東京エレクトロン株式会社 | プラズマ処理装置およびプラズマ処理方法 |
JP7229061B2 (ja) * | 2019-03-26 | 2023-02-27 | 東京エレクトロン株式会社 | 基板のエッチング装置及びエッチング方法 |
JP2020167398A (ja) | 2019-03-28 | 2020-10-08 | エーエスエム・アイピー・ホールディング・ベー・フェー | ドアオープナーおよびドアオープナーが提供される基材処理装置 |
KR20200116855A (ko) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
US11447864B2 (en) | 2019-04-19 | 2022-09-20 | Asm Ip Holding B.V. | Layer forming method and apparatus |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
KR20200130118A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
JP2020188255A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
JP2020188254A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141002A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
CN112117176B (zh) * | 2019-06-20 | 2023-03-07 | 中微半导体设备(上海)股份有限公司 | 等离子体处理设备及等离子体处理系统 |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
JP7499079B2 (ja) | 2019-07-09 | 2024-06-13 | エーエスエム・アイピー・ホールディング・ベー・フェー | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
KR20210010817A (ko) | 2019-07-19 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법 |
TWI839544B (zh) | 2019-07-19 | 2024-04-21 | 荷蘭商Asm Ip私人控股有限公司 | 形成形貌受控的非晶碳聚合物膜之方法 |
CN112309843A (zh) | 2019-07-29 | 2021-02-02 | Asm Ip私人控股有限公司 | 实现高掺杂剂掺入的选择性沉积方法 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
KR20210018759A (ko) | 2019-08-05 | 2021-02-18 | 에이에스엠 아이피 홀딩 비.브이. | 화학물질 공급원 용기를 위한 액체 레벨 센서 |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
KR20210029090A (ko) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR20210029663A (ko) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
KR20210042810A (ko) | 2019-10-08 | 2021-04-20 | 에이에스엠 아이피 홀딩 비.브이. | 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법 |
TWI846953B (zh) | 2019-10-08 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理裝置 |
TWI846966B (zh) | 2019-10-10 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成光阻底層之方法及包括光阻底層之結構 |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
TWI834919B (zh) | 2019-10-16 | 2024-03-11 | 荷蘭商Asm Ip私人控股有限公司 | 氧化矽之拓撲選擇性膜形成之方法 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
KR20210050453A (ko) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
US11450529B2 (en) | 2019-11-26 | 2022-09-20 | Asm Ip Holding B.V. | Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885693A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
JP7527928B2 (ja) | 2019-12-02 | 2024-08-05 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
TW202125596A (zh) | 2019-12-17 | 2021-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成氮化釩層之方法以及包括該氮化釩層之結構 |
KR20210080214A (ko) | 2019-12-19 | 2021-06-30 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
JP2021109175A (ja) | 2020-01-06 | 2021-08-02 | エーエスエム・アイピー・ホールディング・ベー・フェー | ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム |
TW202142733A (zh) | 2020-01-06 | 2021-11-16 | 荷蘭商Asm Ip私人控股有限公司 | 反應器系統、抬升銷、及處理方法 |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
KR102675856B1 (ko) | 2020-01-20 | 2024-06-17 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 및 박막 표면 개질 방법 |
KR102170451B1 (ko) | 2020-01-22 | 2020-10-28 | (주)이큐테크플러스 | 프리커서와 반응가스를 함께 분사하는 라디컬 유닛 및 이를 포함하는 ald장치 |
TW202130846A (zh) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括釩或銦層的結構之方法 |
TW202146882A (zh) | 2020-02-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
TW202203344A (zh) | 2020-02-28 | 2022-01-16 | 荷蘭商Asm Ip控股公司 | 專用於零件清潔的系統 |
US11876356B2 (en) | 2020-03-11 | 2024-01-16 | Asm Ip Holding B.V. | Lockout tagout assembly and system and method of using same |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
KR20210117157A (ko) | 2020-03-12 | 2021-09-28 | 에이에스엠 아이피 홀딩 비.브이. | 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법 |
KR20210124042A (ko) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
TW202146689A (zh) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | 阻障層形成方法及半導體裝置的製造方法 |
TW202145344A (zh) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
KR20210128343A (ko) | 2020-04-15 | 2021-10-26 | 에이에스엠 아이피 홀딩 비.브이. | 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조 |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
KR20210132576A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조 |
TW202146831A (zh) | 2020-04-24 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法 |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
KR20210134226A (ko) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
TW202147543A (zh) | 2020-05-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 半導體處理系統 |
KR20210141379A (ko) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
TW202146699A (zh) | 2020-05-15 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統 |
KR20210143653A (ko) | 2020-05-19 | 2021-11-29 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210145078A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
KR102702526B1 (ko) | 2020-05-22 | 2024-09-03 | 에이에스엠 아이피 홀딩 비.브이. | 과산화수소를 사용하여 박막을 증착하기 위한 장치 |
TW202201602A (zh) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202212620A (zh) | 2020-06-02 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法 |
JP7493389B2 (ja) * | 2020-06-10 | 2024-05-31 | 東京エレクトロン株式会社 | 成膜装置および成膜方法 |
CN113818006B (zh) * | 2020-06-19 | 2023-11-17 | 拓荆科技股份有限公司 | 一种薄膜制备方法 |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
TW202217953A (zh) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202202649A (zh) | 2020-07-08 | 2022-01-16 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
US20220010431A1 (en) * | 2020-07-08 | 2022-01-13 | Applied Materials, Inc. | Multiple-channel showerhead design and methods in manufacturing |
KR102607844B1 (ko) * | 2020-07-10 | 2023-11-30 | 세메스 주식회사 | 기판 처리 장치 및 기판 지지 유닛 |
KR20220010438A (ko) | 2020-07-17 | 2022-01-25 | 에이에스엠 아이피 홀딩 비.브이. | 포토리소그래피에 사용하기 위한 구조체 및 방법 |
TW202204662A (zh) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
CN114068272B (zh) * | 2020-07-31 | 2023-09-29 | 中微半导体设备(上海)股份有限公司 | 一种气体流量调节装置和调节方法及等离子体处理装置 |
US12040177B2 (en) | 2020-08-18 | 2024-07-16 | Asm Ip Holding B.V. | Methods for forming a laminate film by cyclical plasma-enhanced deposition processes |
KR20220027026A (ko) | 2020-08-26 | 2022-03-07 | 에이에스엠 아이피 홀딩 비.브이. | 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템 |
TW202229601A (zh) | 2020-08-27 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統 |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
KR20220045900A (ko) | 2020-10-06 | 2022-04-13 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치 |
CN114293174A (zh) | 2020-10-07 | 2022-04-08 | Asm Ip私人控股有限公司 | 气体供应单元和包括气体供应单元的衬底处理设备 |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
TW202217037A (zh) | 2020-10-22 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 沉積釩金屬的方法、結構、裝置及沉積總成 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
TW202235649A (zh) | 2020-11-24 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 填充間隙之方法與相關之系統及裝置 |
TW202235675A (zh) | 2020-11-30 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 注入器、及基板處理設備 |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
WO2024009357A1 (ja) * | 2022-07-04 | 2024-01-11 | 株式会社真空プラズマ | プラズマ処理装置 |
Citations (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2004022595A (ja) * | 2002-06-12 | 2004-01-22 | Toshiba Corp | 絶縁膜の製造方法、およびプラズマcvd装置 |
CN1557017A (zh) * | 2001-09-20 | 2004-12-22 | 东京毅力科创株式会社 | 等离子体处理装置 |
CN101455127A (zh) * | 2006-05-30 | 2009-06-10 | 松下电器产业株式会社 | 大气压等离子体产生方法、等离子体处理方法和使用其的部件安装方法、以及使用这些方法的装置 |
JP2013062270A (ja) * | 2011-09-12 | 2013-04-04 | Toshiba Mitsubishi-Electric Industrial System Corp | プラズマ発生装置およびcvd装置 |
Family Cites Families (17)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP4450429B2 (ja) * | 1998-01-22 | 2010-04-14 | 株式会社日立国際電気 | プラズマ生成装置 |
JP4151862B2 (ja) * | 1998-02-26 | 2008-09-17 | キヤノンアネルバ株式会社 | Cvd装置 |
KR100378871B1 (ko) * | 2000-02-16 | 2003-04-07 | 주식회사 아펙스 | 라디칼 증착을 위한 샤워헤드장치 |
US6502530B1 (en) * | 2000-04-26 | 2003-01-07 | Unaxis Balzers Aktiengesellschaft | Design of gas injection for the electrode in a capacitively coupled RF plasma reactor |
KR100767294B1 (ko) * | 2000-06-23 | 2007-10-16 | 캐논 아네르바 가부시키가이샤 | Cvd장치 |
JP4791637B2 (ja) * | 2001-01-22 | 2011-10-12 | キヤノンアネルバ株式会社 | Cvd装置とこれを用いた処理方法 |
US6886491B2 (en) * | 2001-03-19 | 2005-05-03 | Apex Co. Ltd. | Plasma chemical vapor deposition apparatus |
KR100752622B1 (ko) * | 2006-02-17 | 2007-08-30 | 한양대학교 산학협력단 | 원거리 플라즈마 발생장치 |
US20080193673A1 (en) * | 2006-12-05 | 2008-08-14 | Applied Materials, Inc. | Method of processing a workpiece using a mid-chamber gas distribution plate, tuned plasma flow control grid and electrode |
KR100999583B1 (ko) * | 2008-02-22 | 2010-12-08 | 주식회사 유진테크 | 기판처리장치 및 기판처리방법 |
JP5222040B2 (ja) * | 2008-06-25 | 2013-06-26 | 東京エレクトロン株式会社 | マイクロ波プラズマ処理装置 |
JP5094670B2 (ja) * | 2008-10-02 | 2012-12-12 | 株式会社アルバック | エッチング装置、マイクロマシーン製造方法 |
US8207470B2 (en) * | 2008-10-20 | 2012-06-26 | Industry-University Cooperation Foundation Hanyang University | Apparatus for generating remote plasma |
KR20130055582A (ko) * | 2010-03-17 | 2013-05-28 | 어플라이드 머티어리얼스, 인코포레이티드 | 원격 플라즈마 소오스 지원형 실리콘 함유 막 증착을 위한 장치 및 방법 |
JP5236777B2 (ja) * | 2011-04-28 | 2013-07-17 | 東京エレクトロン株式会社 | プラズマ処理装置 |
KR101234706B1 (ko) * | 2012-04-02 | 2013-02-19 | 참엔지니어링(주) | 기판 처리 장치 및 이를 이용한 기판 처리 방법 |
US20140099794A1 (en) * | 2012-09-21 | 2014-04-10 | Applied Materials, Inc. | Radical chemistry modulation and control using multiple flow pathways |
-
2015
- 2015-05-09 US US14/708,232 patent/US20150348755A1/en not_active Abandoned
- 2015-05-12 JP JP2015097412A patent/JP6042942B2/ja active Active
- 2015-05-13 CN CN201510242245.XA patent/CN105185681B/zh active Active
Patent Citations (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN1557017A (zh) * | 2001-09-20 | 2004-12-22 | 东京毅力科创株式会社 | 等离子体处理装置 |
JP2004022595A (ja) * | 2002-06-12 | 2004-01-22 | Toshiba Corp | 絶縁膜の製造方法、およびプラズマcvd装置 |
CN101455127A (zh) * | 2006-05-30 | 2009-06-10 | 松下电器产业株式会社 | 大气压等离子体产生方法、等离子体处理方法和使用其的部件安装方法、以及使用这些方法的装置 |
JP2013062270A (ja) * | 2011-09-12 | 2013-04-04 | Toshiba Mitsubishi-Electric Industrial System Corp | プラズマ発生装置およびcvd装置 |
Also Published As
Publication number | Publication date |
---|---|
JP6042942B2 (ja) | 2016-12-14 |
US20150348755A1 (en) | 2015-12-03 |
JP2015225856A (ja) | 2015-12-14 |
CN105185681A (zh) | 2015-12-23 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
CN105185681B (zh) | 气体分配装置及包含所述气体分配装置的基板加工装置 | |
KR100486712B1 (ko) | 복층 코일 안테나를 구비한 유도결합 플라즈마 발생장치 | |
US9721803B2 (en) | Etching method for substrate to be processed and plasma-etching device | |
JP4216243B2 (ja) | ヘリカル共振器型のプラズマ処理装置 | |
TWI416623B (zh) | 具有單一平面天線之電感耦合雙區域處理腔室 | |
CN102378462B (zh) | 等离子体处理装置 | |
KR101358779B1 (ko) | 멀티 코어 플라즈마 발생 플레이트를 구비한 플라즈마반응기 | |
CN106356274A (zh) | 通过等离子体操作调节极端边缘鞘和晶片轮廓 | |
TW200402795A (en) | Capacitively coupled plasma reactor with magnetic plasma control | |
KR101062461B1 (ko) | 유도결합형 플라즈마 발생장치의 안테나 및 이를 포함하는 유도결합형 플라즈마 발생장치 | |
JPH0661219A (ja) | 多ゾーン・プラズマ処理方法 | |
CN108028163B (zh) | 用于等离子体反应器的远程等离子体与电子束生成系统 | |
TW200913122A (en) | Apparatus for supporting substrate and plasma etching apparatus having the same | |
KR20050089976A (ko) | 접지 회로에의 조절가능 커플링에 의해 플라즈마를제어하는 시스템 및 방법 | |
CN108470669A (zh) | 用于衬底处理室的温度受控的间隔件 | |
US20080168945A1 (en) | Plasma generating apparatus | |
US10388528B2 (en) | Non-ambipolar electric pressure plasma uniformity control | |
KR100888807B1 (ko) | 플라즈마 발생장치 | |
KR20160134908A (ko) | 기판 처리 장치 | |
US20140251540A1 (en) | Substrate supporter and substrate processing apparatus including the same | |
CN101996841A (zh) | 基板处理装置 | |
KR101234706B1 (ko) | 기판 처리 장치 및 이를 이용한 기판 처리 방법 | |
CN107435139A (zh) | 气体分配器及基板处理装置 | |
KR101632376B1 (ko) | 가스 분배 장치 및 이를 구비하는 기판 처리 장치 | |
KR100960791B1 (ko) | 플라즈마 도핑 장치 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
C06 | Publication | ||
PB01 | Publication | ||
C10 | Entry into substantive examination | ||
SE01 | Entry into force of request for substantive examination | ||
GR01 | Patent grant | ||
GR01 | Patent grant |