JPH0661219A - 多ゾーン・プラズマ処理方法 - Google Patents

多ゾーン・プラズマ処理方法

Info

Publication number
JPH0661219A
JPH0661219A JP5152458A JP15245893A JPH0661219A JP H0661219 A JPH0661219 A JP H0661219A JP 5152458 A JP5152458 A JP 5152458A JP 15245893 A JP15245893 A JP 15245893A JP H0661219 A JPH0661219 A JP H0661219A
Authority
JP
Japan
Prior art keywords
plasma
cleaning
electrode
gas
chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP5152458A
Other languages
English (en)
Other versions
JP3555966B2 (ja
Inventor
M Moslehi Mehrdad
エム.モスレヒ メールダッド
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Texas Instruments Inc
Original Assignee
Texas Instruments Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Texas Instruments Inc filed Critical Texas Instruments Inc
Publication of JPH0661219A publication Critical patent/JPH0661219A/ja
Application granted granted Critical
Publication of JP3555966B2 publication Critical patent/JP3555966B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S156/00Adhesive bonding and miscellaneous chemical manufacture
    • Y10S156/916Differential etching apparatus including chamber cleaning means or shield for preventing deposits

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Physics & Mathematics (AREA)
  • Analytical Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Public Health (AREA)
  • Epidemiology (AREA)
  • Drying Of Semiconductors (AREA)
  • ing And Chemical Polishing (AREA)
  • Plasma Technology (AREA)
  • Physical Vapour Deposition (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

(57)【要約】 【目的】 プラズマ強化処理による半導体ウエーハ製作
において、堆積膜のストレスと堆積速度と膜の均一性を
柔軟に制御し、また処理室のクリーニングを効率的に行
なうことのできる装置と方法を提案する。 【構成】 プラズマ堆積またはエッチングガスを処理室
(10)に断続モードで流し、少なくとも1つのプラズ
マ電極(24または52)を1つまたは複数の無線周波
数電源を用いて時分割多重で断続的に活性化してプロセ
ス・プラズマ媒体を発生し、プラズマ強化堆積またはエ
ッチングを行なう。更にプロセスガス流が止まっている
間に少なくとも1つのプラズマ電極を断続的に活性化し
てクリーニング・プラズマを生成し、処理室(10)の
クリーニングを行なう。これにより、半導体ウエーハ
(22)に近接するプラズマ濃度と均一性およびイオン
・エネルギーを制御する。

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】米国政府はこの発明に対して、支
払い済みのライセンスと、MMSTプログラムで米国空
軍との間に締結した契約条件に規定されている妥当な条
件の下にこの特許の所有者が他者にライセンスすること
を限られた情況で要求する権利を有する。
【0002】この発明は一般にプラズマ援助製作プロセ
スに関し、より詳しくは、半導体装置製作応用における
優れたプロセス・パラメータ制御のための多ゾーン・プ
ラズマ処理方法に関する。
【0003】
【従来の技術】超小型電子要素の製造者は、各種の処理
技術を用いて半導体装置を製作する。応用範囲の広い
(例えば堆積、エッチング、クリーニング、アニーリン
グ)1つの方法は、「プラズマ援助」または「プラズマ
強化」処理と呼ばれる。
【0004】プラズマ強化処理は乾式処理技術で、通常
高周波(例えば13.56MHz)放電により生成され
るイオン化ガスが活性化した準安定の中性およびイオン
化ガスを発生し、これが化学的または物理的に反応し
て、製作反応器内で半導体基板上に薄い材料層を堆積
し、または材料層をエッチングする。
【0005】半導体装置製造におけるプラズマ強化処理
の各種の応用に含まれるのは、例えばポリシリコン、金
属、酸化物、窒化物、ポリイミドの薄膜の高速反応イオ
ン・エッチング(RIE)や、ホトレジスト層の乾式現
像や、誘電体、シリコン、アルミニウム、銅、その他の
材料のプラズマ強化化学気相堆積(PECVD)や、バ
イアスしたスパッタリングなどのプロセスを含むプレー
ナ化したレベル間誘電体形成や、低温エピタキシャル半
導体成長プロセスなどである。
【0006】プラズマ強化プロセスには、リモート発生
またはローカル発生のプラズマを用いてよい。リモート
・プラズマ媒体は、プラズマ発生エネルギー源が処理室
の外で生成するプラズマである。プラズマはリモート・
プラズマ源から反応器の処理室に導かれ、半導体ウエー
ハと作用して所望の装置製作プロセスを行なう。
【0007】ローカル発生プラズマは、プラズマ発生荷
電電極がプラズマを発生できるプロセスガス媒体から処
理室内で形成するプラズマである。エッチングおよび堆
積用のプラズマ処理装置の従来の設計は、通常13.5
6MHz電源や2.5GHzマイクロウエーブ源やこれ
らのエネルギー源の組み合わせを用いる。
【0008】従来のシステムでは、プラズマを発生する
無線周波数電源を、ウエーハ・サセプタまたはチャック
と呼ぶ導電性のウエーハ保持装置に電気的に接続する。
無線周波数エネルギー源により、チャックおよびウエー
ハはウエーハの表面近くに無線周波数のプラズマを生成
する。プラズマは半導体ウエーハの表面と作用する。
【0009】これらのシステムでは、ウエーハとチャッ
クに対向しまたは平行にシャワーヘッド組立体があり、
プラズマ発生ガスを反応器室内に注入する。チャックと
シャワーヘッドの表面が平行であるため、これは平行面
構成と呼ばれる。一般にシャワーヘッドは電気接地に接
続する。
【0010】しかし設計によっては、シャワーヘッド組
立体をプラズマを発生する無線周波数電源に接続し、チ
ャックおよび半導体ウエーハを電気接地に接続してよい
(すなわち、反応器の金属壁と同じポテンシャルにする
ために)。また別の構成では、ローカルおよびリモート
・プラズマの組み合わせを用いてよい。これらの既知の
構成では、すべてプラズマ・プロセスの柔軟性と能力を
制限する厳しい制約がある。
【0011】
【発明が解決しようとする課題】2枚の平行板電極だけ
を用いる方法は、その場で行なわれる室クリーニングの
効率が低く、またプロセス制御の柔軟性が余り望ましく
ないという制約がある。詳しくいうと平行板構成では、
プラズマ・プロセスの均一性やイオン衝突エネルギーの
制御や調整をうまく行なうことができない。
【0012】更に、堆積膜のストレスと堆積速度と堆積
均一性を十分に制御することができない。例えば、堆積
膜のストレスのプラズマ・プロセス・パラメータを変化
させると、堆積速度や均一性に悪い影響を与える。また
その逆もある。更にこの型のシステムでは、エッチング
速度、エッチング選択性、またはプラズマ強化RIEプ
ロセスの非等方性を柔軟に制御することができない。
【0013】従って、従来のシステムの制約を克服して
その場で行なわれる室クリーニングの効率を高め、プラ
ズマ強化製作プロセスを適切に制御できるようなプラズ
マ製作プロセスが必要である。
【0014】既知の方法および装置より優れた柔軟な制
御を行なうことのできる、プラズマ強化装置の製作のた
めの方法および装置が必要である。詳しくいうと、プラ
ズマ処理の均一性とプラズマの分布の制御や調整を改善
するプラズマ強化装置製作の方法とシステムが必要であ
る。
【0015】膜のストレスや堆積速度や堆積の均一性を
十分にかつ柔軟に制御できるようなプラズマ製作の方法
および装置が必要である。
【0016】更に、プラズマのエッチング速度や選択性
やプラズマ強化エッチング・プロセスの非等方性を独立
に制御できる方法およびシステムが必要である。
【0017】
【課題を解決するための手段】従ってこの発明は、半導
体ウエーハの堆積またはエッチング・プロセス中または
後に、プラズマ処理およびその場での製作反応器処理室
のクリーニングを柔軟に行なうことができ、また従来の
プラズマ処理の方法およびシステムに付随する欠点また
は制約を克服しまたは減少するような、多ゾーン・プラ
ズマ処理方法を提供する。
【0018】この発明の一態様は多ゾーン・プラズマ処
理方法であって、プラズマ堆積またはエッチングガスを
製作反応器処理室に、断続すなわち時分割多重モードで
流す段階を含む。更にこの方法は、プラズマ・クリーニ
ングガス(またはガス混合)を処理室に断続すなわち時
分割多重モードで流すことを含む。
【0019】要点は、プラズマ堆積またはエッチングガ
スを処理室に流すときはその場で行なわれるプラズマ・
クリーニング用のガスは流さず、またはその逆を行なう
ということである。プラズマ堆積またはエッチングガス
を流している間、第1プラズマ電極(またはプラズマ電
極の組み合わせ)は活性化して処理室内にプロセス・プ
ラズマを発生する。
【0020】これによりプラズマ強化エッチングまたは
堆積プロセスが行なわれる。その場で行なわれるプラズ
マ・クリーニング用のガスを処理室に流すときは、効果
的なその場でのクリーニングを行なうために、この方法
はプラズマ電極の予め指定した組み合わせの1つまたは
複数の無線周波数電源に電気的に接続する。
【0021】その結果、間欠的なプラズマ堆積またはエ
ッチングおよびその場でのプラズマ・クリーニングが時
分割多重すなわち断続モードで行なわれ、プロセスの均
一性や繰返し性やプラズマ・プロセス・パラメータ制御
の柔軟性を実質的に高める。
【0022】この発明は、プロセス堆積またはエッチン
グ・プロセスの各種の可能な方法を提供する。均一な処
理と効果的なその場でのクリーニングを行なうための所
定のプロセス中に、1つまたは複数の電極の電気的接続
構成を行なうことができる。
【0023】この発明の技術的利点は、製作反応器処理
室内で、その場で行なわれる室クリーニングとプラズマ
・プロセスの均一性および再現性とを共に著しく促進す
ることである。例えばこの発明により、その場での室ク
リーニングとプラズマ・エッチングまたは堆積プロセス
を間欠的に行なうことができる。
【0024】この発明によって行なうことのできるプロ
セスは、ハイブリッドのリモートおよびローカル・プラ
ズマ処理、無線周波数マグネトロンおよび無線周波数非
マグネトロンの混合プラズマ処理、多ゾーン多周波数プ
ラズマ処理を含む。
【0025】この発明の別の顕著な技術的利点は、プラ
ズマ・プロセス・パラメータの実時間制御能力を増すこ
とである。これらのパラメータには、プラズマ・プロセ
スの均一性、プラズマ強化化学気相堆積で起こるような
膜のストレス、プラズマ・エッチング処理中の側壁角す
なわち非等方性の制御の等級などが含まれる。
【0026】またこの発明は、プラズマ堆積(またはエ
ッチング)およびその場でのプラズマ・クリーニング・
サイクルを非分割多重で行なうプラズマ・プロセスにも
用いることができる。更にこの発明は、プロセスおよび
装置のクリーニング・パラメータを最適化するために、
プラズマ電極接続を実時間で操作することができる。
【0027】多重プラズマ電極を複数の無線周波数電源
に接続することは実時間で制御できるので、主室壁の効
果的なその場でのクリーニングとエッチングまたは堆積
プロセスを断続または連続モードで均一にかつ繰り返し
行なうことができる。
【0028】
【実施例】この発明の望ましい実施態様は各図を参照す
るとよく理解される。各図の同じおよび対応する部分に
は同じ番号を用いる。
【0029】この発明により単一ウエーハのプラズマ援
助装置の製作が可能になるが、望ましい実施態様の多電
極構成を用いれば多ウエーハ製作も行なうことができ
る。化学気相堆積(CVD)やエッチングなどのプロセ
スは、処理室の各部および内面に副成物や堆積を残し勝
ちである。
【0030】以前のプロセスで残された室の堆積物によ
って生じる1つの問題は、プロセスの均一性や再現性や
粒子汚染への悪影響である。従来のプラズマ処理では、
クリーニング・プロセス・パラメータ制御の高度の柔軟
性を持って、その場で室のクリーニングを行なうことが
できない。
【0031】更に従来のプラズマ処理方法では、プラズ
マの均一性の制御とプラズマのパラメータ調整とがハー
ドウエアおよびプロセスの能力、プラズマプロセッサの
能力と機能を増すように柔軟に実時間で行なうことがで
きない。
【0032】これらの結果を得るために、堆積またはエ
ッチング・プロセス中または後で効果的なその場での室
のクリーニングを行なうことができれば極めて都合がよ
い。望ましい実施態様は、このような効果的な本来のク
リーニング・プロセスと、優れたプラズマ処理能力を持
つ。
【0033】従来の単一ウエーハ・プラズマ処理室で
は、13.56MHz電源が2枚の平行板の間にプラズ
マ放電を発生する。一方の板は、一般に半導体ウエーハ
を保持する無線周波数チャックである。他方は、プラズ
マ生成ガスを処理室へ送る金属シャワーヘッド組立体で
ある。
【0034】ある設計では、半導体ウエーハを保持する
チャックに通電し、シャワーヘッド組立体を電気接地に
接続する。また別の設計では、シャワーヘッド組立体に
通電し、チャックを接地する。これらの設計が持つ制約
は、効果的なその場での室のクリーニングを行なうこと
ができないことと、プラズマ・プロセスの均一性の制御
が一般に非効率であるかまたはできないということであ
る。
【0035】プラズマ・プロセスの均一性の制御ができ
ない主な理由は、プラズマ処理中の各種のパラメータが
相互に関係があるためと、プロセス制御パラメータに柔
軟性がないためである。例えば従来のシステムでは、プ
ラズマ・プロセスの均一性を調整する唯一の方法は、R
F電力やガスの流量や圧力などプラズマに影響を与える
プロセス・パラメータを変えることである。
【0036】しかしこれらのパラメータを変えると、堆
積やエッチング速度やプラズマによって起こる損傷など
の他のプロセス・パラメータに悪い影響を与える可能性
がある。この発明では、他の重要なプラズマ・プロセス
・パラメータに悪い影響を与えずにプラズマ・プロセス
の均一性を柔軟に調整することができる。
【0037】従って、この発明では効果的なその場での
室のクリーニングと柔軟な制御ができるので、プロセス
の再現性と均一性が増し、同時にプロセスの清潔さが増
す。
【0038】以下に、望ましい実施態様がこの発明のこ
れらの目的をどのようにして達成するかを説明する。
【0039】図1は製作反応器プラズマ処理室10を部
分的に切り欠いた略図で、処理室蓋14の上にはマグネ
トロン・モジュール12も含まれる。処理室蓋14はウ
エーハ温度を検知するための熱電対接続16、20など
のコネクタ用貫通穴をいくつか備える。
【0040】例えば、熱電対接続16により半導体ウエ
ーハ22の温度を検知することができる。処理室蓋14
を貫通してチャック24に向かう他の貫通穴は、チャッ
ク電極線26(以下に電極線E3 と記す)と、冷媒の入
口28と出口30を含む。
【0041】メールダッド・M・モスレイ(Mehrdad M.
Moslehi)により1990年8月10日に出願され、テキ
サス・インスツルメント社に譲渡された米国特許出願番
号07/565,765には、チャック24が更に詳細
に記述されているので、参照文献としてここに引用す
る。
【0042】処理室蓋14は、反応器外壁32をシール
領域34で接合する。また蓋14は、接触シール40で
プラズマ室継ぎ輪(collar)38に乗っている支持体36
を含む。また支持体36は、囲いモジュール44を支持
してチャック24を保持する棚42を含む。
【0043】チャック24の底面46は、半導体ウエー
ハ22と接触する。熱容量の低いピン48、50は半導
体ウエーハ22を支えてチャック24と接触させる。熱
容量の低いピン 48、50は、シャワーヘッド注入器
54を含むシャワーヘッド組立体52で支持されてい
る。
【0044】シャワーヘッド注入器54により、プラズ
マ生成ガスはガス通路60を通り、更に穿孔板56を通
って、シャワーヘッド注入器54に流入することができ
る。更にリモート発生プラズマはプラズマ発生モジュー
ル(図示せず)から処理環境62に入り、処理を更に活
性化する。
【0045】望ましい実施態様の穿孔された円筒形電極
すなわちスクリーン66がシャワーヘッド組立体52を
囲む。穿孔された円筒形電極すなわちスクリーン66
は、絶縁基体部68と導電スクリーン部70を含む。更
に多極永久磁石モジュール72を用いて室継ぎ輪38を
囲み、処理環境62内のマグネトロンを強化してもよ
い。
【0046】望ましい実施態様の円筒形スクリーン電極
66を含むプラズマ処理環境のハードウエア構成は、無
線周波数エネルギー源または電気接地に接続する3本の
電極線を含む。これらは、穿孔された円筒形電極すなわ
ちスクリーン66への番号74で示す電極線E1 と、シ
ャワーヘッド組立体52への番号76で示す電極線E 2
と、チャック24に接続する、以前番号26で示した電
極線E3 とを含む。
【0047】図2は望ましい実施態様の切り欠き側面を
示す詳細図で、テキサス・インスツルメント自動真空プ
ロセッサ(AVP)などの単一ウエーハ製作反応器プラ
ズマ処理室内の実際の設計を示す。図2の番号で示す要
素は、図1に説明した要素と同じ働きをし、相互接続を
する。
【0048】図3は、望ましい実施態様の穿孔された円
筒形すなわちスクリーン電極66を更に詳細に示す。円
筒形電極すなわちスクリーン66は、テフロンやセラミ
ック材料などの電気絶縁材料で製作した基体68と、表
面を陽極酸化したアルミニウムなどの導電材料で製作し
た上部すなわちスクリーン70を含む。
【0049】スクリーン70には通路78などの多くの
孔すなわち通路があり、プラズマは処理室壁に入って効
果的な本来のクリーニングを行なうことができる。
【0050】望ましい実施態様では、円筒形電極66は
シャワーヘッド52を囲む程度に大きく、かつプラズマ
処理室継ぎ輪38の直径内に収まる程度に小さくなけれ
ばならない。絶縁(テフロン)基体68はスクリーン電
極70を支持できるだけの強さがなければならず、プラ
ズマ処理室10内の他の電極導電要素からスクリーン電
極70を電気的に絶縁できる絶縁材料でなければならな
い。
【0051】スクリーン電極70は、シャワーヘッド組
立体52とプラズマ・チャック24との間のプラズマ処
理環境62の高さを完全に覆う高さを持つ穿孔された円
筒である。電極線E1 74は絶縁基体68を貫通して、
スクリーン電極70を無線周波数電源または電気接地に
接続する。
【0052】どの接続モードを用いるかに従って、スク
リーン電極70はウエーハ処理およびその場で行なわれ
る室のクリーニングのためのプラズマ発生の各種のモー
ドに重要な働きをする。
【0053】図4は、電極線E1 74をスクリーン電極
70に、E2 76をシャワーヘッド52に、E3 26を
チャック24に接続した多電極/多周波数電気接続を示
す。望ましい実施態様には他の周波数源を用いてもよい
が、図4の電気回路図により基本的な概念を示すことが
できる。
【0054】E1 74から始まって、スイッチ82は回
転可能なコネクタ84を含み、コネクタ84は浮遊線接
点86、高周波(すなわち13.56MHzのRF源)
接点88、低周波(すなわち100kHz源)接点9
0、電気接地接点92に係合できる。
【0055】浮遊線接点86は電極線E1 74を浮遊リ
ード線94に接続し、スクリーン70は外部と電気的に
接続しないので、処理室10内のプラズマに与える影響
は最小である。接点88は、電極線E1 74を高周波R
Fチューナー96に接続する。高周波RFチューナー9
6は制御入力98を受け、電源100から13.56M
Hz電力信号を伝送する。
【0056】この接続により、円筒形スクリーン電極7
0は13.56MHzプラズマを発生する。接点90は
線102を通してE1 74を低周波RFチューナー10
4に接続する。低周波RFチューナー104は制御入力
106と100kHz電力入力108を受ける。
【0057】電極線E1 74を低周波RFチューナー1
04に接続することにより、円筒形スクリーン電極70
は処理室10内に100kHzプラズマを発生する。接
点92は電極線E1 74を電気接地110に接続し、円
筒形電極66に近接するプラズマエネルギーを接地す
る。
【0058】シャワーヘッド組立体52につながる電極
線E2 76用のスイッチ112と、チャック24につな
がる電極線E3 26用のスイッチ114も、スイッチ8
2と同様に接続する。
【0059】詳しくいうと、スイッチ112の回転可能
な接点116は、電極線E2 76を接点118を通して
電気接地110に、接点120を通して低周波チューナ
ー104に、接点124を通して高周波チューナー12
2に、接点128を通して浮遊線126に接続する。高
周波チューナー122は、本質的に高周波チューナー9
6と同じ動作をし、制御入力130と13.56MHz
電力入力132とを含む。
【0060】また、スイッチ114は選択可能な接点1
34を含み、低周波RFチューナー104からの低周波
RFエネルギーのための接点136と、高周波RFチュ
ーナー122からの入力のための接点138と、接点1
42を通して接地140への接続と、接点144を通し
て浮遊線146への接続とを行なう。
【0061】図4の多電極、2周波数/無線周波数接続
により、多ゾーン・プラズマ処理が実質的に柔軟にな
り、また可能になる。2つの高周波源100、132と
100kHz低周波RF源108とを用いることによ
り、プラズマ処理およびその場で行なわれるクリーニン
グの多くの組み合わせができる。
【0062】3つの電極E1 、E2 、E3 のどれも、浮
遊リード線、高周波RF源、低周波RF源、接地に、選
択的に接続してよい。以下に、望ましい実施態様の多電
極構成により実施できるプロセスの各種の接続を説明す
る。
【0063】製作プロセス方法1 電極線E1 74を選
択スイッチ84により接点86に接続して浮遊線94に
接続する。電極線E2 76をスイッチ116により接点
118で接地110に、また電極線E3 26をスイッチ
134により接点138を経由して高周波RFチューナ
ー122に接続する。
【0064】これはプラズマ強化化学気相堆積(PEC
VD)の従来のモードで、浮遊電極線E1 により円筒形
スクリーン電極E1 の処理室10内での影響は最小にな
る。
【0065】製作プロセス方法2 スイッチ84を接点
88に回転して電極線E1 を高周波RFチューナー96
に、スイッチ116を接点118に回転して電極線E2
を接地に、スイッチ134を接点138に回転して電極
線E3 を高周波RFチューナー122に接続する。この
接続により、処理室10内で2重ゾーン・プラズマ処理
ができる。
【0066】電極線E1 からの円筒形スクリーン電極6
6および電極線E3 からのチャック24の無線周波数電
力は、プラズマ・プロセスの均一性が最適になりおよび
/または膜のストレスが最小になるように調整する。こ
のプロセスは、図9に示す別の実施態様のガスシャワー
ヘッド184などのように2つより大きいプラズマゾー
ンで実施してよい。
【0067】製作プロセス方法3 電極線E1 を低周波
RFチューナー104に、電極線E 2 を接地に、電極線
3 を高周波RFチューナー122に接続する。この場
合は、円筒形スクリーン電極66は低周波プラズマを生
成する100kHz電力を受け、無線周波数チャック2
4は13.56MHz電力信号を受けて均一性および/
またはストレスを調節することによりPECVD処理を
強化する。
【0068】従ってこの方法を用いると、円筒形スクリ
ーン電極66は処理室10内全体に拡散する濃い100
kHzプラズマを発生する。チャック24のRF周波数
は、ウエーハに衝突するイオンエネルギーを調節する。
つまり、イオンエネルギーを調整することによって層の
ストレスを制御することができる。
【0069】円筒形スクリーン電極66が受ける電力に
より堆積やエッチング速度を独立に制御し、またチャッ
ク24が受ける電力により層のストレスおよび/または
プロセスの均一性を制御することができる。
【0070】製作プロセス方法4 電極線E1 74を接
地に、電極線E2 76を高周波RFチューナー122
に、電極線E3 を接地に接続する。これにより、ウエー
ハ上のイオン・エネルギーを減少して堆積またはエッチ
ングを行なうことのできるプラズマ・プロセス環境を作
る。
【0071】電極線E2 は13.56MHz電力信号を
シャワーヘッド組立体52に与え、また円筒形スクリー
ン電極66とチャック24は接地されるので、イオン・
エネルギーは減少し、従ってウエーハ22の表面へのイ
オンの衝突は柔らかくなる。
【0072】製作プロセス方法5 電極線E1 74を高
周波RFチューナー96に、電極線E2 76を接地に、
電極線E3 26を低周波RFチューナー104に接続す
る。このPECVDプロセスでは、円筒形スクリーン電
極66がプロセス・プラズマを発生し、チャック24が
イオン・エネルギーと発生した層のストレスを制御す
る。
【0073】この方法は上に述べたプロセス方法3と同
様であるが、プロセス方法3では円筒形スクリーン電極
66が100kHz信号プラズマを受け、RFチャック
24が13.56MHz信号を受けてPECVD処理を
強化しストレスを制御する点が異なる。このプロセス方
法5は本質的にプロセス方法3の接続を逆にしたもので
ある。
【0074】従って、RFチャック24はウエーハ22
へのイオンの衝突を増加する低周波出力を生成する。プ
ラズマ・プロセスによっては、強化したイオン衝突の方
がむしろ望ましい。この場合には、プロセス方法5の方
がプロセス方法3より望ましい。
【0075】製作プロセス方法6 電極線E1 を高周波
RFチューナー96に、電極線E276を接地に、電極
線E3 26を浮遊状態にする。これにより円筒形スクリ
ーン電極66はRFメガトロン・プラズマを発生する。
このプロセスでは、ほとんどイオンエネルギーを持たな
いリモート・マイクロウエーブ・プラズマを半導体ウエ
ーハ22に向けてよい。
【0076】製作プロセス方法7 電極線E1 74を接
地に、電極線E2 76を低周波RFチューナー104
に、電極線E3 26を高周波RFチューナー122に接
続する。この方法のPECVD処理は、シャワーヘッド
組立体52および円筒形スクリーン電極66に連続的イ
オン衝突効果を与える。シャワーヘッド組立体52およ
び電極66へのイオン衝突が最小なので、このプロセス
は処理室の堆積を妨げるのに役に立つ。
【0077】製作プロセス方法8 電極線E1 74を接
地に、電極線E2 76を浮遊線126に、電極線E3
高周波RFチューナー122に接続する。この方法のP
ECVDプロセスでは、プラズマ・プロセスの均一性と
イオン・エネルギーを変えることができる。
【0078】上に述べた製作プロセス方法1から8は、
望ましい実施態様がプラズマ強化半導体装置製作中にプ
ラズマ・プロセスの均一性と速度制御と層のストレス制
御を最適にする著しい柔軟性を与えることを示す。
【0079】更に、電極線E1 74、E2 76、E3
6からそれぞれスイッチ82、112、114を通して
接地や浮遊や電源に実時間で接続できるので、プロセス
・パラメータ制御の一層の柔軟性が得られる。
【0080】望ましい実施態様によりエッチングやその
他のウエーハ製作プロセスに柔軟性が得られるだけでな
く、この望ましい実施態様はその場で行なわれる室のク
リーニングの柔軟性を著しく増す。詳しくいうと、望ま
しい実施態様により、ウエーハのエッチングおよび堆積
プロセスと共に実時間の効果的なその場での室のクリー
ニングができる。
【0081】例えば望ましい実施態様により可能になる
その場で行なわれるクリーニングは、二酸化珪素や窒化
珪素やアモルファス珪素の堆積などの各PECVDプロ
セスの後に行なってよく、処理室10の内表面の残存堆
積物を全て除去する。シャワーヘッド組立体52および
室継ぎ輪38が、除去を必要とする残存堆積物または汚
染を保持する。
【0082】これらの残存堆積物を除去するため、室ク
リーニング剤はアルゴンとCF4 、アルゴンとNF3
アルゴンとSF6 の組み合わせなどのプラズマを含んで
よい。望ましい実施態様におけるその場で行なわれるク
リーニングの各種の方法は、以下の電極接続を用いてよ
い。
【0083】クリーニング・プロセス方法1 電極線E
1 74を接地に、電極線E2 76を低周波RFチューナ
ー104に、電極線E3 26を接地に接続する。この構
成により、プラズマが発生してシャワーヘッド組立体5
2の表面に高エネルギーのエッチング・イオンが衝突し
て、シャワーヘッド組立体52をクリーニングする。
【0084】クリーニング・プロセス方法2 電極線E
1 74を低周波RFチューナー104に、電極線E2
6および電極線E3 26を接地に接続する。この接続に
より、スクリーン電極66を経て処理室10内の処理室
継ぎ輪38をクリーニングするプラズマ環境が得られ
る。
【0085】クリーニング・プロセス方法3 電極線E
1 74とE2 76を接地に、電極線E3 26を低周波R
Fチューナー104に接続する。この接続により、RF
チャック24に残存堆積物があれば全てクリーニングす
る。
【0086】クリーニング・プロセス方法4 電極線E
1 74を接地に、電極線E2 76を低周波RFチューナ
ー104に、電極線E3 を高周波RFチューナー122
に接続する。この構成により、シャワーヘッド組立体5
2をクリーニングするプラズマ・エネルギーが強化され
る。
【0087】図5と図6は、それぞれ望ましい実施態様
で用いられるマグネトロン永久磁石組立体72の側面図
および頂面図を示す。マグネトロン永久磁石組立体72
の高さ150は、処理室10内のプロセス環境62の高
さを十分覆う高さである。
【0088】更に、マグネトロン永久磁石組立体72の
外径152は、組立体72が反応器の容器壁32内に収
まる程度に小さく、その内径154は2つの磁石例えば
磁石158と159の幅156を加えても永久磁石組立
体72が処理室継ぎ輪38の周りに容易にはまる程度に
大きい。
【0089】マグネトロン永久磁石組立体72のこの実
施態様における磁石例えば磁石158は放射状に30゜
離して設けられ、北極と南極がマグネトロンの外壁16
0に交互に接触する。すなわち、例えば磁石158の北
極が壁160に接触しその南極がマグネトロン72の内
部に向いていれば、磁石158に隣接する磁石162の
南極は外壁160に接触しその北極はマグネトロン72
の中心に向いている。
【0090】図5と図6の実施態様において、磁石15
8と162を30゜離し、北極と南極を交互に外壁16
0に接触させることにより、外壁160の内径に沿って
磁石を12個設けることができる。
【0091】図7と図8は、それぞれこの発明の望まし
い実施態様で用いてよい、別のマグネトロン永久磁石組
立体164の側面図と頂面図である。図7においては、
磁石例えば磁石166は垂直方向に設けられ、北極(ま
たは南極)168は壁169の上部にあり、南極(また
は北極)170は壁169の底部にあってこのマグネト
ロン永久磁石組立体164の基体172に接触する。
【0092】このマグネトロン組立体164は、上に述
べた図5と図6のマグネトロン組立体72と同様に空間
的に制限される。従ってその外径174は反応器の容器
壁32内に収まる程度に小さくなければならず、その内
径176は処理室継ぎ輪38の周りにはまる程度に大き
くなければならない。
【0093】図5と図6のマグネトロン72では北極と
南極が交互になっていたのとは異なり、マグネトロン1
64では全ての磁石は垂直に同じ方向に磁化されてい
る。また磁石例えば磁石166の北極168にまたはそ
の上には柔らかい鉄輪178があり、磁石166から磁
界が伝播しないように制限する。
【0094】図5と図6のマグネトロン組立体72と図
7と図8のマグネトロン組立体164との一般的な違い
は、それぞれの方向づけによって生じる磁束分布であ
る。例えば、図5と図6のマグネトロン組立体72は処
理室継ぎ輪38の内部に多極磁界を形成する。
【0095】図7の矢印182は、マグネトロン組立体
164の垂直に方向づけられた磁石が作る上端から下端
への磁束分布を示す。マグネトロン永久磁石組立体72
または164を使うと望ましい実施態様のスクリーン電
極プラズマ濃度は強化されるが、この発明の本質的な目
的からすると、これらを使うかどうかは任意である。
【0096】図9は、多ゾーン・プラズマ処理用の多重
シャワーヘッド電極ゾーンを作るシャワーヘッド組立体
52の別の構成を示す。図9において、別のシャワーヘ
ッド組立体184は同心円状のプラズマ電極輪、例えば
外部同心輪186、中央同心輪188、内部ディスク1
90を含み、それぞれ外部シャワーヘッド電極線E2
192、中央電極線E3 ′194、内部電極線E4 ′1
96に接続する。
【0097】同心円状シャワーヘッド部分186、18
8、190を分離するのは、マコル(macor) 、テフロ
ン、セラミック、その他の電気絶縁材料で製作した絶縁
材料198と200である。
【0098】この構成では、3つのシャワーヘッド電極
接続186、188、190の他にスクリーン電極66
とチャック24があることに注意していただきたい。多
ゾーン・プラズマ処理用のプラズマ電極は全部で5つあ
る。シャワーヘッド電極への相対的な無線周波数電力を
調整すれば、プラズマ・プロセスの均一性を最適にする
ことができる。
【0099】図10は、二酸化珪素堆積用の望ましい実
施態様を用いて、クリーニングおよびPECVD処理を
実時間で時分割多重化する動作を示す。例えば線202
は、t0 でゼロレベル204で始まるジエチルシラン
(DES)ガスの流量を表わす。時刻t1 で、流量は増
加して流量高レベル206になる。また線208は、時
刻t0 で高レベル210で始まるNF3 クリーニングガ
スの流量を表わす。
【0100】時刻t1 で、NF3 ガス流量は低い(ゼ
ロ)レベル212に落ちる。所定の時間DESガスが流
れた後、時刻t2 でDESガスの流量は低すなわちゼロ
レベル204に再び落ち、NF3 クリーニングガスは高
レベル210に戻る。この時点で室クリーニング・プロ
セスが始まり、時刻t3 でクリーニングガスNF3 の流
量が低またはゼロレベル212に下がり、DESは高レ
ベル206に戻る。
【0101】この型のガス流量の断続が起こるのは、R
Fチャック24への電極線E3 を高周波RFチューナー
122に接続し、シャワーヘッド52への電極線E2
6を低周波RFチューナー104に接続し、円筒形スク
リーン電極66への電極線E 1 を接地110に接続して
いる間である。
【0102】図11の時間線の基本概念は図10と同じ
で、TEOSまたはDESと酸素とアルゴンの組み合わ
せと共に、NF3 と酸素とアルゴンを組み合わせたクリ
ーニングガスを用いて時分割多重化または断続モードで
PECVD二酸化珪素堆積を行なう例を示す。
【0103】図11において、線214はDESまたは
TEOSガスの流量を表わし、線216はアルゴンガス
の流量を表わし、線218は酸素の流量を表わし、線2
20はNF3 ガスの流量を表わす。線222は処理ガス
が流れた結果生じる処理室10内の全圧力を表わす。ガ
ス流量が変化するのに対応して、線224、226、2
28はそれぞれ電極線E3 、E2 、E1 への無線周波数
信号の変化を示す。
【0104】時刻t0 から始まって、DESガスはゼロ
レベル230から高レベル232に上がり、アルゴンガ
スはゼロレベル233から高レベル234に上がり、酸
素ガス流量はゼロレベル235から高レベル236に上
がり、NF3 ガスレベルは変わらずにゼロレベル238
のままである。処理室10にガスが流れると、処理室圧
力を示す圧力線222はレベル240に上がる。
【0105】時刻t0 での電極線E3 、E2 、E1 に関
して述べると、RFチャック24への電極線E3 はレベ
ル242で表わす13.56MHz信号を受け、シャワ
ーヘッド52への電極線E2 は接地に接続し、電極線E
1 はレベル246で表わすように高周波RFチューナー
96からの13.56MHz信号を受ける。この例示の
プロセスのこの段階中、処理室10の環境62内にある
ウエーハ表面22には二酸化珪素が堆積してよい。
【0106】時刻t1 で、例示のプロセスのプロセス・
パラメータが変化する。DES流量はゼロレベル230
に戻り、電極線E3 は接地に接続して信号はゼロレベル
248に落ち、電極線E1 は接地に接続してゼロレベル
250へ落ちる。ガス流量が変化すると、圧力線222
は一時的に小さな圧力低下252を示した後、急速に以
前の圧力レベル 240に戻る(閉回路圧力制御器によ
り)。
【0107】時刻t2 で、NF3 ガスを導入して処理室
10内でクリーニングが始まる。NF3 ガス流量は低す
なわちゼロレベル238から高レベル254に上がる。
円筒形スクリーン電極66への電極線E1 は、低周波R
Fチューナー104から100kHz信号を受ける。線
228がレベル246へ上がったのはこの変化を表わ
す。この時、処理室10内の圧力はレベル258への増
加で示す小さな増加または上昇を示した後、急速に定常
レベル240に戻る。
【0108】時刻t3 で、NF3 ガス流量、電極E1
の100kHz信号、電極E1 の電力がゼロレベルに戻
ると、クリーニングは終わる。この時、圧力は一時的に
レベル252に落ちた後、レベル240に戻る。
【0109】時刻t4 で、二酸化珪素堆積の第2サイク
ルが始まる。このプロセスでは、DESガス線214は
ゼロレベル230から高レベル232に上がり、電極線
3は高周波RFチューナー122に接続し、電極線E
1 は高周波RFチューナー96に接続する。他のプロセ
ス・パラメータは全て変わらない。ガス流量のこの変化
により、圧力は一時的に上昇258を示す。
【0110】時刻t5 で、DESガスの流れが止まり、
電極線E3 とE1 が接地に接続して13.56MHz信
号が止まるとこの第2堆積は終わる。圧力は再び低レベ
ル252に落ちた後、定常状態レベル240に戻る。
【0111】時刻t6 で、NF3 ガス流量が低レベル2
38から高レベル254に上がり、電極線E2 が低周波
RFチューナー104からの100kHz信号に接続す
ると、第2クリーニング処理サイクルが始まる。時刻t
7 で、NF3 ガス流量がゼロレベル238に戻り、電極
線E2 電圧が接地110に戻ると、このその場で行なわ
れるクリーニング処理は終わる。NF3 ガスのれが止ま
ると、処理室10内の圧力は一時的にレベル252に落
ちる。
【0112】時刻t8 で、第3堆積プロセス・サイクル
が始まる。この第3堆積プロセスは、DESガスがレベ
ル232に上がり、電極線E3 が高周波RFチューナー
122に接続して13.56MHz信号を受け、電極線
1 が高周波RFチューナー96に接続して同じく1
3.56MHz信号を受けると始まる。
【0113】ガスの流量が変化すると、処理室圧力は一
時的にレベル258に上がる。この第3堆積プロセスが
続いて時刻t9 になると、DESガス流量はゼロレベル
230に戻り、電極線E3 は接地レベル248に戻り、
電極線E1 は接地レベル250に戻る。この変化も、一
時的な圧力低下252を起こす。
【0114】時刻t10で、NF3 ガス流量がレベル25
4に上がり、電極線E1 が低周波RFチューナー104
に接続して100kHz信号を受けると、第3のその場
で行なわれるクリーニング・プロセスが処理室10内で
起こる。一時的な圧力上昇258が起こるので、プラズ
マガスの流量が変化したことが分かる。時刻t11で、N
3 ガスが低レベル238に戻り、電極線E1 が接地ポ
テンシャル250に戻ると、クリーニング・プロセスは
終わる。時刻t11での圧力低下252は、NF 3 ガスの
流量のこの変化の結果である。
【0115】時刻t12で、DESガス流量がレベル23
2になり、電極線E3 が高周波RFチューナー122か
ら13.56MHz信号を受け、電極線E1 が高周波R
Fチューナー96から13.56MHz信号を受ける
と、第4で最終の堆積プロセス・サイクルが始まる。こ
のプロセス・サイクルは時刻t13で終わる。時刻t13
は、PECVD二酸化珪素堆積もクリーニング処理も終
わる。
【0116】DESガス流量はゼロレベル230に戻
り、アルゴンガス流量216はレベル233に落ち、酸
素ガス流量218はレベル235に落ち、NF3 ガス流
量はレベル238のままであり、圧力はプロセス前の真
空レベル239に落ち、電極E 3 (線224)は接地ポ
テンシャル248に落ち、電極E2 は接地レベル244
を保ち、電極E1 線ポテンシャルは接地レベル250に
落ちる。
【0117】これで図11のプロセスを完了する。上に
述べたプロセスは、時分割多重化PECVDプロセスと
多電極プラズマ・プロセスによるその場で行なわれるク
リーニングの例を示す。
【0118】図12から図15までは、この発明の望ま
しい実施態様を用いて得られる150mmウエーハ上の
結果を示す。詳しくいうと図12と図13は、2つの異
なるプロセス・パラメータの組を用いて生じたプロセス
のPECVD・DES酸化物堆積の均一性を測定した例
を示す。図12は、500標準cm3 /分(SCCM)
のアルゴンと、100SCCMの酸素と、25SCCM
のDESを400Pa(3Torr)の圧力でプラズマ
処理室内に流した結果を示す。
【0119】円筒形スクリーン電極66は電極線E1
経て接地に接続し、シャワーヘッド電極52は電極線E
2 を経て低周波RFチューナー104から10ワットの
100kHz信号を受け、無線周波数チャック24は電
極線E3 を経て高周波RFチューナー122などからの
50ワットの13.56MHz電力に接続する。次の表
は、図12に示すウエーハの測定結果に表われる統計的
変動を示す。
【表1】
【0120】同様に図13は、100SCCMのアルゴ
ンと、100SCCMの酸素と、25SCCMのDES
ガス流量を用いて133.3Pa(1Torr)の圧力
で行なったPECVDプロセスの後に得た測定値を示
す。図13のプロセスでは、円筒形スクリーン電極66
は浮遊状態であり、RFチャック24はRFチューナー
122から50ワットの13.56MHz信号を受け、
シャワーヘッド電極52は接地に接続する。表IIはこ
のプロセスで得られた統計的結果を示す。
【表2】
【0121】表IIIは、望ましい実施態様において2
つの異なる圧力で、多ゾーン、多電極プラズマ・プロセ
スを用いて得られた堆積速度の例示的結果をオングスト
ローム/分で示す。表に示すように、PECVDプロセ
ス圧力が高いほど堆積速度も高くなる。
【表3】
【0122】更に図14と図15は、望ましい実施態様
の多ゾーン・プラズマ処理装置を用いて得られるPEC
VD酸化物の厚さの均一性制御を示す。図14のプロセ
スは、500SCCMのアルゴンと、100SCCMの
酸素と、25SCCMのDESを400 Pa(3To
rr)の圧力で用いたものである。
【0123】円筒形スクリーン電極66は接地に接続
し、シャワーヘッド組立体52は接地に接続し、RFチ
ャック24は50ワットの13.56MHz電源を受け
る。表IVはこのプロセスの統計的結果を示す。
【表4】
【0124】最後に図15は、500SCCMのアルゴ
ンと、100SCCMの酸素と、25SCCMのDES
を400Pa(3Torr)の圧力で用いたプロセスの
結果を示す。電極線E1 74はRFチューナー104な
どの15ワットの100kHz低周波電源に接続し、電
極線E2 76は接地に接続し、電極線E3 26はRFチ
ューナー122などから50ワットの13.56MHz
電源を受ける。表Vは、この処理の統計的結果を示す。
【0125】表に示すように、この多ゾーン・プラズマ
発生を用いたPECVDプロセスを行なうと、表IVの
単一ゾーン・プラズマ堆積プロセスに比べて酸化物の厚
さの均一性が改善される。多電極プラズマ構成により、
全体のプロセスの均一性を最適にするための相対的なゾ
ーン・プラズマ濃度の調整ができる。PECVD処理は
全て約400゜Cで行なわれたことに注意していただき
たい。
【表5】
【0126】図16は望ましい実施態様の多電極、多ゾ
ーン・プラズマ処理方法および装置によって得られる装
置の電気的結果を示す。詳しくいうと図16は、望まし
い実施態様においてPECVD酸化物ゲート誘電体を備
えたアルミニウム・ゲート金属酸化物半導体(MOS)
コンデンサの電気絶縁破壊の測定値を示す。図16にお
いて、縦軸300には0%から100%までの百分率絶
縁破壊を示す。
【0127】横軸302には、コンデンサの絶縁破壊電
界の測定値をメガボルト/cm単位で0MV/cmから
14MV/cmの範囲で示す。この例のコンデンサのプ
ロセス要件仕様は、平均絶縁破壊が4MV/cmより上
でなければならないということである。図16に示すよ
うに、133.3Pa(1Torr)の圧力で形成した
コンデンサの絶縁破壊電界は、一般に6から10MV/
cmの範囲である。
【0128】400Pa(3Torr)のプロセスで形
成したコンデンサの絶縁破壊電界は、約4から7MV/
cmの間である。一般に全ての絶縁破壊は、4MV/c
mという要件より上で起こっている。これらの結果か
ら、望ましい実施態様の方法および装置から得られるP
ECVD酸化物層の電気的品質は基準を満たすことを示
す。
【0129】この発明について上に述べた実施態様に関
連して説明したが、この説明は制限的な意味に解釈して
はならない。開示した実施態様の各種の変形やこの発明
の別の実施態様が可能なことは、この説明を読めば、こ
の技術に精通した人には明かである。従って特許請求の
範囲は、この発明の真の範囲に含まれる各種の変形を含
むものと見なす。
【0130】以上の説明に関して更に以下の項を開示す
る。 (1) プラズマ堆積またはエッチング・プロセス中
に、均一なプラズマ処理と効果的なその場での製作反応
器処理室のクリーニングを行なうための多ゾーン、多電
極プラズマ処理方法であって、プラズマ・プロセスガス
を前記処理室に流し、前記プラズマ・プロセスガス流の
前記流れの間に、前記プラズマ・プロセスガスからプロ
セス・プラズマを発生して半導体ウエーハ上にプラズマ
強化プロセスを行なうためにプラズマ電極を活性化し、
前記処理室内にその場で行なわれるクリーニング用のガ
スを流し、前記クリーニングガスの前記流れの間に、前
記クリーニングガスからクリーニング・プラズマを発生
してその場でのプラズマ援助室クリーニング・プロセス
を行なうためにプラズマ電極を活性化する、段階を含む
プラズマ処理方法。
【0131】(2) 前記プラズマ・プロセスおよびそ
の場で行なわれるプラズマ援助室クリーニング段階は、
連続的にかつ順次に行なわれる、第1項記載の方法。 (3) 前記プラズマ・プロセスおよびその場で行なわ
れるプラズマ援助室クリーニング段階は時分割多重形式
で行なわれる、第1項記載の方法。 (4) 前記プラズマ強化プロセス中に行なわれる前記
半導体ウエーハに近接する前記プロセス・プラズマ密度
を制御するための前記プラズマ電極活性化段階を可変制
御する段階を更に含み、前記プロセス・プラズマ濃度調
節はプラズマ・プロセス・パラメータを柔軟に調整する
ことができる、第1項記載の方法。
【0132】(5) 前記その場で行なわれるプラズマ
援助室クリーニング・プロセス段階中に行なわれる前記
露出した処理室壁に近接する前記クリーニング・プラズ
マ密度を制御するための前記プラズマ電極活性化を可変
制御する段階を更に含み、前記クリーニング・プラズマ
濃度調節は処理室壁を柔軟にクリーニングすることがで
きる、第1項記載の方法。 (6) 前記半導体ウエーハに近接するプロセス・プラ
ズマ濃度および均一性を制御するための多重プラズマ電
極を適切に配列する段階を更に含み、前記制御はプラズ
マ・プロセス・パラメータを柔軟に最適化することがで
きる、第1項記載の方法。
【0133】(7) 前記処理室壁に近接するクリーニ
ング・プラズマ密度および分布を制御するための多重プ
ラズマ電極を所定の構成にする段階を更に含み、前記制
御は処理室壁のその場でのクリーニングを柔軟に行なう
ことができる、第1項記載の方法。 (8) 複数の非プラズマ・プロセスガスを処理室に流
して前記プラズマガスまたは前記クリーニングガスと混
合する段階を更に含む、第1項記載の方法。 (9) 第1プラズマ電極としてチャックを用いる段階
を更に含む、第1項記載の方法。
【0134】(10) 第2プラズマ電極としてガスシ
ャワーヘッドを用いる段階を更に含む、第1項記載の方
法。 (11) 第3プラズマ電極として周辺スクリーンを用
いる段階を更に含む、第1項記載の方法。 (12) 前記周辺スクリーン第3電極は円筒形の穿孔
された電極である、第11項記載の方法。
【0135】(13) プラズマ製作プロセス中に、均
一なプラズマ処理とその場での製作反応器処理室壁のク
リーニングを行なうためのシステムであって、プラズマ
・プロセスガスから堆積プロセス・プラズマを発生する
ための第1プラズマ電極と、その場で行なわれるクリー
ニング用のガスからクリーニング・プロセス・プラズマ
を発生するための第2プラズマ電極と、前記プラズマ・
プロセスガスと前記その場で行なわれるクリーニング用
のガスを断続モードで前記処理室に選択的に流すための
制御可能なガス流れ装置と、前記プラズマ・プロセス堆
積ガスが流れ始めると前記第1プラズマ電極を活性化し
て、前記プラズマ・プロセスガスからプラズマを発生す
るための第1回路と、前記その場で行なわれるクリーニ
ング用のガスが流れ始めると前記第2プラズマ電極を活
性化して、前記プラズマ・クリーニングガスを用いてそ
の場でのプラズマ援助室クリーニングを行なうための第
2回路と、を含むシステム。
【0136】(14) 前記第1回路は、前記第1プラ
ズマ電極を連続的にかつ順次に活性化する、第13項記
載のシステム。 (15) 前記第2回路は、前記第2プラズマ電極を連
続的にかつ順次に活性化する、第13項記載のシステ
ム。 (16) 前記第1プラズマ電極を可変制御して前記半
導体ウエーハに近接する前記プロセス・プラズマ濃度を
可変制御するための回路を更に含み、前記第1プラズマ
電極に関連する前記プロセス・プラズマ濃度制御回路
は、プラズマ・プロセス・パラメータの調整を十分柔軟
に行なうことのできる柔軟なプラズマ濃度制御を行な
う、第13項記載のシステム。
【0137】(17) その場で行なわれるプラズマ援
助室クリーニング・プロセス中に前記第2プラズマ電極
活性化回路を可変制御して、前記反応器処理室壁に近接
する前記その場で行なわれるクリーニング用のプラズマ
濃度を制御するための回路を更に含み、前記第2プラズ
マ電極に関連する前記クリーニング・プラズマ濃度制御
回路はその場で行なわれるクリーニング用のガス流量の
変動とは独立にその場で行なわれるクリーニング用のプ
ラズマ濃度を制御する、第13項記載のシステム。 (18) 前記反応器処理室壁に近接するプラズマ・プ
ロセス濃度を制御するための第3プラズマ電極を更に含
む、第13項記載のシステム。
【0138】(19) 多重プラズマ電極の所定の構成
を間欠的に活性化して、前記反応器処理室壁に近接する
クリーニング・プラズマ濃度および分布を制御するため
の回路を更に含む、第13項記載のシステム。 (20) 前記多重プラズマ電極活性化回路を可変制御
して、前記反応器処理室壁に近接する前記クリーニング
・プラズマ濃度を制御するための回路を更に含み、前記
第2プラズマ電極に関連する前記クリーニング・プラズ
マ濃度制御回路は、前記その場で行なわれるクリーニン
グ用のガスの流量の変動とは独立にプラズマ濃度を制御
する、第19項記載のシステム。
【0139】(21) プラズマ堆積またはエッチング
・プロセス中に、均一なプラズマ処理および効果的なそ
の場での製作反応器処理室(10)クリーニングを行な
うための多ゾーン、多電極プラズマ処理方法であって、
先ずプラズマ堆積またはエッチングガスを処理室(1
0)に断続または連続モード(線214)で流し、次に
プラズマ・クリーニングガスを処理室(10)に断続モ
ード(220)または連続モードで流す段階を含む。プ
ラズマ処理ガスが流れ始めると、少なくとも1つのプラ
ズマ電極(24または52)を断続的に活性化する(2
24)ことによって、この方法はプロセス・プラズマ媒
体を発生してプラズマ強化堆積またはエッチングプロセ
スを行なう。更にプロセスガス流が止まっている間に、
同じまたは異なる構成のプラズマ電極(66)を断続的
に活性化し、その場で行なわれるクリーニング用のプラ
ズマを生成してプラズマ援助室クリーニングプロセスを
行なう。この発明の多ゾーンプロセス処理方法により、
1つまたは複数の無線周波数電源を用いて多重プラズマ
電極を時分割多重または連続的に活性化し、半導体ウエ
ーハ(22)、処理室壁(38)、ガスシャワーヘッド
(52)に近接するプラズマ濃度と均一性およびイオン
・エネルギーを制御することができる。
【図面の簡単な説明】
この発明と使用法と利点は、例示的な実施態様の説明を
次の図面と共に参照すれば最もよく理解できる。
【図1】望ましい実施態様の概念を図示するための代表
的な半導体ウエーハ処理反応器プラズマ処理室の簡単な
略図である。
【図2】単一ウエーハ真空プロセッサ内のこの発明の望
ましい実施態様を含む製作反応器処理室の詳細図であ
る。
【図3】望ましい多電極実施態様のプラズマ処理スクリ
ーン電極の等角図である。
【図4】望ましい実施態様で用いる各種の多電極/多周
波数無線周波数接続を示す図。
【図5】マグネトロン・プラズマ強化によって望ましい
実施態様の動作を強化する第1永久磁石モジュールの側
面図である。
【図6】マグネトロン・プラズマ強化によって望ましい
実施態様の動作を強化する第1永久磁石モジュールの頂
面図である。
【図7】マグネトロン・プラズマ強化のための望ましい
実施態様で用いることのできる第2永久磁石モジュール
の側面図である。
【図8】マグネトロン・プラズマ強化のための望ましい
実施態様で用いることのできる第2永久磁石モジュール
の頂面図である。
【図9】この発明の望ましい実施態様における多電極お
よび/または多周波数プラズマ処理のためのシャワーヘ
ッド組立体を切断した図である。
【図10】望ましい実施態様で用いる断続的酸化物PE
CVDおよびその場で行なわれるクリーニング・プロセ
スのためのプラズマ時分割多重化を示す図。
【図11】望ましい実施態様を用いた、散在するPEC
VD二酸化珪素堆積とその場で行なわれるプラズマ・ク
リーニングのための代表的な時分割多重化(TDM)動
作を示す図。
【図12】PECVD酸化物堆積の厚さの均一化形状を
示す図。
【図13】PECVD酸化物堆積の厚さの均一化形状を
示す図。
【図14】望ましい実施態様を用いたPECVD酸化物
堆積と2ゾーン・プラズマの均一化形状を示す図。
【図15】望ましい実施態様を用いたPECVD酸化物
堆積と2ゾーン・プラズマの均一化形状を示す図。
【図16】望ましい実施態様の方法と装置で製作した酸
化物誘電体を備えるアルミニウム・ゲートMOSコンデ
ンサで行なったテスト結果を示す図。
【符号の説明】
10 処理室 12 マグネトロン・モジュール 14 処理室蓋 16、20 熱電対接続 22 半導体ウエーハ 24 チャック 26 チャック電極線E3 28 冷媒の入口 30 冷媒の出口 32 反応器外壁 34 シール領域 36 支持体 38 処理室継ぎ輪 40 接触シール 42 チャック24の保持棚 44 囲いモジュール 46 チャック24の底面 48、50 ピン 52 シャワーヘッド組立体 54 シャワーヘッド注入器 56 穿孔板 60 ガス通路 62 処理環境 66 円筒形スクリーン電極 68 絶縁基体 70 スクリーン 72 永久磁石組立体 74 電極線E1 76 電極線E2 78 通路孔 82 電極線E1 用スイッチ 84 スイッチ82のコネクタ 86 浮遊線接点 88 高周波接点 90 低周波接点 92 電気接地接点 94 浮遊リード線 96 高周波RFチューナー 98 制御入力 100 13.56MHz電源 102 線 104 低周波RFチューナー 106 制御入力 108 100kHz電源 110 電気接地 112 電極線E2 用スイッチ 114 電極線E3 用スイッチ 116 スイッチ112の接点 118 電気接地接点 120 低周波接点 124 高周波接点 128 浮遊線接点 122 高周波RFチューナー 126 浮遊線 130 制御入力 132 13.56MHz電源 134 スイッチ114の接点 136 低周波接点 138 高周波接点 142 電気接地接点 144 浮遊線接点 140 電気接地 146 浮遊線 150 マグネトロン永久磁石組立体72の高さ 152 マグネトロン永久磁石組立体72の外径 154 マグネトロン永久磁石組立体72の内径 156 磁石の幅 158、159、162 磁石 160 マグネトロンの外壁 164 マグネトロン永久磁石組立体 166 磁石 168 磁石の北極(または南極) 169 マグネトロン永久磁石組立体164の壁 170 磁石の南極(または北極) 172 マグネトロン永久磁石組立体164の基体 174 マグネトロン永久磁石組立体164の外径 176 内径 178 鉄輪 180 マグネトロン永久磁石組立体72の磁束分布 182 マグネトロン永久磁石組立体164の磁束分布 184 シャワーヘッド組立体 186 外部同心輪 188 中央同心輪 190 内部ディスク 192 電極線E2 ′ 194 電極線E3 ′ 196 電極線E4 ′ 198、200 絶縁材料 202 DES流量 204 流量ゼロレベル 206 流量高レベル 208 NF3 流量 210 流量高レベル 212 流量ゼロレベル 214 DESまたはTEOS流量 216 アルゴン流量 218 酸素流量 220 NF3 流量 222 処理室内圧力 224 E3 信号 226 E2 信号 228 E1 信号 230 DES流量ゼロレベル 232 DES流量高レベル 233 アルゴン流量ゼロレベル 234 アルゴン流量高レベル 235 酸素流量ゼロレベル 236 酸素流量高レベル 238 NF3 流量ゼロレベル 239 処理室内圧力低レベル 240 処理室内圧力高レベル 242 E3 信号高レベル(13.56MHz) 244 E2 信号低レベル 246 E1 信号高レベル(13.56MHz) 248 E3 信号ゼロレベル 250 E1 信号ゼロレベル 252 処理室内圧力一時低下レベル 254 NF3 流量高レベル 258 処理室内圧力一時上昇レベル 259 E2 信号高レベル 300 縦軸 302 横軸
───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.5 識別記号 庁内整理番号 FI 技術表示箇所 H05H 1/46 9014−2G

Claims (2)

    【特許請求の範囲】
  1. 【請求項1】 プラズマ堆積またはエッチング・プロセ
    ス中に、均一なプラズマ処理と効果的な製作反応器(fab
    rication reactor) 処理室(process chamberまたはproc
    essing chamber) のその場での(in-situ) クリーニング
    を行なうための多ゾーン、多電極プラズマ処理方法であ
    って、 プラズマ・プロセスガスを前記処理室に流し、 前記プラズマ・プロセスガス流の前記流れの間に、前記
    プラズマ・プロセスガスからプロセス・プラズマを発生
    して半導体ウエーハ上にプラズマ強化プロセスを行なう
    ためにプラズマ電極を活性化し、 前記処理室内にその場で行なわれるクリーニング用のガ
    スを流し、 前記クリーニングガスの前記流れの間に、前記クリーニ
    ングガスからクリーニング・プラズマを発生してその場
    でプラズマ援助(plasma assisted) 室クリーニング・プ
    ロセスを行なうためにプラズマ電極を活性化する、 段階を含むプラズマ処理方法。
  2. 【請求項2】 プラズマ製作プロセス中に、均一なプラ
    ズマ処理とその場での製作反応器処理室壁のクリーニン
    グを行なうためのシステムであって、 プラズマ・プロセスガスから堆積プロセス・プラズマを
    発生するための第1プラズマ電極と、 その場で行なわれるクリーニング用のガスからクリーニ
    ング・プロセス・プラズマを発生するための第2プラズ
    マ電極と、 前記プラズマ・プロセスガスと前記その場で行なわれる
    クリーニング用のガスを断続モードで前記処理室に選択
    的に流すための制御可能なガス流れ装置と、 前記プラズマ・プロセス堆積ガスが流れ始めると前記第
    1プラズマ電極を活性化して、前記プラズマ・プロセス
    ガスからプラズマを発生するための第1回路と、 前記その場で行なわれるクリーニング用のガスが流れ始
    めると前記第2プラズマ電極を活性化し、前記プラズマ
    ・クリーニングガスを用いてその場でプラズマ援助室ク
    リーニングを行なうための第2回路と、 を含むシステム。
JP15245893A 1992-06-24 1993-06-23 多ゾーン・プラズマ処理方法 Expired - Fee Related JP3555966B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US07/903,621 US5252178A (en) 1992-06-24 1992-06-24 Multi-zone plasma processing method and apparatus
US903621 1992-06-24

Publications (2)

Publication Number Publication Date
JPH0661219A true JPH0661219A (ja) 1994-03-04
JP3555966B2 JP3555966B2 (ja) 2004-08-18

Family

ID=25417800

Family Applications (1)

Application Number Title Priority Date Filing Date
JP15245893A Expired - Fee Related JP3555966B2 (ja) 1992-06-24 1993-06-23 多ゾーン・プラズマ処理方法

Country Status (4)

Country Link
US (1) US5252178A (ja)
EP (1) EP0578010B1 (ja)
JP (1) JP3555966B2 (ja)
DE (1) DE69320557T2 (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005527941A (ja) * 2002-03-28 2005-09-15 サントル、ナショナール、ド、ラ、ルシェルシュ、シアンティフィク、(セーエヌエルエス) ある体積内にプラズマを閉じ込める装置
JP2007500783A (ja) * 2003-07-30 2007-01-18 ユナキス・バルツェルス・アクチェンゲゼルシャフト 真空プラズマ処理された加工物を製造する方法および加工物を真空プラズマ処理するためのシステム
CN113061859A (zh) * 2021-03-19 2021-07-02 成都齐兴真空镀膜技术有限公司 一种用于x射线管阳极靶的金属涂层及其制备方法
CN114063479A (zh) * 2021-11-12 2022-02-18 华科电子股份有限公司 应用于蚀刻机的多路输出模块的射频电源控制方法及系统

Families Citing this family (320)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2644912B2 (ja) * 1990-08-29 1997-08-25 株式会社日立製作所 真空処理装置及びその運転方法
KR930011413B1 (ko) * 1990-09-25 1993-12-06 가부시키가이샤 한도오따이 에네루기 겐큐쇼 펄스형 전자파를 사용한 플라즈마 cvd 법
DE4118973C2 (de) * 1991-06-08 1999-02-04 Fraunhofer Ges Forschung Vorrichtung zur plasmaunterstützten Bearbeitung von Substraten und Verwendung dieser Vorrichtung
US5391855A (en) * 1991-08-01 1995-02-21 Komoto Tech, Inc. Apparatus for atmospheric plasma treatment of a sheet-like structure
US5286297A (en) * 1992-06-24 1994-02-15 Texas Instruments Incorporated Multi-electrode plasma processing apparatus
US5686050A (en) * 1992-10-09 1997-11-11 The University Of Tennessee Research Corporation Method and apparatus for the electrostatic charging of a web or film
US7264850B1 (en) 1992-12-28 2007-09-04 Semiconductor Energy Laboratory Co., Ltd. Process for treating a substrate with a plasma
US5662770A (en) * 1993-04-16 1997-09-02 Micron Technology, Inc. Method and apparatus for improving etch uniformity in remote source plasma reactors with powered wafer chucks
US5938854A (en) * 1993-05-28 1999-08-17 The University Of Tennessee Research Corporation Method and apparatus for cleaning surfaces with a glow discharge plasma at one atmosphere of pressure
US5865896A (en) 1993-08-27 1999-02-02 Applied Materials, Inc. High density plasma CVD reactor with combined inductive and capacitive coupling
US5565036A (en) * 1994-01-19 1996-10-15 Tel America, Inc. Apparatus and method for igniting plasma in a process module
EP0664347A3 (en) * 1994-01-25 1997-05-14 Applied Materials Inc Plant for the deposition of a uniform layer of a material on a substrate.
JP3372647B2 (ja) * 1994-04-18 2003-02-04 キヤノン株式会社 プラズマ処理装置
DE69506619T2 (de) * 1994-06-02 1999-07-15 Applied Materials Inc Induktiv gekoppelter Plasmareaktor mit einer Elektrode zur Erleichterung der Plasmazündung
US5514246A (en) * 1994-06-02 1996-05-07 Micron Technology, Inc. Plasma reactors and method of cleaning a plasma reactor
US5624592A (en) * 1994-10-19 1997-04-29 Cerberus Institute For Research And Development, Inc. Microwave facilitated atmospheric energy projection system
US5585012A (en) * 1994-12-15 1996-12-17 Applied Materials Inc. Self-cleaning polymer-free top electrode for parallel electrode etch operation
US5523261A (en) * 1995-02-28 1996-06-04 Micron Technology, Inc. Method of cleaning high density inductively coupled plasma chamber using capacitive coupling
US5955174A (en) * 1995-03-28 1999-09-21 The University Of Tennessee Research Corporation Composite of pleated and nonwoven webs
CA2197978A1 (en) * 1995-06-19 1996-12-20 Paul D. Spence Discharge methods and electrodes for generating plasmas at one atmosphere of pressure, and materials treated therewith
US6060397A (en) * 1995-07-14 2000-05-09 Applied Materials, Inc. Gas chemistry for improved in-situ cleaning of residue for a CVD apparatus
US5653811A (en) * 1995-07-19 1997-08-05 Chan; Chung System for the plasma treatment of large area substrates
US5597438A (en) * 1995-09-14 1997-01-28 Siemens Aktiengesellschaft Etch chamber having three independently controlled electrodes
US5611426A (en) * 1995-10-12 1997-03-18 Point Group Corporation Packaging assembly for compact discs
JP2737720B2 (ja) * 1995-10-12 1998-04-08 日本電気株式会社 薄膜形成方法及び装置
EP0777258A3 (en) * 1995-11-29 1997-09-17 Applied Materials Inc Self-cleaning plasma processing reactor
US5817534A (en) * 1995-12-04 1998-10-06 Applied Materials, Inc. RF plasma reactor with cleaning electrode for cleaning during processing of semiconductor wafers
US6116185A (en) * 1996-05-01 2000-09-12 Rietzel; James G. Gas injector for plasma enhanced chemical vapor deposition
US6500314B1 (en) * 1996-07-03 2002-12-31 Tegal Corporation Plasma etch reactor and method
US6048435A (en) 1996-07-03 2000-04-11 Tegal Corporation Plasma etch reactor and method for emerging films
US5976261A (en) * 1996-07-11 1999-11-02 Cvc Products, Inc. Multi-zone gas injection apparatus and method for microelectronics manufacturing equipment
US6110540A (en) * 1996-07-12 2000-08-29 The Boc Group, Inc. Plasma apparatus and method
US5917285A (en) * 1996-07-24 1999-06-29 Georgia Tech Research Corporation Apparatus and method for reducing operating voltage in gas discharge devices
US6113731A (en) * 1997-01-02 2000-09-05 Applied Materials, Inc. Magnetically-enhanced plasma chamber with non-uniform magnetic field
US5904800A (en) * 1997-02-03 1999-05-18 Motorola, Inc. Semiconductor wafer processing chamber for reducing particles deposited onto the semiconductor wafer
US5945354A (en) * 1997-02-03 1999-08-31 Motorola, Inc. Method for reducing particles deposited onto a semiconductor wafer during plasma processing
US6054694A (en) * 1997-04-16 2000-04-25 Cerberus Institute For Research And Development, Inc. Microwave facilitated atmospheric energy projection system
JP3801730B2 (ja) * 1997-05-09 2006-07-26 株式会社半導体エネルギー研究所 プラズマcvd装置及びそれを用いた薄膜形成方法
US6291313B1 (en) 1997-05-12 2001-09-18 Silicon Genesis Corporation Method and device for controlled cleaving process
US20070122997A1 (en) 1998-02-19 2007-05-31 Silicon Genesis Corporation Controlled process and resulting device
US6033974A (en) 1997-05-12 2000-03-07 Silicon Genesis Corporation Method for controlled cleaving process
US6013563A (en) 1997-05-12 2000-01-11 Silicon Genesis Corporation Controlled cleaning process
US6027988A (en) * 1997-05-28 2000-02-22 The Regents Of The University Of California Method of separating films from bulk substrates by plasma immersion ion implantation
US6079426A (en) * 1997-07-02 2000-06-27 Applied Materials, Inc. Method and apparatus for determining the endpoint in a plasma cleaning process
US6548382B1 (en) 1997-07-18 2003-04-15 Silicon Genesis Corporation Gettering technique for wafers made using a controlled cleaving process
US6103599A (en) * 1997-07-25 2000-08-15 Silicon Genesis Corporation Planarizing technique for multilayered substrates
US6074488A (en) * 1997-09-16 2000-06-13 Applied Materials, Inc Plasma chamber support having an electrically coupled collar ring
JP3398027B2 (ja) * 1997-10-15 2003-04-21 株式会社荏原製作所 気相成長装置及びその洗浄方法
US6287990B1 (en) * 1998-02-11 2001-09-11 Applied Materials, Inc. CVD plasma assisted low dielectric constant films
US6228176B1 (en) 1998-02-11 2001-05-08 Silicon Genesis Corporation Contoured platen design for plasma immerson ion implantation
US6051073A (en) * 1998-02-11 2000-04-18 Silicon Genesis Corporation Perforated shield for plasma immersion ion implantation
US6274459B1 (en) 1998-02-17 2001-08-14 Silicon Genesis Corporation Method for non mass selected ion implant profile control
US20030010453A1 (en) * 1998-03-18 2003-01-16 Jyunichi Tanaka Plasma processing apparatus and plasma processing method
US6111237A (en) * 1998-04-24 2000-08-29 Cerberus Institute For Research And Development, Inc. Microwave facilitated atmospheric energy projection system
JP3646968B2 (ja) * 1998-05-22 2005-05-11 信越化学工業株式会社 マグネトロンプラズマ用磁場発生装置
US6395640B2 (en) 1999-12-17 2002-05-28 Texas Instruments Incorporated Apparatus and method for selectivity restricting process fluid flow in semiconductor processing
US20020053694A1 (en) 1998-06-10 2002-05-09 Sutcliffe Victor C. Method of forming a memory cell with self-aligned contacts
US6291326B1 (en) 1998-06-23 2001-09-18 Silicon Genesis Corporation Pre-semiconductor process implant and post-process film separation
US6095085A (en) * 1998-08-20 2000-08-01 Micron Technology, Inc. Photo-assisted remote plasma apparatus and method
DE10022384B4 (de) * 1998-11-09 2004-07-22 Semikron Elektronik Gmbh Verfahren zur Passivierung einer schnellen Leistungsdiode
US6186154B1 (en) * 1998-12-07 2001-02-13 Taiwan Semiconductor Manufacturing Company Find end point of CLF3 clean by pressure change
US6458723B1 (en) 1999-06-24 2002-10-01 Silicon Genesis Corporation High temperature implant apparatus
AU6905000A (en) 1999-08-10 2001-03-05 Silicon Genesis Corporation A cleaving process to fabricate multilayered substrates using low implantation doses
US6221740B1 (en) 1999-08-10 2001-04-24 Silicon Genesis Corporation Substrate cleaving tool and method
US6500732B1 (en) 1999-08-10 2002-12-31 Silicon Genesis Corporation Cleaving process to fabricate multilayered substrates using low implantation doses
US6263941B1 (en) 1999-08-10 2001-07-24 Silicon Genesis Corporation Nozzle for cleaving substrates
US6281146B1 (en) * 1999-09-15 2001-08-28 Taiwan Semiconductor Manufacturing Company Plasma enhanced chemical vapor deposition (PECVD) method for forming microelectronic layer with enhanced film thickness uniformity
US6291358B1 (en) 1999-10-15 2001-09-18 Micron Technology, Inc. Plasma deposition tool operating method
US8114245B2 (en) * 1999-11-26 2012-02-14 Tadahiro Ohmi Plasma etching device
US6537420B2 (en) * 1999-12-17 2003-03-25 Texas Instruments Incorporated Method and apparatus for restricting process fluid flow within a showerhead assembly
US20030079983A1 (en) * 2000-02-25 2003-05-01 Maolin Long Multi-zone RF electrode for field/plasma uniformity control in capacitive plasma sources
US6502530B1 (en) * 2000-04-26 2003-01-07 Unaxis Balzers Aktiengesellschaft Design of gas injection for the electrode in a capacitively coupled RF plasma reactor
JP2001338912A (ja) * 2000-05-29 2001-12-07 Tokyo Electron Ltd プラズマ処理装置および処理方法
US6494958B1 (en) 2000-06-29 2002-12-17 Applied Materials Inc. Plasma chamber support with coupled electrode
US6632322B1 (en) * 2000-06-30 2003-10-14 Lam Research Corporation Switched uniformity control
US6412437B1 (en) * 2000-08-18 2002-07-02 Micron Technology, Inc. Plasma enhanced chemical vapor deposition reactor and plasma enhanced chemical vapor deposition process
TW511398B (en) * 2000-09-12 2002-11-21 Tokyo Electron Ltd Apparatus and method to control the uniformity of plasma by reducing radial loss
US6471830B1 (en) 2000-10-03 2002-10-29 Veeco/Cvc, Inc. Inductively-coupled-plasma ionized physical-vapor deposition apparatus, method and system
AUPR179500A0 (en) * 2000-11-30 2000-12-21 Saintech Pty Limited Ion source
US6930041B2 (en) * 2000-12-07 2005-08-16 Micron Technology, Inc. Photo-assisted method for semiconductor fabrication
US6576564B2 (en) 2000-12-07 2003-06-10 Micron Technology, Inc. Photo-assisted remote plasma apparatus and method
US6598610B2 (en) 2001-02-05 2003-07-29 Dalsa Semiconductor Inc. Method of depositing a thick dielectric film
US6602381B1 (en) 2001-04-30 2003-08-05 Lam Research Corporation Plasma confinement by use of preferred RF return path
US6596654B1 (en) 2001-08-24 2003-07-22 Novellus Systems, Inc. Gap fill for high aspect ratio structures
US6846745B1 (en) * 2001-08-03 2005-01-25 Novellus Systems, Inc. High-density plasma process for filling high aspect ratio structures
JP2003068705A (ja) * 2001-08-23 2003-03-07 Hitachi Ltd 半導体素子の製造方法
US7067440B1 (en) 2001-08-24 2006-06-27 Novellus Systems, Inc. Gap fill for high aspect ratio structures
JP3886424B2 (ja) * 2001-08-28 2007-02-28 鹿児島日本電気株式会社 基板処理装置及び方法
JP3971603B2 (ja) * 2001-12-04 2007-09-05 キヤノンアネルバ株式会社 絶縁膜エッチング装置及び絶縁膜エッチング方法
US6828241B2 (en) * 2002-01-07 2004-12-07 Applied Materials, Inc. Efficient cleaning by secondary in-situ activation of etch precursor from remote plasma source
US6869880B2 (en) * 2002-01-24 2005-03-22 Applied Materials, Inc. In situ application of etch back for improved deposition into high-aspect-ratio features
JP4109468B2 (ja) * 2002-03-05 2008-07-02 住友ゴム工業株式会社 自動二輪車用ラジアルタイヤ
JP3703780B2 (ja) * 2002-06-11 2005-10-05 株式会社シマノ 自転車用ハブブレーキ装置
US6767836B2 (en) * 2002-09-04 2004-07-27 Asm Japan K.K. Method of cleaning a CVD reaction chamber using an active oxygen species
US8187377B2 (en) 2002-10-04 2012-05-29 Silicon Genesis Corporation Non-contact etch annealing of strained layers
US7122485B1 (en) 2002-12-09 2006-10-17 Novellus Systems, Inc. Deposition profile modification through process chemistry
US7037376B2 (en) 2003-04-11 2006-05-02 Applied Materials Inc. Backflush chamber clean
DE10317208A1 (de) * 2003-04-15 2004-11-04 Robert Bosch Gmbh Plasmadepositionsverfahren
KR20050004995A (ko) * 2003-07-01 2005-01-13 삼성전자주식회사 플라즈마를 이용하는 기판 가공 장치
US6829056B1 (en) 2003-08-21 2004-12-07 Michael Barnes Monitoring dimensions of features at different locations in the processing of substrates
US7344996B1 (en) 2005-06-22 2008-03-18 Novellus Systems, Inc. Helium-based etch process in deposition-etch-deposition gap fill
US7476621B1 (en) 2003-12-10 2009-01-13 Novellus Systems, Inc. Halogen-free noble gas assisted H2 plasma etch process in deposition-etch-deposition gap fill
US7163896B1 (en) 2003-12-10 2007-01-16 Novellus Systems, Inc. Biased H2 etch process in deposition-etch-deposition gap fill
US20050187374A1 (en) * 2004-02-20 2005-08-25 Bin Chen Polyester synthesis with enhanced titanium catalyst composition
US7695590B2 (en) * 2004-03-26 2010-04-13 Applied Materials, Inc. Chemical vapor deposition plasma reactor having plural ion shower grids
US7244474B2 (en) 2004-03-26 2007-07-17 Applied Materials, Inc. Chemical vapor deposition plasma process using an ion shower grid
US7291360B2 (en) 2004-03-26 2007-11-06 Applied Materials, Inc. Chemical vapor deposition plasma process using plural ion shower grids
US7708859B2 (en) * 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities
US20070066038A1 (en) 2004-04-30 2007-03-22 Lam Research Corporation Fast gas switching plasma processing apparatus
JP4550507B2 (ja) * 2004-07-26 2010-09-22 株式会社日立ハイテクノロジーズ プラズマ処理装置
US7217658B1 (en) 2004-09-07 2007-05-15 Novellus Systems, Inc. Process modulation to prevent structure erosion during gap fill
US7176039B1 (en) 2004-09-21 2007-02-13 Novellus Systems, Inc. Dynamic modification of gap fill process characteristics
US7381451B1 (en) 2004-11-17 2008-06-03 Novellus Systems, Inc. Strain engineering—HDP thin film with tensile stress for FEOL and other applications
US7632375B2 (en) * 2004-12-30 2009-12-15 Lam Research Corporation Electrically enhancing the confinement of plasma
US7211525B1 (en) 2005-03-16 2007-05-01 Novellus Systems, Inc. Hydrogen treatment enhanced gap fill
US20070029193A1 (en) * 2005-08-03 2007-02-08 Tokyo Electron Limited Segmented biased peripheral electrode in plasma processing method and apparatus
US7524750B2 (en) 2006-04-17 2009-04-28 Applied Materials, Inc. Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD
US20070281106A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US7482245B1 (en) 2006-06-20 2009-01-27 Novellus Systems, Inc. Stress profile modulation in STI gap fill
US9362439B2 (en) 2008-05-07 2016-06-07 Silicon Genesis Corporation Layer transfer of films utilizing controlled shear region
US8293619B2 (en) 2008-08-28 2012-10-23 Silicon Genesis Corporation Layer transfer of films utilizing controlled propagation
US7811900B2 (en) 2006-09-08 2010-10-12 Silicon Genesis Corporation Method and structure for fabricating solar cells using a thick layer transfer process
US8993410B2 (en) 2006-09-08 2015-03-31 Silicon Genesis Corporation Substrate cleaving under controlled stress conditions
US7939422B2 (en) * 2006-12-07 2011-05-10 Applied Materials, Inc. Methods of thin film process
US7758718B1 (en) * 2006-12-29 2010-07-20 Lam Research Corporation Reduced electric field arrangement for managing plasma confinement
JP2008187062A (ja) * 2007-01-31 2008-08-14 Hitachi High-Technologies Corp プラズマ処理装置
US7964040B2 (en) * 2007-11-08 2011-06-21 Applied Materials, Inc. Multi-port pumping system for substrate processing chambers
US20090120368A1 (en) * 2007-11-08 2009-05-14 Applied Materials, Inc. Rotating temperature controlled substrate pedestal for film uniformity
US8343592B2 (en) * 2007-12-25 2013-01-01 Applied Materials, Inc. Asymmetrical RF drive for electrode of plasma chamber
CN101933402B (zh) * 2008-01-31 2013-03-27 应用材料公司 用于等离子体腔室的电极的多相射频电源
US8192806B1 (en) * 2008-02-19 2012-06-05 Novellus Systems, Inc. Plasma particle extraction process for PECVD
US20090277587A1 (en) * 2008-05-09 2009-11-12 Applied Materials, Inc. Flowable dielectric equipment and processes
US8133797B2 (en) * 2008-05-16 2012-03-13 Novellus Systems, Inc. Protective layer to enable damage free gap fill
US8330126B2 (en) 2008-08-25 2012-12-11 Silicon Genesis Corporation Race track configuration and method for wafering silicon solar substrates
US20100098875A1 (en) * 2008-10-17 2010-04-22 Andreas Fischer Pre-coating and wafer-less auto-cleaning system and method
US8869741B2 (en) * 2008-12-19 2014-10-28 Lam Research Corporation Methods and apparatus for dual confinement and ultra-high pressure in an adjustable gap plasma chamber
EP2251455B1 (en) 2009-05-13 2017-09-06 SiO2 Medical Products, Inc. PECVD coating using an organosilicon precursor
CN102365906B (zh) * 2009-02-13 2016-02-03 应用材料公司 用于等离子体腔室电极的rf总线与rf回流总线
US8312839B2 (en) * 2009-03-24 2012-11-20 Applied Materials, Inc. Mixing frequency at multiple feeding points
WO2013170052A1 (en) 2012-05-09 2013-11-14 Sio2 Medical Products, Inc. Saccharide protective coating for pharmaceutical package
US8329557B2 (en) 2009-05-13 2012-12-11 Silicon Genesis Corporation Techniques for forming thin films by implantation with reduced channeling
US9458536B2 (en) 2009-07-02 2016-10-04 Sio2 Medical Products, Inc. PECVD coating methods for capped syringes, cartridges and other articles
CN202888133U (zh) * 2009-09-29 2013-04-17 应用材料公司 用于将射频功率耦合到等离子体腔室的装置
JP5397215B2 (ja) * 2009-12-25 2014-01-22 ソニー株式会社 半導体製造装置、半導体装置の製造方法、シミュレーション装置及びシミュレーションプログラム
TWI551718B (zh) * 2010-04-30 2016-10-01 應用材料股份有限公司 豎立直線cvd系統
US11624115B2 (en) 2010-05-12 2023-04-11 Sio2 Medical Products, Inc. Syringe with PECVD lubrication
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US9878101B2 (en) 2010-11-12 2018-01-30 Sio2 Medical Products, Inc. Cyclic olefin polymer vessels and vessel coating methods
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US20120180954A1 (en) 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
KR101893471B1 (ko) 2011-02-15 2018-08-30 어플라이드 머티어리얼스, 인코포레이티드 멀티존 플라즈마 생성을 위한 방법 및 장치
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9272095B2 (en) 2011-04-01 2016-03-01 Sio2 Medical Products, Inc. Vessels, contact surfaces, and coating and inspection apparatus and methods
US8497211B2 (en) 2011-06-24 2013-07-30 Applied Materials, Inc. Integrated process modulation for PSG gapfill
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
WO2013071138A1 (en) 2011-11-11 2013-05-16 Sio2 Medical Products, Inc. PASSIVATION, pH PROTECTIVE OR LUBRICITY COATING FOR PHARMACEUTICAL PACKAGE, COATING PROCESS AND APPARATUS
US11116695B2 (en) 2011-11-11 2021-09-14 Sio2 Medical Products, Inc. Blood sample collection tube
US8808561B2 (en) 2011-11-15 2014-08-19 Lam Research Coporation Inert-dominant pulsing in plasma processing systems
US8883028B2 (en) 2011-12-28 2014-11-11 Lam Research Corporation Mixed mode pulsing etching in plasma processing systems
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) * 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9664626B2 (en) 2012-11-01 2017-05-30 Sio2 Medical Products, Inc. Coating inspection method
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
WO2014078666A1 (en) 2012-11-16 2014-05-22 Sio2 Medical Products, Inc. Method and apparatus for detecting rapid barrier coating integrity characteristics
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9764093B2 (en) 2012-11-30 2017-09-19 Sio2 Medical Products, Inc. Controlling the uniformity of PECVD deposition
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
BR112015012470B1 (pt) 2012-11-30 2022-08-02 Sio2 Medical Products, Inc Método de produção de um tambor médico para um cartucho ou seringa médica
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
EP2961858B1 (en) 2013-03-01 2022-09-07 Si02 Medical Products, Inc. Coated syringe.
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US9937099B2 (en) 2013-03-11 2018-04-10 Sio2 Medical Products, Inc. Trilayer coated pharmaceutical packaging with low oxygen transmission rate
CN105392916B (zh) 2013-03-11 2019-03-08 Sio2医药产品公司 涂布包装材料
EP2971227B1 (en) 2013-03-15 2017-11-15 Si02 Medical Products, Inc. Coating method.
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US11066745B2 (en) 2014-03-28 2021-07-20 Sio2 Medical Products, Inc. Antistatic coatings for plastic vessels
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
FR3035665A1 (fr) * 2015-04-28 2016-11-04 Yvon Sampeur Procede d’utilisation d’un dispositif pecvd pour la mise en oeuvre d’une phase de nettoyage par plasma et/ou d’une phase de depot par plasma, et dispositif epcvd correspondant
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US11077233B2 (en) 2015-08-18 2021-08-03 Sio2 Medical Products, Inc. Pharmaceutical and other packaging with low oxygen transmission rate
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US20210381107A1 (en) * 2020-06-03 2021-12-09 Micron Technology, Inc. Material deposition systems, and related methods and microelectronic devices

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4464223A (en) * 1983-10-03 1984-08-07 Tegal Corp. Plasma reactor apparatus and method
US4786352A (en) * 1986-09-12 1988-11-22 Benzing Technologies, Inc. Apparatus for in-situ chamber cleaning
US4960488A (en) * 1986-12-19 1990-10-02 Applied Materials, Inc. Reactor chamber self-cleaning process
JPH029115A (ja) * 1988-06-28 1990-01-12 Mitsubishi Electric Corp 半導体製造装置
US5084125A (en) * 1989-09-12 1992-01-28 Matsushita Electric Industrial Co., Ltd. Apparatus and method for producing semiconductor substrate

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005527941A (ja) * 2002-03-28 2005-09-15 サントル、ナショナール、ド、ラ、ルシェルシュ、シアンティフィク、(セーエヌエルエス) ある体積内にプラズマを閉じ込める装置
JP2007500783A (ja) * 2003-07-30 2007-01-18 ユナキス・バルツェルス・アクチェンゲゼルシャフト 真空プラズマ処理された加工物を製造する方法および加工物を真空プラズマ処理するためのシステム
CN113061859A (zh) * 2021-03-19 2021-07-02 成都齐兴真空镀膜技术有限公司 一种用于x射线管阳极靶的金属涂层及其制备方法
CN114063479A (zh) * 2021-11-12 2022-02-18 华科电子股份有限公司 应用于蚀刻机的多路输出模块的射频电源控制方法及系统
CN114063479B (zh) * 2021-11-12 2024-01-23 华科电子股份有限公司 应用于蚀刻机的多路输出模块的射频电源控制方法及系统

Also Published As

Publication number Publication date
EP0578010A1 (en) 1994-01-12
JP3555966B2 (ja) 2004-08-18
DE69320557T2 (de) 1999-04-01
DE69320557D1 (de) 1998-10-01
EP0578010B1 (en) 1998-08-26
US5252178A (en) 1993-10-12

Similar Documents

Publication Publication Date Title
JP3555966B2 (ja) 多ゾーン・プラズマ処理方法
JPH0684812A (ja) 多電極プラズマ処理装置
US6364995B1 (en) Dome-shaped inductive coupling wall having a plurality of radii for an inductively coupled plasma reactor
KR100201121B1 (ko) 플라즈마 반응로 및 플라즈마 처리방법
JP3701390B2 (ja) プラズマ強化化学処理反応装置
US6991701B2 (en) Plasma treatment method and apparatus
JP3141929B2 (ja) 基板処理装置及び化学蒸着装置洗浄方法
US20030129106A1 (en) Semiconductor processing using an efficiently coupled gas source
US20110114601A1 (en) Plasma source design
US20030155079A1 (en) Plasma processing system with dynamic gas distribution control
JP2011253821A (ja) ワークピース製造装置
WO2003096400A1 (fr) Equipement et dispositif de traitement de plasma
US7153387B1 (en) Plasma processing apparatus and method of plasma processing
TW201546934A (zh) 電漿處理裝置及成膜方法
JP2001517373A (ja) プラズマ処理チャンバの内面上への堆積物の堆積を制御する方法及び装置
JPH0773997A (ja) プラズマcvd装置と該装置を用いたcvd処理方法及び該装置内の洗浄方法
JP3181473B2 (ja) プラズマ処理装置
JPH06267903A (ja) プラズマ装置
JP3814176B2 (ja) プラズマ処理装置
TWI787239B (zh) 有機材料的蝕刻方法及設備
KR20020023141A (ko) 산화막 에칭 방법
JPH1140544A (ja) 反応性イオンエッチング装置
JP2003224114A (ja) プラズマ処理システム
KR20050049169A (ko) 유도 결합형 플라즈마 발생 장치와 그 유도전기장 발생을위한 안테나 코일 구조
KR100404723B1 (ko) 낮은 종횡비를 갖는 유도결합형 플라즈마 발생장치

Legal Events

Date Code Title Description
TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20040413

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20040511

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090521

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100521

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100521

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110521

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120521

Year of fee payment: 8

LAPS Cancellation because of no payment of annual fees