KR100201121B1 - 플라즈마 반응로 및 플라즈마 처리방법 - Google Patents

플라즈마 반응로 및 플라즈마 처리방법 Download PDF

Info

Publication number
KR100201121B1
KR100201121B1 KR1019940021174A KR19940021174A KR100201121B1 KR 100201121 B1 KR100201121 B1 KR 100201121B1 KR 1019940021174 A KR1019940021174 A KR 1019940021174A KR 19940021174 A KR19940021174 A KR 19940021174A KR 100201121 B1 KR100201121 B1 KR 100201121B1
Authority
KR
South Korea
Prior art keywords
wafer
plasma
vacuum chamber
plasma reactor
chamber
Prior art date
Application number
KR1019940021174A
Other languages
English (en)
Other versions
KR950007617A (ko
Inventor
케빈페어브라이언
노왁 로무알드
Original Assignee
조셉 제이. 스위니
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 조셉 제이. 스위니, 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 조셉 제이. 스위니
Publication of KR950007617A publication Critical patent/KR950007617A/ko
Application granted granted Critical
Publication of KR100201121B1 publication Critical patent/KR100201121B1/ko

Links

Classifications

    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/26Plasma torches
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Physical Vapour Deposition (AREA)
  • ing And Chemical Polishing (AREA)
  • Plasma Technology (AREA)

Abstract

본 발명은 웨이퍼를 처리하기 위한 진공 챔버, 챔버내로 반응가스를 도입하기 위한 하나이상의 가스 공급원, 및 유도 연결에 의하여 챔버내에서 플라즈마를 발생시키도록 챔버내로 알에프(RF) 에너지를 조사할 수 있는 안테나를 포함하는 알에프(RF) 유도 연결 플라즈마 반응로에 관한 것이다. 안테나는 2차원의 만곡된 표면에 놓인다. 본 발명의 다른 특징에 따르면, 본 발명은 웨이퍼 윗쪽의 챔버 부분으로 반응가스를 초음속으로 분무하기 위한 장치를 포함하는 플라즈마 반응로를 제공한다. 본 발명의 또 다른 특징에 따르면, 본 발명은 웨이퍼를 지향하는 다수의 분무노즐 개구부를 통해서 웨이퍼 윗쪽의 챔버 부분내로 반응가스를 분무하기 위한 편평한 분무 샤워헤드(showerhead), 다수의 노즐 개구부들중 인접한 개구부들 사이에서 편평한 분무노즐의 내부에 위치된 다수의 좌석을 포함하는 플라즈마 반응로를 제공한다. 다수의 자석은 분무노즐 개구부로부터 이온들을 방출하도록 배향되어 있다. 본 발명의 또 다른 특징에 따르면, 본 발명은 전원에 연결될 수 있고 웨이퍼 윗쪽에 도전성 돔형 전극을 포함하는 플라즈마 반응로에 관한 것이다. 본 발명의 또 다른 특징에 따르면, 본 발명은 돔형상의 안테나를 갖는 진공처리 챔버를 제공하는 단계와, 음전기를 띤 가스를 포함한 처리가스를 챔버내로 공급하는 단계와, 알에프(RF) 전기 신호를 안테나에 공명식으로 연결시키는 단계와, 그리고 안테나로부터 나온 전자기 에너지를 처리 챔버내에서 처리 가스로부터 형성된 플라즈마 내로 공명식으로 유도 연결시키는 단계를 포함하는 플라즈마 처리 방법에 관한 것이다.

Description

플라즈마 반응로 및 플라즈마 처리방법
제1a도는 비교적 큰 종횡비를 갖는 작은 극소전자공학적 특징부상에 재료를 증착시킬 때 발생되는 문제점을 개략적으로 보여주는 도면.
제1b도는 스퍼터 식각(etching) 속도에 대한 표면각도의 영향을 나타내는 선도.
제1c도는 화학증착법 및 스퍼티 식각법을 동시에 사용함으로써 얻어진 소정의 증착 패턴을 보여주는 제1a도와 유사한 도면.
제2도는 종래기술에 따른 화학증착 및 알에프(RF) 플라즈마 식각 장치의 개략도.
제3도는 제2도의 장치의 아르곤 및 산소특성에 있어서 물 중심으로부터의 거리가 이온 밀도에 끼치는 영향을 나타낸 선도.
제4도는 본 발명의 제1태양에 따른 샤워헤드(showerhead) 가스 분포장치의 횡단면도.
제5도는 본 발명의 제2태양에 따른 샤워헤드 가스분포장치의 횡단면도.
제6도는 본 발명의 제3태양에 따른 천장에 위치한 돔형의 알에프(RF) 안테나 및 링 가스분포장치의 횡단면도.
제7도는 제6도의 태양에서 패러데이 차폐체(Faraday shield)를 보여주기 위한 돔형 천장의 부분 사시도.
제8도는 본 발명의 제4태양에 따른 천장에 위치한 돔형의 알에프(RF) 안테나 및 다수의 노즐을 갖춘 가스분포장치의 횡단면도.
제9도는 제7도의 장치에서 바람직한 노즐형상을 보여주는 측단면도.
제10도는 본 발명의 제5태양에 따른 원통형 안테나, 편평한 천장, 및 다수의 노즐을 갖춘 가스분포장치의 횡단면도.
제11도는 본 발명의 제6태양에 따른 원통형 안테나, 다수의 노즐을 갖춘 가스분포장치, 및 돔형 도전성 천장의 횡단면도.
제12도는 본 발명의 제7태양에 따른 제6도 및 제11도의 태양의 조합체를 보여주는 횡단면도.
* 도면의 주요부분에 대한 부호의 설명
10 : 유전성 재료 12 : 채널
14a,14b : 금속 선 15 : 공극
16 : 모서리 20 : 진공 챔버
22,49,80 : 안테나 24,102 : 수직 측벽
22,44,84,116 : 천장 28,82 : 웨이퍼
46 : 웨이퍼 표면 48 : 샤워헤드
50 : 저부 벽 51,58,104 : 분무 개구
52 : 측벽 56 : 중간 벽
60 : 분무 챔버 64,96,100 : 매니홀드
66,68 : 가스 유입구 72 : 자석
73 : 절연재 80a : 원통형 부분
80b : 만곡된 부분 90,92,118 : 알에프(RF)원
106 : 가스 공급노즐 112 : 확산 중심
본 발명은 알에프(RF) 플라즈마 화학증착 및 스퍼티 식각 공정을 수행하기 위한 반응로에 관한 것이며, 특히 이들 공정을 동시에 수행하는 반응로에 관한 것이다.
종횡비가 크고(즉, 채널 깊이 대 채널 폭의 비가, 예컨대 2 이상인), 크기가 작은(0.5㎛ 이하의) 집적회로 구조물상에 얇은 실리콘 이산화물 필름을 화학 증착공정에 의해서 형성시키는 것은 금속선 사이에 공극을 형성시키지 않고는 거의 불가능하다. 제1a도에 도시된 바와같이 2개의 금속선(14a, 14b)으로 분리된, 폭이 매우 좁은 채널(12)을 갖는(즉, 종횡비가 2보다 큰) 장치상에서 유전성 재료(10)를 증착시키는 공정에서, 이러한 유전성 재료(10)의 극히 일부가 채널(12)의 저부에 도달하며 남겨져서 공극(15)이 형성된다. 이는, 금속선(14)의 모서리(16)가 금속선(14)의 수직벽을 따르는 그 밖의 다른 부분들에서 보다 유전성 재료(10)가 빠르게 증착되어서, 이러한 증착공정중에 채널(12)의 저부가 적어도 거의 밀봉되기 때문이다. 이와 같은 문제를 해결하는 방법은 모서리로부터 유전성 재료(10)를 식각하는 동시에 알에프(RF) 스퍼터 식각 공정(표면에 수직하게 충돌하는 이온을 사용하여)을 수행함으로써 채널(12)이 오그라드는 것(pinching off)을 방지하는 것이다. 이와 같은 공정은 최근에 사용되고 있는 연속적인 증착 및 스퍼터링 방법이 0.5㎛ 미만의 공간에서 실패하는 것과는 달리 종횡비가 2보다 큰 공간에서도 사용될 수가 있다.
제1b도에 도시된 선도에서, 알에프(RF) 스퍼터 식각공정은 유입되는 이온에 대해서 45°의 각도로 배치된 표면에서 최대 식각속도를 갖는다. 이와 같이 이온을 웨이퍼 표면에 대하여 수직 방향으로 충돌하도록 배향시킴으로써, 스퍼터 식각공정은 동시에 수행되는 증착공정에 의하여 형성되는 경사진 표면(예를들면, 모서리(16) 위에 형성되는 유전성 표면)을 급속하게 식각하는 동시에 다른 표면들(즉, 수직면 및 수평면)은 훨씬 더 느리게 식각하며, 이에 따라서 제1a도에 도시된 바와 같은 공극(15)의 형성 및 채널(12)의 막힘을 방지할 수가 있다. 따라서, 제1c도에 도시된 바와 같이 측벽 및 모서리(16)에 대하여 채널(12)의 저부 및 금속선(14)의 상부에 우선적으로 유전성 재료를 증착시킬 수 있다.
이와 같은 공정을 수행하기 위해서는 모서리(16) 부근의 알에프(RF) 플라즈마 스퍼터 식각속도가 증착속도에 달해야 한다. 반도체 소자에 대한 전기적 손상이 없이 높은 스퍼터링 속도(제품 전체에 대한)를 제공하기 위해서는 높은 플라즈마 밀도가 요구된다. 전체 웨이퍼(예를들어, 8인치(20.32mm) 직경의 실리콘 웨이퍼)에 걸쳐 상기 스퍼터 식각속도를 제공하기 위해서는 플라즈마 이온의 밀도가 전체 웨이퍼에 걸쳐 충분히 크고 균일해야만 한다. 이러한 플라즈마 이온 밀도의 균일성은 대체로 아르곤 이온으로만 구성된 플라즈마를 사용함으로써 용이하게 얻어질 수가 있다. 그러나, 여기서 요구되는 스퍼터 식각 공정은 아르곤이 아닌 다른 종류를 필요로 하는 화학증착 공정에 대한 부수적인 공정이라는 점이다. 특히, 유전성재료(10)가 SiO2인 실란(SiH4)을 사용하는 화학증착공정에서는 산소가 충분한 양으로 존재하여서 플라즈마내에서 이온화되어야 한다. 산소이온은 비교적 짧은 수명을 가지며 팍칭(quenching)에 매우 민감하다. 산소 이온을 웨이퍼 표면, 특히 최근에 사용되고 있는 직경 8인치(20.32mm)의 웨이퍼 표면에 걸쳐 조밀하게 매우 균일하게 분포시킨다는 것은 매우 어렵다.
플라즈마는 전자 싸이클로트론 공명(ECR)에 의해서 발생될 수 있지만, ECR장치는 그 형상의 복잡성, 크기, 및 비용으로 인하여 상용하기에는 어느 정도 제한이 있다. 더욱이, 플라즈마가 물과 멀리 떨어져서 발생되기 때문에 ECR 반응로를 8인치 직경의 웨이퍼를 수용할 수 있도록 제조하기는 어려우며 동시에 복잡한 자장을 사용해야만 한다는 문제점이 있다.
전자기 알에프(RF) 커플링 및 공정을 이용한 플라즈마 반응로(Plasma Reactor Using Electromagnetic RF Coupling and Processes)란 명칭으로 콜린스(Collins)등이 1992년 9월 8일자로 출원한 미합중국 특허출원 제 07/941,507호(본 특허출원의 양수인에게 양도됨)에는, 화학증착 시스템에서 고속의 스퍼터식각에 유도연결된 플라즈마를 사용하는 방법이 개시되었고, 그 개시내용은 발명의 명세서에 참조로 인용되어 있다. 이와 같은 방법은 유럽 특허공개 제 0,520,519호에서 제일 먼저 개시된 것이다. 여기에 개시된 바에 따르면, 용량식으로 연결된 플라즈마에 비해서 유도연결된 플라즈마가 갖는 잇점들 중에서도, 높은 플라즈마 밀도가 존재할 경우에도 웨이퍼상의 매우 작은 바이어스(bias) 전압에 의해 유도연결된 플라즈마가 발생된다는 하나의 잇점을 고려할 수 있다. 상기 특허출원에 개시된 실리콘 산화물 증착에 있어서, 통상적으로 이온화되지 않는 실란이 실리콘을 제공하며, 가스상태의 산소종이 화학증착에 의해 실리콘 이산화물을 형성하는데 필요한 산소를 제공한다. 웨이퍼에 인접한 외장(sheath)에 걸쳐 가속화되는 아르곤 이온이 스퍼터링 식각에 사용된다.
제2도는 앞서 언급된 바와 같이 유도 연결된 플라즈마를 발생시키기 위한 화학증착 진공챔버(20) 및 알에프(RF) 안테나(22)를 나타낸 것으로서, 특정 챔버 및 모자모양의 상부만이 도시되어 있다. 알에프(RF) 안테나(22)는 진공챔버(20)의 원통형 수직 측벽(24) 둘레에 솔레노이드로서 감겨져 있는 코일 형태의 전도체이다. 코일 안테나에 인접한 소오스(sourse) 챔버의 벽은 절연체이며, 천장(26) 및 처리 챔버 벽이 바람직하게는 접지되어 있다(편평한 천장(26)은 접지전극으로서 작용함).
이러한 구성에서, 원통형 코일이 유도방위의 전기장을 통해서 코일 안테나내의 알에프(RF) 에너지를 플라즈마 소오스 영역안으로 비공명식으로 연결시킨다. 자유공간내에서도, 전기장은 챔버의 중심에서 0으로 떨어지지 않는다. 플라즈마가 존재하면, 전기장이 챔버벽으로부터 훨씬 더 빠르게 감소된다. 전기장은 플라즈마내의 전자를 가속화시켜서, 원자를 이온화시키거나 분자를 원자 혹은 라디칼 상태로 분리시킨다. 이러한 커플링은 플라즈마 공명과 동조되지 않기 때문에 주파수, 압력, 및 국부적인 기하학적 형상에 훨씬 덜 좌우된다. 플라즈마가 소오스 영역은 웨이퍼로부터 이격된 상태로 설계되며, 소오스 지역에서 발생된 이온 또는 라디칼은 웨이퍼로 확산된다.
이러한 챔버는 주로 비교적 저압에서 챔버를 식각하기 위한 것으로서, 전자들이 약 몇 cm정도의 평균 자유경로를 갖는다. 따라서, 전자가 주로 챔버벽 근처에서 발생된다고 할지라도 전자는 중심으로 확산되어서 소오스 영역의 상당한 직경을 가로질러 플라즈마를 균일화시키는 경향이 있다고 생각된다. 그 결과, 이온 및 원자 또는 라디칼이 웨이퍼로 확산되어서 웨이퍼에 걸쳐 비교적 균일해지는 경향이 있다.
이와 같은 종래 기술에 있어서, 하나의 문제점은 화학증착 및 스퍼터 식각, 특히 산소를 포함하는 공정에서 발생된다고 생각한다. 화학증착의 경우, 챔버의 압력이 다소 높아서 전자의 평균 자유경로를 감소시키고, 그 결과 플라즈마의 바깥쪽 환형부에서 발생하는 피이크 밀도를 갖는 불균일한 플라즈마 밀도가 형성되는 경향이 있다. 더욱이, 산소 이온이나 라디칼은 많은 재결합 경로를 가지므로 그 확산 길이는 비교적 제한된다. 따라서, 웨이퍼의 중심이 웨이퍼의 가장자리보다 플라즈마 소오스 지역으로부터 떨어지게 되고, 제3도의 실선 곡선으로 도시된 이온밀도와 같이 웨이퍼(28)의 중심부근에서 산소 이온 및 라디칼의 밀도가 더 떨어진다. 웨이퍼의 중심 부근에서의 산소 이온의 부족은 화학증착 속도에 비해서 스퍼터 식각속도를 감소시켜, 웨이퍼 중심 부근의 공간 혹은 채널(예를들면, 제1a도의 채널(12)등와 같이) 내에서 제1a도에서 도시된 바와 같은 공극(15)이 형성되며, 웨이퍼 주변부 부근의 공간은 스퍼터링 속도와 증착 속도 사이에 소정의 비를 갖는다.
이에 대한 하나의 해결방법은 천장(26)의 높이를 올려서 안테나(22)의 축방향높이를 웨이퍼의 윗쪽으로 증대시키는 것이다(아르곤의 경우, 이와 같이 높이가 증가된 소오스에 대한 이온분포는 제3도의 점선을 따라서 실제로 균일하게 된다). 그러나, 이와 같은 높이의 증대는 큰 체적의 증가로 인하여 시스템의 세척이 보다 어려워진다는 점에서 실용적인 것이 아니다. 다른 해결책으로는, 웨이퍼로부터 상부 전극까지의 거리에 따라 산소 이온 밀도가 꽤 균일하고 이온 분포가 문제를 심화시키지 않는, 비교적 저압(약 1밀리토르)에서 소오스 영역을 작동시키는 것이다. 그러나, 이와 같은 진공을 유지시키기 위해서는 사용불가능하게 용량이 큰 펌프가 필요하며, 따라서 1 내지 30밀리토르의 비교적 낮은 진공(고압)이 상용화에 필요하다.
이들 문제에 대해서 오글(Ogle)의 미합중국 특허 제 4,948,458호에서는, 편평한 유전챔버의 상부에 설치된 편평한 나선형 코일 안테나를 사용한 해결책이 제시되었다. 이는, 소위 '팬케익코일'이라 불리운다. 여기서는, 챔버의 상부 근처에 균일한 플라즈마 소오스 지역을 형성하여서 균일한 이온 및 라디칼을 웨이퍼로 확산시키는 방식을 채택하고 있다.
그러나, 이와 같은 소위 팬케익 코일은 여러 가지 문제점이 있다. 팬케익코일의 편평한 형상으로부터 챔버안으로 연결되는 알에프(RF) 전원의 대부분이 용량성 커플링임을 알 수 있다. 즉, 안테나를 통과하는 전류에 의해 유도된 전기장(유도 커플링의 경우) 보다는 안테나 구조물 내부의 충전에 의해서 만들어진 전기장을 사용한다. 용량식 커플링은 일반적으로 매우 높은 전기장을 발생시키며, 이는 반도체 반응로에 해로운 높은 에너지의 전자를 발생시킨다. 이에 비해서, 콜린스(Collins) 등의 상기 미합중국 특허출원에 개시된 유도성 커플링은 더 낮은 전기장 및 더 낮은 전자에너지를 발생시킨다.
따라서, 분당 1000Å 정도의 균일한 산소 스퍼터 또는 식각속도를 유지시키기 위해서는 직경이 큰(8인치 정도) 웨이퍼에 걸쳐 1 내지 30 밀리토르의 고밀도로 유도연결된 플라즈마내에 산소 이온을 균일하게 분포시켜야 할 필요가 있다.
다른 하나의 문제는, 진공챔버(20)의 측면에 제공된 가스 유출구(30)로부터 방출된 실란이 웨이퍼(28)에 정확하게 확산되지 않고 모든 방향으로 동일하게 확산된다는 점이다. 실란 및 산소가스가 동시에 함께 반응하기 때문에, 그리고 챔버벽의 대부분의 웨이퍼(28) 보다는 (특히, 직경이 큰 웨이퍼에 대해서) 가스 유출구(30)에 더 근접해 있기 때문에, 진공챔버(20)의 모든 내면상에 증착되는 SiO2의 양이 웨이퍼(28)상에 증착되는 SiO2의 양보다 많다. 이는, 반응로가 주기적으로 제조과정을 중단해야만 하고 SiO2피막을 내면으로부터 제거시켜야만 한다는 것을 의미하며, 중대한 결점으로 고려되어야 한다.
따라서, 챔버의 내면상에 화학증착의 잔류물(예를들면 SiO2)을 덜 증착시키는 반응로를 제공하여서 세척의 빈도를 줄일 필요가 있다.
본 발명의 제1양상에 따르면, 웨이퍼 처리용 진공챔버와, 챔버안으로 반응가스를 유입시키기 위한 하나 이상의 가스 소오스와, 그리고 유도 커플링에 의해서 챔버내에 플라즈마를 발생시키도록 챔버안으로 알에프(RF) 에너지를 조사시킬 수 있는 안테나를 포함하고 있는 알에프(RF) 유도연결된 플라즈마 반응로가 제공되는테, 안테나는 2차원의 곡면내에 놓여진다.
본 발명의 다른 양상에 따르면, 웨이퍼 처리용 진공 챔버와, 웨이퍼 위에 놓여진 챔버의 일부에서 플라즈마를 발생시키도록 챔버 안으로 알에프(RF) 에너지를 조사시킬 수 있는 안테나와, 그리고 웨이퍼 위에 놓여진 챔버의 부분으로 초음 속의 반응가스를 분무시키는 장치를 포함하고 있는 플라즈마 반응로가 제공된다.
본 발명의 또 하나의 태양에 따르면, 웨이퍼 처리용 진공챔버와, 웨이퍼 위에 놓여진 챔버의 부분에 플라즈마를 발생시키도록 챔버 안으로 알에프(RF) 에너지를 조사시킬 수 있는 안테나와, 그리고 웨이퍼 쪽으로 연장하여, 진공 격벽에 열적으로 연결된 다수의 길다란 분무 노즐을 포함하고 있는 플라즈마 반응로가 제공되는데, 각각의 노즐의 단부에는 웨이퍼의 가장자리 위로 거의 대부분이 놓여져 있는 가스 분배 유입 오리피스가 설치되어 있다.
본 발명의 또 다른 양상에 따르면, 웨이퍼 처리용 진공챔버와, 웨이퍼 위에 놓여진 챔버의 부분에 플라즈마를 발생시키도록 챔버 안으로 알에프(RF) 에너지를 조사시킬 수 있는 안테나와, 그리고 웨이퍼 위에 놓여진 챔버의 부분 안으로 반응가스를 분무하도록 챔버의 내부에 설치된 밀폐튜브를 포함하고 있는 플라즈마 반응로가 제공되는데, 밀폐튜브는 웨이퍼에 대해서 대칭으로 배열되어 있고, 밀폐튜브는 웨이퍼의 가장자리 형상에 이어져서 대부분의 웨이퍼 위에 있지 않으며, 밀폐튜브에는 웨이퍼 위에 놓여진 진공챔버의 내부와 마주하는 다수의 분무 개구가 제공되어 있다.
본 발명의 또 다른 양상에 따르면, 웨이퍼 처리용 진공챔버와, 웨이퍼 위에 놓여진 챔버의 부분에 플라즈마를 발생시키도록 챔버 안으로 알에프(RF) 에너지를 조사시킬 수 있는 안테나와, 그리고 웨이퍼 위에 놓여진 챔버의 부분안으로 반응가스를 분무하는 편평한 분무 샤워헤드를 포함하고 있는 플라즈마 반응로가 제공되는데, 편평한 분무 샤워헤드는 웨이퍼와 평행하게 웨이퍼와 적어도 거의 동시연장되며, 웨이퍼와 마주하는 다수의 분무 노즐 개구부 및 이러한 노즐 개구부들중 인접한 개구부 사이의 편평한 분무 노즐의 내부에 위치된 다수의 자석이 제공되며, 다수의 자석은 분무 노즐 개구로부터 이온을 반발시키도록 배향되어 있다.
본 발명의 또 다른 양상에 따르면, 웨이퍼 처리용 진공챔버와, 플라즈마를 발생시키도록 챔버안으로 알에프(RF) 에너지를 조사시킬 수 있는 안테나와, 그리고 웨이퍼의 위에 놓여진 돔형의 도전성 전극을 포함한 플라즈마 반응로가 제공되는데, 돔형의 도전성 전극은 전위에 연결될 수가 있다.
본 발명의 또 다른 양상에 따르면, 처리되는 가공물을 고정시키도록 한쪽 측면에 돔형의 안테나를 갖추고 있는 진공처리챔버를 제공하는 단계와, 처리 챔버 안으로 음전성의 가스를 포함한 처리가스를 공급하는 단계와, 알에프(RF) 전기 신호를 안테나에 공명식으로 연결시키는 단계와, 그리고 가공물이 플라즈마에 의해서 처리되도록 안테나로부터 처리가스에 의해서 처리 챔버내에 형성된 플라즈마 안으로 전자기 에너지를 유도식으로 연결시키는 단계를 포함하고 있고 플라즈마 처리방법이 제공된다.
이하, 첨부된 도면들을 참조하여 본 발명의 바람직한 실시예를 상세히 설명한다.
먼저, 제4도를 참조하면, 개선된 유도식 연결 플라즈마 화학증착(CVD) 반응로의 제1태양은 진공 챔버의 천장(44)에 형성된 개구부에 배치되고 전체 웨이퍼 직경에 거의 걸쳐 평행하게 뻗어있는 샤워헤드(48)에 의해서, 웨이퍼 표면(46) 바로 위로 웨이퍼 표면에 근접하여(웨이퍼 표면의 약 2인치 또는 5cm이내) 실란 가스를 방출시킴으로써, 실란 가스를 웨이퍼(40) 상에 집중시키고 내부 진공 챔버벽(42),(43) 및 천장(44)상에는 이 보다 적게 실란가스를 집중시킨다. 도시된 태양에서, 챔버벽(42)은 유전성 재료로 이루어져 있고, 챔버벽(43) 및 천장(44)은 도전성 재료로 이루어져 있다. 제2도에 도시된 장치에서, 챔버벽(43) 및 천장(44)은 전기적으로 접지되어 있다. 샤워헤드(48) 위로부터 웨이퍼(46) 아래로 뻗어있는 코일형 알에프(RF) 안테나(49)는 절연체벽(42)을 통한 유도 연결에 의하여, 챔버내에서 플라즈마를 발생시킨다.
샤워헤드(48)는 웨이퍼(40)에 평행한 원형의 편평한 저부벽(50)으로 구성되며, 저부벽을 관통하여 형성된 다수의 좁다란 수직 분무 개구(51)를 갖추고 있다. 샤워헤드(48)는 원통형 측벽(52)와 함께 일체로서 형성된다. 원통형 벽은 챔버의 천장(44) 상부에 놓이는 원형 쇼울더(54)를 갖는다. 원형의 중간벽(56)은 좁다란 수직분무 개구(58)들을 갖추고 있는데, 이 개구들은 저부벽(50)의 분무 개구(51)들과 측방향으로 조금씩 차이지게 배열된다. 분무챔버(60)은 원형저부, 중간벽 및 원통형측벽(52) 사이에 형성된다. 노즐의 편평한 원형 상부벽(62)은 중간벽(56) 및 측벽(52)와 함께 매니홀드(64)를 한정한다. 한쌍의 외부 가스 유입구(66) 및 (68)은 상부벽(62)으로부터 매니홀드(64)내로 연장된 혼합 매니홀드(70)에 연결된다. 이산화규소 화학증착(CVD)에 있어서, 실란과 산소가 분리된 관들을 통해서 챔버내로 공급된다. 저부 노즐벽(50)의 표면으로부터 멀어지는 플라즈마를 제한하기 위해서, 분리된 자석(72)이 저부 노즐벽(50)의 전체 상부 표면에 걸쳐서 분포한다(공지된 플라즈마 제한 기술을 기초로함). 자석들의 자기장은 제4도에 도시된 자기장(72a)에 대응한다.
절연재(73)는 천장(44)상에서 챔버의 상부면에 위치하고, 노즐의 원통형 측벽(52)에 위치하게 된다.
웨이퍼(40)는 노즐의 바닥벽(50)으로부터 약 5cm(2인치) 이격되어 알에프(RF) 전극(74)(위에서 언급한 바있는 통상적으로 양도된 특허원에 개시된 유형)에 의해서 지지된다. 이러한 특징은 웨이퍼(40)쪽으로 유입가스를 분배할 수 있게 함으로써, 화학증착 반응로에 우수한 성능을 부여한다.
제4도에 반응로에 의해서 수행된 알에프(RF) 스퍼터링은 웨이퍼(40)의 중심에 걸쳐 적은 플라즈마를 남기면서, 주위의 환형영역(76)에 플라즈마를 집중시키는 경향이 있다. 이것은 웨이퍼의 중심에서 알에프(RF) 스퍼터 식각 속도를 감소시킨다. 그러므로, 제4도에 도시된 반응로는 유리하게 화학증착(CVD)을 수행하지만 식각 및 증착 속도가 경쟁하는 동시 CVD-스퍼터 식각 공정을 수행하는데 유용하지 않고, 산소함유 화합물을 사용한 스퍼터 식각 공정에도 유용하지 않다. 그러나, 이 태양은 웨이퍼 표면에 걸쳐 플라즈마(이온) 밀도의 균일성에 약간의 손상을 허용하는 유도 연결되거나 또는 유도적으로 향상된 화학증착 공정에 유용하다.
주위의 환형영역(76)에 플라즈마가 집중하는 문제점을 해결하기 위한 한가지 기술은 제5도에 도시된 바와 같이 천장(44)을 약10cm(4인치) 이상 상승시키는 것이다. 이것은 플라즈마 분포의 균일성을 개선시키는 반면에, 챔버 용적이 증가하고, 웨이퍼 까지의 확산거리가 증가하여, 적은 용적의 챔버가 갖는 장점이 없다. 그러므로, 이러한 해결방법에 의해서 얻어지는 것은 더 이상 없다고 여겨진다.
고진공(1 내지 30 밀리토르)에서의 산소 이온의 불균일한 분포의 외견상 처리하기 어려운 문제점은 제6도의 태양에서 해결된다. 이 문제점을 해결하기 위한 방법은 웨이퍼의 모든 부분이 코일과 챔버벽에 인접한 전체 플라즈마 소오스 영역으로부터 더 고르게 이격되는 방식으로, 웨이퍼 위로 코일형 알에프(RF) 안테나를 배치하는 것이다. 그 결과, 이온형태와 원자형태 산소의 플럭스(flux)는 모서리(16)(제1a도)의 식각속도가 웨이퍼에 걸쳐 균일하도록 웨이퍼에 걸쳐 더 균일해진다. 제6도에 도시된 바와 같이, 이것은 코일형 안테나(80)를 웨이퍼(82)를 중심으로 돔형으로 배열하고 진공 챔버의 천장(84)이 안테나(80)를 지지할 수 있도록 돔형으로 구성함으로써 달성된다. 앞서 언급한 바와 같이, 안테나(80)는 코일형 전도체이다.
제6도의 코일형 안테나(80)와 돔형의 천장(84)을 구성함으로써 얻어지는 장점은 이온이 가장 집중하는 천장(84) 근처의 영역이 웨이퍼(82) 표면의 일부에 걸쳐 연장됨으로써 웨이퍼 중심에 이르는 통로 길이가 감소되고 웨이퍼 중심으로 산소이온 밀도가 증가한다는 것이다. 제2도에 도시된 장치와 비교하자면, 최대의 이온 집중 지역은 어느 정도까지는 전체적으로 수직이므로, 웨이퍼의 임의 부분위에 놓이지 않고, 단지 웨이퍼 가장자리 가까이에 놓인다.
유도연결을 촉진하기 위해서, 돔형의 코일형 안테나(80)는 직선형의 수직 원통형 부분(80a)을 포함한다. 원통형 부분(80a)은 제2도에 도시한 간단한 수직 솔레노이드(solenoid) 안테나 코일(22)에 대응하며, 유도 연결된 플라즈마와 연관되어 있는 제2도의 자기장(25)와 유사한 폐쇄 자기장을 제공한다. 돔형의 코일형 안테나(8)의 만곡된 부분(80b)은 위에서 언급한 특성에 따라서, 최대 이온 밀도 지역을 웨이퍼 중심쪽으로 이동시킨다. 바람직하게는, 만곡된 부분(80b)은 원통형 부분(80a)의 직경보다 20% 정도 큰 축방향 길이를 갖는다. 예를들면, 바람직한 태양에서, 코일형 안테나(80)의 전체적으로 수직인 구간은 약 9cm에 달하는데, 이것은 코일의 직경 또는 원통형 부분(80a)의 직경보다 20% 크다.
안테나(80)의 수평 돌출부는 중앙 곡선을 갖는 나사선이다. 중앙 공극은 약35cm(14인치) 보다 약간 작은 전체 직경을 갖는 챔버에서 200mm(8인치) 웨이퍼의 경우 50mm 내지 200mm(2 내지 8인치)의 직경(d)를 갖는다. 즉, 공극은 웨이퍼 직경의 25 내지 100%가 바람직하다. 이러한 공극은 자기장이 공극을 통해서 집중될 수 있게 하고, 용량성 연결을 억제하며, 플라즈마 내로의 알에프(RF) 에너지의 유도성을 연결을 증진시키는 것이 바람직하다. 이에 의해 유도 결합된 플라즈마의 낮은 전자 에너지와 높은 플라즈마 이온 밀도 특성이 유지된다.
코일형 안테나(80)의 두 부분(80a) 및 (80b)에 있어서 각 부분의 권선수는 감겨진 코일 사이의 간격, 돔 천장(84)의 형상(수직한 부분의 높이(Hv)를 포함하여) 및 공극 직경(d)에 의해서 결정된다. 바람직한 코일 간격은 0.63cm(1/4인치) 내지 0.94cm(3/8인치)이다. 또 다르게는, 코일 간격은 전도체 폭 이하의 정도일 수 있다.
앞에서 공극 직경(d)을 웨이퍼 직경의 25% 내지 100%로 한정하였다. 현재 사용되고 있는 돔 천장(84)의 매끄러운 볼록 형상은 37.5cm(15인치)의 주 돔반경(R1), 8.75cm(3.5인치)의 모서리 반경(R2) 및 35cm(14인치)의 외경을 갖는 석영 천장층(84a)으로서 510 제네랄 일렉트릭(General Electric) 융합 석영도가니, 제네랄 일렉트릭 부품 번호 제 14111F호의 돔형 플로어를 사용하도록 지정된다. 제네랄 일렉트릭 도가니의 길다란 수직 원통형 부분은, 약 0.63cm(1/4인치)의 짧아진 수직높이(Hv)를 남기면서, 대부분 제거된다. 웨이퍼 표면과 돔 천장(84)의 바닥(외부 가장자리)사이의 거리는 약 3.81cm(1.5인치)인 반면에, 웨이퍼 표면과 돔 천장의 상부(정점)사이의 거리는 약 12.25cm(4.9인치)이다. 바람직하게도, 웨이퍼 높이는 안테나(80)의 최하부 코일보다 낮다.
숙련된 기술자는 주어진 웨이퍼 크기와 돔 높이에 따라, 웨이퍼 표면에 걸쳐 알에프(RF) 에너지의 최적의 균일성에 맞추어 코일형 안테나(80)의 돔형상 또는 구형상을 조정하여, 본 발명을 다른 돔 형상으로 수행할 수 있다. 일반적으로, 돔 형상은 회전체의 쉘(shell) 형상으로서, 대기압에 의해서 가해진 기계적인 외력에 견딜 수 있는 돔의 능력을 극대화시킨다. 이러한 현상은 진공과 대기압 사이에 최대의 기계적 강도를 제공한다. 위에서 언급한 형상은 다른 반경을 갖는 다수의 구간을 갖추고 있는 특별한 경우이다. 이 구간들은 매끄럽게 결합되며, 이들 사이의 연결부와, 원통형 부분과의 연결부에 동등한 제1파생물을 갖는다. 곡률은 돔 상부로부터 실린더로 계속해서 증가할 수 있다. 다른 매끄러운 가변 형상들이 사용될 수 있다. 그러나, 나선 형상은 나선형상의 불량한 기계적인 강도와 변형된 전자기장이 원통형 부분과의 날카로운 연결부에 만들어지기 때문에 불리하다.
돔의 높이는 웨이퍼 직경보다 1/2배 내지 2배 정도 큰 것이 바람직하며, 웨이퍼의 직경과 같은 것이 보다 바람직하다. 숙련된 기술자는 웨이퍼 표면에 걸쳐서 균일한 알에프(RF) 에너지를 제공하기 위해서, 코일형 안테나(80)의 인접한 전도체 사이에 최적의 간격을 돔에 따른 높이와 위치의 함수로서 쉽게 결정할 수 있다. 위에서 참조한 바 있는 특허출원에 기재된 바와 같이, 안테나(80)의 전도체 길이는 플라즈마를 발생시키기 위해 채용된 알에프(RF) 신호 파장의 1/4이다. 바람직한 실시예에서, 안테나 전도체의 길이는 약2.1m(7피트) 내지 3.4m(11피트)이다. 콜린스(Collins) 등에 의해 1992년 11월 12일자로 출원되어 현 양수인에게 양도된 미합중국 특허출원 제07/975,355호에 알에프(RF) 발생 및 동조 회로를 안테나(80)에 연결하고, 바람직한 알에프(RF) 주파수에 따라서 안테나의 임피던스(impedance)를 조정하도록 사용할 수 있다.
제6도에 도시된 태양의 자세한 구성은 위에서 참조한 특허출원의 개시내용에 크게 부합한다. 바람직한 태양에서, 내부층(84a)은 챔버내의 고 플라즈마 온도에 견딜 수 있는 제네랄 일렉트릭 석영 도가니이다. 외부 냉각층(84a)(코일형 안테나(80)를 포함)은 알루미나와 같은 열도전성 유전재료로 구성된다. 물론, 다른 재료들, 특히 유전체는 대체될 수 있다.
용량성 결합을 억제하기 위해서, 접지된 패러데이 차폐체(85)(제7도에 도시된 계란형구성을 가짐)를 안테나(80)와 플라즈마 사이의 돔 층(84a, 84b) 사이에 위치시킨다. 널리 알려진 기술에 따르면, 패러데이 차폐체는 천장(84)과 안테나(80)의 돔 형상에 부합하며, 직경(d)의 공극을 포함한다. 차폐체(85)에서 각 도전성 필름의 아치형 스트립의 폭(W)은 약 1cm이고, 그 사이의 간격(S)은 약 0.1cm이다. 도면에 도시된 바와 같이, 스트립은 저부에서 링에 의해 결합되지만, 상부에서는 자유로운 상태로 제공된다. 웨이퍼(82)를 지지하는 알에프(RF) 바이어스 전극(74)은 알에프(RF)원(90)에 연결되며, 원뿔형 나선형 아테나(80)의 한 말단은 알에프(RF)원(92)에 연결된다. 챔버측면(102)는 접지된다. 시험된 태양에서, 비록 알에프(RF)원(90) 및 (92)는 1.8MHz와 2.0MHz의 주파수를 가지지만, 13.56MHz의 공업 표준 주파수가 채용될 것으로 예상된다. 수 KHz 내지 MHz범위의 다른 주파수도 사용될 수 있다. 그러나, 20MHz를 넘는 주파수는 결점을 유발하며, 400KHz이하가 되면 플라즈마가 충돌하기 어렵다. 알에프(RF)원(92)으로부터 안테나(80)에 인가된 알에프(RF) 전력은 1000와트 내지 3000와트의 범위가 바람직하다. 반면에, 알에프(RF)원(90)으로부터 바이어스 전극에 인가된 알에프(RF) 전력은 500 내지 2000와트 범위이다. 냉각 자켓(94)에 의해 냉각시킨다.
가스(실란)가 챔버벽에는 덜 집중되고 웨이퍼(80)상에만 보다 많이 집중되는 문제점은 제6도의 태양에 의해서 해결된다. 즉, 진공 챔버의 측벽(102)에서 가스매니홀드(100)에 연결된 유입관(98)으로부터 나오는 가스(예컨대, 실란)를 가스 링매니홀드(96)로 공급함으로써 해결된다. 웨이퍼(80)의 주변부를 둘러싸는 링은 웨이퍼(80) 위에 놓이지 않는다. 링 매니홀드(96)의 잇점은 챔버의 내부를 향하는 다수의 분무 개수(104)가 제공되어 있다는 것이다. 이 분무 개구들은 웨이퍼(80) 전체에 걸쳐서 플라즈마를 방해함이 없이, 웨이퍼(80) 바로 근처로 실란가스를 방출시킨다.
그러나, 링 매니홀드(96)는 적어도 웨이퍼의 주변부 근처에서 플라즈마에 해로운 영향을 끼치며, 가열되기 쉽다. 링 매니홀드(96)의 가열은 내부에서 유동하는 실란가스의 분해에 의해 링 매니홀드 내부에 형성되는 비정실 실리콘 때문에 링 매니홀드를 파손시키기 쉽다. 매니홀드(96)는 높은 알에프(RF) 전력 수준에서 500℃정도에 도달할 수 있다.
가열에 따른 문제점을 제8도의 바람직한 태양에서 해결된다. 즉, 4개 이상(8개 또는 12개 이상)의 가스 공급 노즐(106)을 구비하게 함으로써 해결된다. 가스 공급 노즐(106)은 원주상에 주기적으로 이격되어 방사상으로 안쪽을 향하며, 원통형 측벽(102)을 통해서 가스 매니홀드(100)에 각각 연결된다. 이것을 통해 얻어지는 잇점은 그 외부면이 냉각 환경을 향하고 있는 챔버벽(102)이, 노즐(106)에 대한 냉각용 방열기로서 작용하기 때문에, 실란이 분해되어 비정질 실리콘을 형성하기 쉬운 온도 미만으로 노즐(106)의 온도를 유지시킨다는 것이다. 제8도의 태양을 통해서 얻어지는 다른 잇점은 노즐이 제6도의 링 매니홀드(96)보다 훨씬 작은 단면적을 플라즈마에 제공한다는 것이다. 이에 따라, 플라즈마 밀도의 손실은 거의 없다. 상기 노즐(106)은 실란을 도입하는 반면에, 상기의 특허출원에 개시된 모든 가스 분해장치는 산소, 아르곤 또는 다른 가스들을 진공 챔버내로 도입하기에 충분하다.
플라즈마와 웨이퍼 사이의 임피던스를 최소화하기 위해서, 제6도의 링 매니홀드(96)와 같은 제8도의 노즐(106)은 웨이퍼(80)에 가깝지만 지나치지는 않게 연장된다.
웨이퍼(80) 쪽으로의 실란가스의 보다 바람직한 분배는 노즐(106)의 배출구를 통과하는 가스의 유동속도를 초음속으로 유지함으로써 달성된다. 이것은 매우 작은 노즐 오리피스(바람직하게는 약 10밀의 크기)를 사용하고, 주어진 노즐의 개수 및 주어진 가스 유동에 대한 노즐(106)의 내부와 외부사이에 큰 차압을 유지시킴으로써 달성된다. 앞서 설명한 바와 같이, 노즐 팁은 방사상 안쪽으로 급격하게 가늘어지는 내부 및 방사상 외부로 점차 가늘어지는 외부를 갖는다. 오리피스내의 사파이어 슬리이브는 막힘(clogging)을 방지한다.
상기의 차압은 노즐 오리피스에 대하여 챔버내에 유지되는 진공에 의하여 실현된다. 전형적으로, 모든 노즐을 통과하는 전체 유량은 초당 30 내지 120sccm(standard cubic centimeter)이다. 이와 같은 초음속 가스 유동(제9도에 도시된)은 웨이퍼 주변부 안의 마하(Mach) 디스크(10)에 의해서 특징지워지고, 바람직하게는 수 센터미터로 특징지워진다. 마하 디스크(110)은 가상의 경계이다. 이 경계 뒤에서 실란은 바로 노즐(106)을 향하여 역확산될 수 없다. 그 결과, 실란가스의 유효 확산 중심(112)은 노즐 팁에 위치한다기 보다 웨이퍼 주변부의 안쪽 수 센터미터(한 태양의 경우 약 3cm)에 위치하며, 이는 중요한 이점이다. 챔버내부에 제공된 진공 압력은 약 30 밀리토르 미만이다. 확산 중심(112)으로부터의 실란 분포는 웨이퍼 표면에 걸쳐 균일하게 이루어진다.
초음속 가스 유동은 가스 매니홀드(96)의 내부와 진공챔버의 내부사이에 충분한 차압을 유지시키는 동일한 단계에 의해서 제6도의 링 매니홀드(96)에서 달성될 수 있다. 가스와 초음속으로 유동함에 따라서, 링 매니홀드(96)는 열 유도성 플라즈마로부터 충분히 멀리 위치하도록 확대된다.
제9도는 바람직한 노즐(106)의 측단면도이다. 노즐 팁의 형상은 노즐상의 중착을 최소화시키는데 있어서 중요하다. 20.32cm(8인치) 웨이퍼 표면에 걸쳐 중착 속도 및 식각속도의 3% 균일도를 달성하기 위해서, 바람직하게는 주기적으로 이격된 노즐(106)을 8개보다 많이 제8도의 태양에 채용한다.
제6도 및 제8도의 태양의 플라즈마 반응로는 임의의 CVD 박육 필름의 증착을 수행하는데 유용하다. 상기한 노즐 가스 분배는 실란과 같은 고 반응성 화학물질 선구체 종류를 사용하는 필름의 증착에 특히 유용하다. 반응로는, 예컨대 다이아몬드와 같은 이산화규소가 아닌 필름의 경우 사용될 수 있다. 게다가, 높은 유전상수를 갖는 재료의 증착은 이들 태양에 의해서 잘 수행될 수 있다.
제10도에는 실란 노즐들이 제4도를 참조하여 기술한 바 있는 원통형 안테나 디자인과 어떻게 결합하는지가 도시되어 있다. 제2도, 제4도 및 제5도의 실시예에서와 같이, 금속천장(44)을 포함하는 제10도의 태양의 챔버벽은 전기적으로 접지되어 있다. 본 발명의 노즐은 알에프(RF) 및 직류 용량성 연결 반응로를 포함하는 플라즈마 반응로에 유리하게 사용될 수 있다.
제10도에 도시된 태양은 제10도의 편평한 도전성 천장(44)을 제11도에 도시된 돔형의 도전성 천장(116)으로 교체함으로써 개선될 수 있다. 제11도에 도시된 돔형의 도전성 천장(116)의 형상은 전체적으로 제6도 및 제8도에 도시된 바람직한 태양의 돔형의 유전성 천장에 부합하며, 웨이퍼 중심에 걸쳐서 더 균일한 이온 집중을 제공한다. 특히, 돔형의 도전성 천장(116)은 스퍼터 식각속도를 향상시키는 플라즈마에 전기 접지면을 제공한다. 돔형 천장(116)에 의해서 제공된 접지면은 웨이퍼의 가장자리에서 보다 웨이퍼의 중심에서 웨이퍼 표면으로부터 더 멀어진다. 이에 따라, 이온 확산이 균일하게 되도록 보다 큰 용적을 조성함으로써 웨이퍼 중심 근처의 이온 밀도가 향상되어, 웨이퍼 표면에 걸쳐 이온 밀도의 균일성이 증가하게 된다. 바람직하게는, 제11도의 돔형의 도전성 천장(116)은 26.05cm(10.42인치)의 주 반경(R1)을 가지며, 30.6cm(12.25인치)의 수평 직경(D)을 갖는다. 돔형의 천장(116)은 수직 진공 챔버벽(114) 상에 있는 쇼울더(116a)에 의해서 지지되어, 천장(116)의 상부는 제6도의 태양과 유사하게, 웨이퍼 위로 약12.5cm(5인치) 상승한다. 제10도의 태양에서와 같이, 돔형의 도전성 천장(116)은 전기적으로 접지된다. 제11도에 도시된 태양의 한 잇점은 제6도 및 제8도의 태양에서 달성되는 것과 유사하게 웨이퍼 표면에 걸쳐 균일성을 갖는 스퍼터 식각 처리가, 제4도 및 제5도의 태양에서 채용된 원통형의 알에프(RF) 안테나 코일을 사용함으로써 얻어질 수 있다는 것이다.
제11도의 태양을 통해서 얻어지는 추가의 잇점은 천장(116)이 알에프(RF)원(118)에 연결될 수 있고 통상적인 플루오르 식각 세척처리를 사용하는 진공 챔버의 세척을 용이하게 하도록 스위치(120)에 의해서 지면으로부터 분리될 수 있다는데 있다. 챔버를 세척하는 바이어스 알에프(RF) 장으로 도전성 천장(116)에 전력을 가하면, 챔버벽 및 천장(116)으로부터 오염물질을 제거하는 세척률 및 세척 효율이 향상된다.
제6도 및 제11도에 도시된 태양의 특성은 제12도에 도시된 대로 유리하게 결합될 수 없다. 상부 중앙의 돔형의 전극(122)은 나선형 안테나(80)의 중앙공극내에 위치된다. 이 전극은 나선형 안테나(80)로부터 전기적으로 고립되고, 천장(84)의 유전층(84a) 및 (84b) 사이에 고정된다. 상부 전극(122)은 알에프(RF)원에 연결되는 경우에 챔버세척을 위해서 유리하게 사용될 수 있다. 전극(122)은 세척용 전극으로서 작동하기 위해서, 웨이퍼 받침대의 직경보다 작은 직경을 갖는다. 정상적인 화학증착(CVD) 작동 동안에, 상부 전극(122)은 자유로이 위치할 수 있거나 접지되거나 또는 달리 전기적으로 제어될 수 있다.
산소 이온과 같은 음전기를 띤 이온들을 채용하는 스퍼터 식각을 참조로 하여 본 발명의 바람직한 태양을 기술하였지만, 플루오르와 같은 다른 이온들로 채용될 수 있다. 만약, 스퍼터 식각에 염소를 사용하면, 챔버벽의 알루미늄 이외의 재료들은 염소이온으로부터의 손상을 피하기 위해서 다른 재료로 대체될 것이다.
본 발명은 유도 연결된 알에프(RF) 플라즈마 및 산소 스퍼터링을 이용하는 화학증착(CVD) 처리에 특히 유용하지만, 그와 같이 제한되지는 않는다. 돔형의 코일은 예를 들어 할로겐 함유 플루오르카본과 같은 다른 처리 가스를 사용하는 공정 및 식각공정에 적용될 수 있다. 본 발명에 따른 노즐은 화학증착, 식각 또는 물리적인 증착을 위한, 거의 모든 유형의 플라즈마 처리챔버에 적용될 수 있다.
지금까지 바람직한 실시예를 참조하여 본 발명을 상세하게 설명하였지만, 본 발명의 요지 및 범주로부터 벗어나지 않고 다양하게 변화 및 변형시킬 수 있음을 알아야 한다.

Claims (36)

  1. 진공 챔버를 포함하는 알에프(RF) 유도 연결된 플라즈마 반응로로서, 상기 진공 챔버내로 반응가스를 도입하기 위한 하나이상의 가스 공급원, 및 유도 연결에 의해서 상기 진공챔버내에 플라즈마를 발생시키도록 상기 진공 챔버내로 알에프(RF) 에너지을 조사할 수 있고 2차원의 만곡된 표면에 놓이는 안테나를 포함하는 플라즈마 반응로.
  2. 제1항에 있어서, 상기 안테나가 돔 형상을 갖추고 있으며, 상기 만곡된 표면이, 웨이퍼의 상부면에 대하여 대칭적으로 배치되고 상기 상부면을 지향하는 오목한 면인 플라즈마 반응로.
  3. 제2항에 있어서, 상기 안테나가 아래에 놓인 수직 원통형 부분 및 위에 놓인 돔형 부분으로 구성되고, 상기 돔형 부분이 상기 원통형 부분과 매끄럽게 결합되어 있는 플라즈마 반응로.
  4. 제3항에 있어서, 상기 수직 원통형 부분이 적어도 상기 수직 원통형 부분 직경의 20% 이상인 축방향 높이를 가지는 플라즈마 반응로.
  5. 제4항에 있어서, 상기 진공챔버가 천장을 포함하고 있고, 상기 천장이 수직 원통형 부분 및 돔형 부분으로 이루어져 있으며, 상기 돔형 부분이 각각 안테나의 상기 수직 원통형 부분 및 돔형 부분에 대응하고, 상기 안테나가 상기 천장내에 배치되고 상기 천장의 회전 대칭축 주위로 감겨있는 길다란 전도체로 이루어져 있는 플라즈마 반응로.
  6. 제5항에 있어서, 상기 천장이 다수의 구간들로 이루어져 있고, 상기 구간이 상기 천장의 상부로부터 감소되는 곡률 반경을 가지며, 서로 매끄럽게 결합하는 플라즈마 반응로.
  7. 제3항에 있어서, 상기 안테나가 상기 만곡된 표면의 정점에 중심에 위치한 원형 공극을 가지는 플라즈마 반응로.
  8. 제7항에 있어서, 상기 공극이 상기 웨이퍼 직경의 25% 내지 100%에 달하는 직경을 갖는 플라즈마 반응로.
  9. 제7항에 있어서, 전원에 연결될 수 있고 상기 공극내에 위치하는 돔형 전극을 추가로 포함하는 플라즈마 반응로.
  10. 제9항에 있어서, 상기 돔형 전극이 상기 진공챔버를 세척하는 동안에 알에프(RF)원에 연결될 수 있는 플라즈마 반응로.
  11. 제3항에 있어서, 상기 코일형 전도체가 상기 안테나에 인가된 알에프(RF) 신호 파장의 1/4에 대응하는 길이를 가지는 플라즈마 반응로.
  12. 제11항에 있어서, 상기 알에프(RF) 신호가 400KHz 내지 20MHz의 알에프(RF) 주파수를 가지는 플라즈마 반응로.
  13. 제1항에 있어서, 상기 반응 가스들중 하나 이상이, 스퍼터 식각(etching)을 위한 상기 플라즈마용 이온 및 화학증착을 위한 화합물로서 기여하고, 상기 웨이퍼에 걸친 플라즈마 이온 밀도가 충분하여, 상기 스퍼터 식각속도가 적어도 상기 화학증착 속도에 달하는 플라즈마 반응로.
  14. 제1항에 있어서, 상기 하나이상의 가스 공급원이 다수의 길다란 분무 노즐로 이루어져 있고, 상기 분무 노즐이 상기 진공 챔버의 진공 격벽내에 위치하여 상기 진공격벽에 열적으로 연결되어 있으며, 상기 웨이퍼 가장자리 근처의 각각의 노즐 팁과 함께 상기 웨이퍼 쪽으로 연장되는 플라즈마 반응로.
  15. 제14항에 있어서, 상기 노즐로부터의 가스 유동이 초음속으로 이루어지는 플라즈마 반응로.
  16. 제1항에 있어서, 상기 하나이상의 가스 공급원이 상기 진공 챔버내부의 폐쇄된 관으로 이루어져 있고, 상기 폐쇄된 관이 상기 웨이퍼에 대하여 대칭적으로 배치되어 있으며, 상기 웨이퍼의 상당 부분과 중첩되지 않도록 상기 웨이퍼의 가장자리를 따르고, 상기 폐쇄된 관이 상기 웨이퍼 위의 상기 진공챔버 내부를 향하는 다수의 분무 노즐을 갖춘 플라즈마 반응로.
  17. 진공챔버내에서 웨이퍼를 고정시키기 위한 고정수단을 포함하는 플라즈마 반응로서, 상기 웨이퍼 위의 상기 진공챔버의 일부분에서 플라즈마를 발생시키도록 상기 진공 챔버내로 알에프(RF) 에너지을 조사할 수 있는 안테나, 및 상기 웨이퍼 위의 상기 진공챔버의 상기 부분쪽으로 반응 가스를 초음속으로 분무하기 위한 분무수단을 포함하는 플라즈마 반응로.
  18. 제17항에 있어서, 상기 분무수단이 다수의 길다란 초음속 분무 노즐로 이루어져 있는 플라즈마 반응로.
  19. 제18항에 있어서, 각각의 상기 분무노즐이 방사상 안쪽으로 급격하게 가늘어지는 내부 및 방사상 외부로 점진적으로 가늘어지는 외부를 갖추고 있는 플라즈마 반응로.
  20. 제18항에 있어서, 상기 분무노즐들이 가스 분배 유입구 오리피스를 갖는 각각의 노즐 팁과 함께 상기 웨이퍼를 향하여 상기 웨이퍼의 가장자리 미만으로 연장되는 플라즈마 반응로.
  21. 제20항에 있어서, 상기 분무노즐이 상기 진공챔버의 진공격벽에 열적으로 연결되는 플라즈마 반응로.
  22. 제20항에 있어서, 각각의 상기 분무노즐로부터 나오는 초음속의 가스 유동이 상기 분무 노즐 팁으로부터 상기 웨이퍼 위의 위치로 이동하는 효과적인 가스 확산 중심을 형성하는 플라즈마 반응로.
  23. 제20항에 있어서, 상기 오리피스가 10밀(mil)에 달하는 크기를 가지며, 상기 진공 챔버가 1 내지 30 밀리토르의 진공을 갖는 플라즈마 반응로.
  24. 진공챔버내에서 웨이퍼를 고정시키기 위한 고정수단을 포함하는 플라즈마 반응로로서, 상기 웨이퍼 위의 상기 진공챔버의 일부분에서 플라즈마를 발생시키도록 상기 진공 챔버내로 알에프(RF) 에너지를 조사할 수 있는 안테나, 및 상기 진공 챔버의 진공격벽에 열적으로 연결되어 있고 적어도 상기 웨이퍼 테두리 바로 윗쪽에 가스 분배 유입구 오리피스를 갖는 각각의 노즐팁과 함께 상기 웨이퍼를 향하여 연장되는 다수의 길다란 분무노즐을 포함하는 플라즈마 반응로.
  25. 진공 챔버내에서 웨이퍼를 고정시키기 위한 고정수단을 포함하는 플라즈마 반응로로서, 상기 웨이퍼위의 상기 진공챔버의 일부분에서 플라즈마를 발생시키도록 상기 진공챔버내로 알에프(RF) 에너지를 조사할 수 있는 안테나, 및 상기 웨이퍼 위의 상기 진공챔버의 상기 일부분내로 반응가스를 분무하기 위해서 상기 진공 챔버내에 놓인 폐쇄된 관으로서, 상기 웨이퍼에 대하여 대칭적으로 배치되어 있고, 상기 웨이퍼의 상당 부분과 중첩되지 않도록 상기 웨이퍼의 가장자리를 따르며, 상기 웨이퍼 위의 상기 진공챔버의 내부를 지향하는 다수의 분무 개구부를 갖춘 폐쇄된 관을 포함하는 플라즈마 반응로.
  26. 진공챔버내에서 웨이퍼를 고정시키기 위한 고정수단을 포함하는 플라즈마 반응로로서, 상기 웨이퍼 위의 상기 진공챔버의 일부분에서 플라즈마를 발생시키도록 상기 진공챔버내로 알에프(RF) 에너지를 조사할 수 있는 안테나와, 상기 웨이퍼 위의 상기 챔버의 상기 일부분내로 반응가스를 분무하기 위한 평면상 샤워헤드(showerhead)로서, 상기 웨이퍼 위의 상기 진공챔버의 내부 위에 놓이고, 상기 웨이퍼를 향하는 다수의 분무 노즐 개구부를 갖는 샤워헤드와, 상기 다수의 분무 노즐 개구부들중 인접한 개구부들 사이에서 상기 평면상 분무 노즐의 내부에 위치하며 상기 분무노즐 개구부로부터 이온들을 방출시키도록 배향되어 있는 다수의 자석을 포함하는 플라즈마 반응로.
  27. 제26항에 있어서, 상기 분무노즐 개구부들이 상기 웨이퍼로부터 상기 웨이퍼 직경의 약 25% 내지 50%에 달하는 거리에 위치하고 있는 플라즈마 반응로.
  28. 처리 가스를 포함하는 진공챔버내에서 웨이퍼를 고정시키기 위한 고정수단을 포함하는 플라즈마 반응로서, 플라즈마를 발생시키도록 상기 진공챔버내로 알에프(RF) 에너지를 조사할 수 있는 안테나, 및 상기 웨이퍼 윗쪽에 위치하고 전원에 연결될 수 있는 돔형의 도전성 전극을 포함하는 플라즈마 반응로.
  29. 제28항에 있어서, 상기 돔형의 도전성 전극이 상기 챔버의 천장으로 이루어져 있고, 처리과정 동안에 접지되어 있는 플라즈마 반응로.
  30. 제29항에 있어서, 상기 챔버의 세척과정 동안에 상기 돔형의 도전성 전극을 알에프(RF) 전원에 연결하기 위한 연결수단을 추가로 포함하는 플라즈마 반응로.
  31. 플라즈마 처리 방법으로서, 처리될 가공물을 고정시키며 일측면상에 돔형의 안테나를 갖추고 있는 진공 처리챔버를 제공하는 단계와, 음전기를 띤 가스를 포함하는 처리가스를 상기 처리챔버내로 공급하는 단계와, 알에프(RF) 전기 신호를 상기 안테나에 공명식으로 연결시키는 단계와, 그리고 상기 안테나로부터 나오는 전자기 에너지를 상기 처리챔버내에서 상기 플라즈마내로 비공명식으로 유도 연결시켜, 상기 플라즈마에 의해 상기 가공물이 처리되는 단계를 포함하는 플라즈마 처리방법.
  32. 제31항에 있어서, 상기 음전기를 띤 가스와 산소가스로 이루어져 있는 플라즈마 처리방법.
  33. 제31항에 있어서, 상기 음전기를 띤 가스와 할로겐으로 이루어져 있는 플라즈마 처리방법.
  34. 제32항에 있어서, 상기 처리가스가 산화규소에 대한 선구(precursor) 가스를 추가로 포함하는 플라즈마 처리방법.
  35. 제31항에 있어서, 상기 알에프(RF) 전기 신호가 400kHz 내지 20MHz 범위의 주파수를 가지는 플라즈마 처리방법.
  36. 제35항에 있어서, 상기 처리챔버내의 상기 처리가스의 압력이 1 내지 30 밀리토르인 플라즈마 처리방법.
KR1019940021174A 1993-08-27 1994-08-26 플라즈마 반응로 및 플라즈마 처리방법 KR100201121B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/113,776 US5614055A (en) 1993-08-27 1993-08-27 High density plasma CVD and etching reactor
US8/113,776 1993-08-27

Publications (2)

Publication Number Publication Date
KR950007617A KR950007617A (ko) 1995-03-21
KR100201121B1 true KR100201121B1 (ko) 1999-06-15

Family

ID=22351456

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019940021174A KR100201121B1 (ko) 1993-08-27 1994-08-26 플라즈마 반응로 및 플라즈마 처리방법

Country Status (5)

Country Link
US (2) US5614055A (ko)
EP (2) EP0641013B1 (ko)
JP (1) JP3691528B2 (ko)
KR (1) KR100201121B1 (ko)
DE (1) DE69425203T2 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100858934B1 (ko) * 2007-05-04 2008-09-17 주식회사 에스에프에이 화학 기상 증착장치

Families Citing this family (374)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6165311A (en) 1991-06-27 2000-12-26 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6095083A (en) * 1991-06-27 2000-08-01 Applied Materiels, Inc. Vacuum processing chamber having multi-mode access
US6036877A (en) 1991-06-27 2000-03-14 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US6514376B1 (en) 1991-06-27 2003-02-04 Applied Materials Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6074512A (en) * 1991-06-27 2000-06-13 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna and modular confinement magnet liners
US6488807B1 (en) 1991-06-27 2002-12-03 Applied Materials, Inc. Magnetic confinement in a plasma reactor having an RF bias electrode
US6063233A (en) 1991-06-27 2000-05-16 Applied Materials, Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6077384A (en) 1994-08-11 2000-06-20 Applied Materials, Inc. Plasma reactor having an inductive antenna coupling power through a parallel plate electrode
US5614055A (en) * 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
US5865896A (en) 1993-08-27 1999-02-02 Applied Materials, Inc. High density plasma CVD reactor with combined inductive and capacitive coupling
JP2687867B2 (ja) * 1994-01-19 1997-12-08 日本電気株式会社 半導体製造装置
ATE251798T1 (de) * 1994-04-28 2003-10-15 Applied Materials Inc Verfahren zum betreiben eines cvd-reaktors hoher plasma-dichte mit kombinierter induktiver und kapazitiver einkopplung
JP3080843B2 (ja) * 1994-08-24 2000-08-28 松下電器産業株式会社 薄膜形成方法及び装置
US5753044A (en) * 1995-02-15 1998-05-19 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
US5777289A (en) * 1995-02-15 1998-07-07 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
US6270617B1 (en) 1995-02-15 2001-08-07 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
US5710486A (en) * 1995-05-08 1998-01-20 Applied Materials, Inc. Inductively and multi-capacitively coupled plasma reactor
TW283250B (en) * 1995-07-10 1996-08-11 Watkins Johnson Co Plasma enhanced chemical processing reactor and method
JPH0925586A (ja) * 1995-07-11 1997-01-28 Anelva Corp 基板処理装置および基板処理方法
US5653811A (en) * 1995-07-19 1997-08-05 Chan; Chung System for the plasma treatment of large area substrates
TW279240B (en) 1995-08-30 1996-06-21 Applied Materials Inc Parallel-plate icp source/rf bias electrode head
KR100197649B1 (ko) * 1995-09-29 1999-06-15 김영환 박막 증착장치
TW356554B (en) * 1995-10-23 1999-04-21 Watkins Johnson Co Gas injection system for semiconductor processing
US5772771A (en) * 1995-12-13 1998-06-30 Applied Materials, Inc. Deposition chamber for improved deposition thickness uniformity
US6036878A (en) 1996-02-02 2000-03-14 Applied Materials, Inc. Low density high frequency process for a parallel-plate electrode plasma reactor having an inductive antenna
US6054013A (en) 1996-02-02 2000-04-25 Applied Materials, Inc. Parallel plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density
JP3720901B2 (ja) * 1996-03-04 2005-11-30 アネルバ株式会社 プラズマ処理装置及びアンテナの製造方法
US5964949A (en) * 1996-03-06 1999-10-12 Mattson Technology, Inc. ICP reactor having a conically-shaped plasma-generating section
US6017825A (en) * 1996-03-29 2000-01-25 Lam Research Corporation Etch rate loading improvement
US6070551A (en) * 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
US5863376A (en) * 1996-06-05 1999-01-26 Lam Research Corporation Temperature controlling method and apparatus for a plasma processing chamber
TW388096B (en) 1996-06-10 2000-04-21 Texas Instruments Inc Integrated circuit insulator and method
US6013155A (en) * 1996-06-28 2000-01-11 Lam Research Corporation Gas injection system for plasma processing
WO1998000576A1 (en) * 1996-06-28 1998-01-08 Lam Research Corporation Apparatus and method for high density plasma chemical vapor deposition
US6056848A (en) 1996-09-11 2000-05-02 Ctp, Inc. Thin film electrostatic shield for inductive plasma processing
JP3640478B2 (ja) * 1996-09-20 2005-04-20 アネルバ株式会社 プラズマ処理装置
US5911832A (en) * 1996-10-10 1999-06-15 Eaton Corporation Plasma immersion implantation with pulsed anode
US6020035A (en) 1996-10-29 2000-02-01 Applied Materials, Inc. Film to tie up loose fluorine in the chamber after a clean process
US5804259A (en) * 1996-11-07 1998-09-08 Applied Materials, Inc. Method and apparatus for depositing a multilayered low dielectric constant film
TW403959B (en) * 1996-11-27 2000-09-01 Hitachi Ltd Plasma treatment device
US6367410B1 (en) 1996-12-16 2002-04-09 Applied Materials, Inc. Closed-loop dome thermal control apparatus for a semiconductor wafer processing system
US6033585A (en) * 1996-12-20 2000-03-07 Lam Research Corporation Method and apparatus for preventing lightup of gas distribution holes
US6184158B1 (en) * 1996-12-23 2001-02-06 Lam Research Corporation Inductively coupled plasma CVD
KR100252210B1 (ko) * 1996-12-24 2000-04-15 윤종용 반도체장치 제조용 건식식각장치
US6749717B1 (en) 1997-02-04 2004-06-15 Micron Technology, Inc. Device for in-situ cleaning of an inductively-coupled plasma chambers
US5965218A (en) * 1997-03-18 1999-10-12 Vlsi Technology, Inc. Process for manufacturing ultra-sharp atomic force microscope (AFM) and scanning tunneling microscope (STM) tips
EP1387394A3 (en) 1997-04-15 2004-04-07 STMicroelectronics S.r.l. Process of final passivation of integrated circuit devices
EP0872879A1 (en) * 1997-04-15 1998-10-21 STMicroelectronics S.r.l. Process of final passivation of an integrated circuit device
US6579426B1 (en) 1997-05-16 2003-06-17 Applied Materials, Inc. Use of variable impedance to control coil sputter distribution
US6286451B1 (en) * 1997-05-29 2001-09-11 Applied Materials, Inc. Dome: shape and temperature controlled surfaces
TW460943B (en) * 1997-06-11 2001-10-21 Applied Materials Inc Reduction of mobile ion and metal contamination in HDP-CVD chambers using chamber seasoning film depositions
US5872058A (en) * 1997-06-17 1999-02-16 Novellus Systems, Inc. High aspect ratio gapfill process by using HDP
US6042687A (en) * 1997-06-30 2000-03-28 Lam Research Corporation Method and apparatus for improving etch and deposition uniformity in plasma semiconductor processing
US6345588B1 (en) 1997-08-07 2002-02-12 Applied Materials, Inc. Use of variable RF generator to control coil voltage distribution
JP2001514444A (ja) 1997-08-26 2001-09-11 アプライド マテリアルズ インコーポレイテッド プラズマ処理チャンバへ安定した電力を送ることができる装置及び方法
US6565717B1 (en) * 1997-09-15 2003-05-20 Applied Materials, Inc. Apparatus for sputtering ionized material in a medium to high density plasma
US6132551A (en) * 1997-09-20 2000-10-17 Applied Materials, Inc. Inductive RF plasma reactor with overhead coil and conductive laminated RF window beneath the overhead coil
US6076482A (en) * 1997-09-20 2000-06-20 Applied Materials, Inc. Thin film processing plasma reactor chamber with radially upward sloping ceiling for promoting radially outward diffusion
US5903106A (en) * 1997-11-17 1999-05-11 Wj Semiconductor Equipment Group, Inc. Plasma generating apparatus having an electrostatic shield
US6028285A (en) * 1997-11-19 2000-02-22 Board Of Regents, The University Of Texas System High density plasma source for semiconductor processing
US5976900A (en) * 1997-12-08 1999-11-02 Cypress Semiconductor Corp. Method of reducing impurity contamination in semiconductor process chambers
US20020011215A1 (en) 1997-12-12 2002-01-31 Goushu Tei Plasma treatment apparatus and method of manufacturing optical parts using the same
KR100524204B1 (ko) * 1998-01-07 2006-01-27 동경 엘렉트론 주식회사 가스 처리장치
US6015476A (en) * 1998-02-05 2000-01-18 Applied Materials, Inc. Plasma reactor magnet with independently controllable parallel axial current-carrying elements
US6274459B1 (en) 1998-02-17 2001-08-14 Silicon Genesis Corporation Method for non mass selected ion implant profile control
US6022749A (en) 1998-02-25 2000-02-08 Advanced Micro Devices, Inc. Using a superlattice to determine the temperature of a semiconductor fabrication process
JP2972707B1 (ja) 1998-02-26 1999-11-08 松下電子工業株式会社 プラズマエッチング装置及びプラズマエッチング方法
US6189484B1 (en) * 1999-03-05 2001-02-20 Applied Materials Inc. Plasma reactor having a helicon wave high density plasma source
US6194038B1 (en) * 1998-03-20 2001-02-27 Applied Materials, Inc. Method for deposition of a conformal layer on a substrate
US6203657B1 (en) 1998-03-31 2001-03-20 Lam Research Corporation Inductively coupled plasma downstream strip module
CN1189595C (zh) 1998-04-13 2005-02-16 东京电子株式会社 阻抗减小的室
US6134005A (en) * 1998-04-23 2000-10-17 Sandia Corporation Method and apparatus for monitoring plasma processing operations
US6275740B1 (en) 1998-04-23 2001-08-14 Sandia Corporation Method and apparatus for monitoring plasma processing operations
US6223755B1 (en) 1998-04-23 2001-05-01 Sandia Corporation Method and apparatus for monitoring plasma processing operations
US6132577A (en) * 1998-04-23 2000-10-17 Sandia Corporation Method and apparatus for monitoring plasma processing operations
US6261470B1 (en) 1998-04-23 2001-07-17 Sandia Corporation Method and apparatus for monitoring plasma processing operations
US6419801B1 (en) 1998-04-23 2002-07-16 Sandia Corporation Method and apparatus for monitoring plasma processing operations
US6221679B1 (en) * 1998-04-23 2001-04-24 Sandia Corporation Method and apparatus for monitoring plasma processing operations
US6090302A (en) * 1998-04-23 2000-07-18 Sandia Method and apparatus for monitoring plasma processing operations
US6077386A (en) * 1998-04-23 2000-06-20 Sandia Corporation Method and apparatus for monitoring plasma processing operations
US6157447A (en) * 1998-04-23 2000-12-05 Sandia Corporation Method and apparatus for monitoring plasma processing operations
US6165312A (en) * 1998-04-23 2000-12-26 Sandia Corporation Method and apparatus for monitoring plasma processing operations
US6169933B1 (en) 1998-04-23 2001-01-02 Sandia Corporation Method and apparatus for monitoring plasma processing operations
US6192826B1 (en) 1998-04-23 2001-02-27 Sandia Corporation Method and apparatus for monitoring plasma processing operations
US6123983A (en) * 1998-04-23 2000-09-26 Sandia Corporation Method and apparatus for monitoring plasma processing operations
US6269278B1 (en) 1998-04-23 2001-07-31 Sandia Corporation Method and apparatus for monitoring plasma processing operations
US6246473B1 (en) 1998-04-23 2001-06-12 Sandia Corporation Method and apparatus for monitoring plasma processing operations
US6254717B1 (en) 1998-04-23 2001-07-03 Sandia Corporation Method and apparatus for monitoring plasma processing operations
US6060132A (en) * 1998-06-15 2000-05-09 Siemens Aktiengesellschaft High density plasma CVD process for making dielectric anti-reflective coatings
US6074516A (en) * 1998-06-23 2000-06-13 Lam Research Corporation High sputter, etch resistant window for plasma processing chambers
US6037018A (en) * 1998-07-01 2000-03-14 Taiwan Semiconductor Maufacturing Company Shallow trench isolation filled by high density plasma chemical vapor deposition
US6759306B1 (en) * 1998-07-10 2004-07-06 Micron Technology, Inc. Methods of forming silicon dioxide layers and methods of forming trench isolation regions
US6335293B1 (en) 1998-07-13 2002-01-01 Mattson Technology, Inc. Systems and methods for two-sided etch of a semiconductor substrate
US6132566A (en) * 1998-07-30 2000-10-17 Applied Materials, Inc. Apparatus and method for sputtering ionized material in a plasma
US6228208B1 (en) * 1998-08-12 2001-05-08 Applied Materials, Inc. Plasma density and etch rate enhancing semiconductor processing chamber
US6074953A (en) * 1998-08-28 2000-06-13 Micron Technology, Inc. Dual-source plasma etchers, dual-source plasma etching methods, and methods of forming planar coil dual-source plasma etchers
US6355183B1 (en) 1998-09-04 2002-03-12 Matsushita Electric Industrial Co., Ltd. Apparatus and method for plasma etching
US6170429B1 (en) 1998-09-30 2001-01-09 Lam Research Corporation Chamber liner for semiconductor process chambers
JP2000124195A (ja) * 1998-10-14 2000-04-28 Tokyo Electron Ltd 表面処理方法及びその装置
KR100303446B1 (ko) 1998-10-29 2002-10-04 삼성전자 주식회사 액정표시장치용박막트랜지스터기판의제조방법
US6324313B1 (en) * 1998-12-21 2001-11-27 Lsi Logic Corporation On-chip multiple layer vertically transitioning optical waveguide and damascene method of fabricating the same
US6230651B1 (en) * 1998-12-30 2001-05-15 Lam Research Corporation Gas injection system for plasma processing
JP3542514B2 (ja) * 1999-01-19 2004-07-14 株式会社日立製作所 ドライエッチング装置
US6523493B1 (en) * 2000-08-01 2003-02-25 Tokyo Electron Limited Ring-shaped high-density plasma source and method
US6458723B1 (en) 1999-06-24 2002-10-01 Silicon Genesis Corporation High temperature implant apparatus
US6123775A (en) * 1999-06-30 2000-09-26 Lam Research Corporation Reaction chamber component having improved temperature uniformity
US6245192B1 (en) 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6415736B1 (en) 1999-06-30 2002-07-09 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6447637B1 (en) * 1999-07-12 2002-09-10 Applied Materials Inc. Process chamber having a voltage distribution electrode
US6451157B1 (en) 1999-09-23 2002-09-17 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6462483B1 (en) * 1999-11-18 2002-10-08 Nano-Architect Research Corporation Induction plasma processing chamber
KR20010062209A (ko) * 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
WO2001046492A1 (en) * 1999-12-22 2001-06-28 Tokyo Electron Limited Method and system for reducing damage to substrates during plasma processing with a resonator source
JP4437351B2 (ja) * 2000-01-14 2010-03-24 キヤノンアネルバ株式会社 プラズマエッチング装置
US6447636B1 (en) * 2000-02-16 2002-09-10 Applied Materials, Inc. Plasma reactor with dynamic RF inductive and capacitive coupling control
JP4222707B2 (ja) * 2000-03-24 2009-02-12 東京エレクトロン株式会社 プラズマ処理装置及び方法、ガス供給リング及び誘電体
US6502530B1 (en) * 2000-04-26 2003-01-07 Unaxis Balzers Aktiengesellschaft Design of gas injection for the electrode in a capacitively coupled RF plasma reactor
US6401652B1 (en) 2000-05-04 2002-06-11 Applied Materials, Inc. Plasma reactor inductive coil antenna with flat surface facing the plasma
KR20010112958A (ko) * 2000-06-15 2001-12-24 황 철 주 고밀도 플라즈마 반응기
US6481447B1 (en) * 2000-09-27 2002-11-19 Lam Research Corporation Fluid delivery ring and methods for making and implementing the same
US6333272B1 (en) * 2000-10-06 2001-12-25 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6413321B1 (en) 2000-12-07 2002-07-02 Applied Materials, Inc. Method and apparatus for reducing particle contamination on wafer backside during CVD process
US6534423B1 (en) * 2000-12-27 2003-03-18 Novellus Systems, Inc. Use of inductively-coupled plasma in plasma-enhanced chemical vapor deposition reactor to improve film-to-wall adhesion following in-situ plasma clean
US6660662B2 (en) * 2001-01-26 2003-12-09 Applied Materials, Inc. Method of reducing plasma charge damage for plasma processes
JP2002237486A (ja) * 2001-02-08 2002-08-23 Tokyo Electron Ltd プラズマ処理装置およびプラズマ処理方法
JP2002246381A (ja) * 2001-02-15 2002-08-30 Anelva Corp Cvd方法
US20020122896A1 (en) * 2001-03-02 2002-09-05 Skion Corporation Capillary discharge plasma apparatus and method for surface treatment using the same
US6660126B2 (en) * 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
KR100408405B1 (ko) * 2001-05-03 2003-12-06 삼성전자주식회사 반도체 소자의 제조 장치
US6596653B2 (en) * 2001-05-11 2003-07-22 Applied Materials, Inc. Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
US6740601B2 (en) * 2001-05-11 2004-05-25 Applied Materials Inc. HDP-CVD deposition process for filling high aspect ratio gaps
KR20040019293A (ko) 2001-05-24 2004-03-05 셀레리티 그룹 아이엔씨 소정 비율의 프로세스 유체를 제공하는 방법 및 장치
WO2002099863A1 (fr) * 2001-06-01 2002-12-12 Tokyo Electron Limited Dispositif de traitement de plasma
US20020195201A1 (en) * 2001-06-25 2002-12-26 Emanuel Beer Apparatus and method for thermally isolating a heat chamber
KR100433285B1 (ko) * 2001-07-18 2004-05-31 주성엔지니어링(주) 멀티 홀 앵글드 가스분사 시스템을 갖는 반도체소자제조장치
US7138336B2 (en) * 2001-08-06 2006-11-21 Asm Genitech Korea Ltd. Plasma enhanced atomic layer deposition (PEALD) equipment and method of forming a conducting thin film using the same thereof
US6820570B2 (en) * 2001-08-15 2004-11-23 Nobel Biocare Services Ag Atomic layer deposition reactor
US6676760B2 (en) 2001-08-16 2004-01-13 Appiled Materials, Inc. Process chamber having multiple gas distributors and method
JP3886424B2 (ja) * 2001-08-28 2007-02-28 鹿児島日本電気株式会社 基板処理装置及び方法
WO2003025971A2 (en) * 2001-09-14 2003-03-27 Tokyo Electron Limited Plasma processing apparatus with coil magnet system
US7115516B2 (en) * 2001-10-09 2006-10-03 Applied Materials, Inc. Method of depositing a material layer
US20030070620A1 (en) 2001-10-15 2003-04-17 Cooperberg David J. Tunable multi-zone gas injection system
KR100501339B1 (ko) * 2001-11-02 2005-07-18 주성엔지니어링(주) 플라즈마 장치
KR100760291B1 (ko) * 2001-11-08 2007-09-19 에이에스엠지니텍코리아 주식회사 박막 형성 방법
KR100453014B1 (ko) * 2001-12-26 2004-10-14 주성엔지니어링(주) Cvd 장치
US7513971B2 (en) * 2002-03-18 2009-04-07 Applied Materials, Inc. Flat style coil for improved precision etch uniformity
GB0208261D0 (en) * 2002-04-10 2002-05-22 Dow Corning An atmospheric pressure plasma assembly
US20040231798A1 (en) * 2002-09-13 2004-11-25 Applied Materials, Inc. Gas delivery system for semiconductor processing
US7166166B2 (en) 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US6798519B2 (en) * 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US7166200B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
US7147749B2 (en) * 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
US7137353B2 (en) * 2002-09-30 2006-11-21 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
US7204912B2 (en) * 2002-09-30 2007-04-17 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
US6837966B2 (en) * 2002-09-30 2005-01-04 Tokyo Electron Limeted Method and apparatus for an improved baffle plate in a plasma processing system
KR100488348B1 (ko) * 2002-11-14 2005-05-10 최대규 플라즈마 프로세스 챔버 및 시스템
US7780786B2 (en) * 2002-11-28 2010-08-24 Tokyo Electron Limited Internal member of a plasma processing vessel
US7169231B2 (en) * 2002-12-13 2007-01-30 Lam Research Corporation Gas distribution system with tuning gas
US7534363B2 (en) * 2002-12-13 2009-05-19 Lam Research Corporation Method for providing uniform removal of organic material
US20040112540A1 (en) 2002-12-13 2004-06-17 Lam Research Corporation Uniform etch system
US7273638B2 (en) * 2003-01-07 2007-09-25 International Business Machines Corp. High density plasma oxidation
US6808748B2 (en) * 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US20040173314A1 (en) * 2003-03-05 2004-09-09 Ryoji Nishio Plasma processing apparatus and method
KR101016913B1 (ko) * 2003-03-31 2011-02-22 도쿄엘렉트론가부시키가이샤 처리요소용 배리어층 및 그의 형성방법
CN100495413C (zh) 2003-03-31 2009-06-03 东京毅力科创株式会社 用于邻接在处理元件上的相邻覆层的方法
US6958112B2 (en) * 2003-05-27 2005-10-25 Applied Materials, Inc. Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation
US20040261718A1 (en) * 2003-06-26 2004-12-30 Kim Nam Hun Plasma source coil for generating plasma and plasma chamber using the same
US20050011447A1 (en) * 2003-07-14 2005-01-20 Tokyo Electron Limited Method and apparatus for delivering process gas to a process chamber
US6829056B1 (en) 2003-08-21 2004-12-07 Michael Barnes Monitoring dimensions of features at different locations in the processing of substrates
US6903031B2 (en) * 2003-09-03 2005-06-07 Applied Materials, Inc. In-situ-etch-assisted HDP deposition using SiF4 and hydrogen
US20050103265A1 (en) * 2003-11-19 2005-05-19 Applied Materials, Inc., A Delaware Corporation Gas distribution showerhead featuring exhaust apertures
KR100634288B1 (ko) * 2003-12-01 2006-10-16 야스히로 모리 고체물질의 표면 개질방법 및 표면 개질된 고체물질
US20050218115A1 (en) * 2004-02-06 2005-10-06 Applied Materials, Inc. Anti-clogging nozzle for semiconductor processing
US20060048707A1 (en) * 2004-09-03 2006-03-09 Applied Materials, Inc. Anti-clogging nozzle for semiconductor processing
US20050211544A1 (en) * 2004-03-29 2005-09-29 Seagate Technology Llc Electrical biasing of gas introduction means of plasma apparatus
US7785672B2 (en) * 2004-04-20 2010-08-31 Applied Materials, Inc. Method of controlling the film properties of PECVD-deposited thin films
US20050233092A1 (en) * 2004-04-20 2005-10-20 Applied Materials, Inc. Method of controlling the uniformity of PECVD-deposited thin films
US8083853B2 (en) * 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US7109114B2 (en) * 2004-05-07 2006-09-19 Applied Materials, Inc. HDP-CVD seasoning process for high power HDP-CVD gapfil to improve particle performance
US20060005771A1 (en) * 2004-07-12 2006-01-12 Applied Materials, Inc. Apparatus and method of shaping profiles of large-area PECVD electrodes
US8074599B2 (en) * 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
US8328939B2 (en) * 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
US20050260356A1 (en) * 2004-05-18 2005-11-24 Applied Materials, Inc. Microcontamination abatement in semiconductor processing
US7229931B2 (en) * 2004-06-16 2007-06-12 Applied Materials, Inc. Oxygen plasma treatment for enhanced HDP-CVD gapfill
US7183227B1 (en) 2004-07-01 2007-02-27 Applied Materials, Inc. Use of enhanced turbomolecular pump for gapfill deposition using high flows of low-mass fluent gas
US7087536B2 (en) * 2004-09-01 2006-08-08 Applied Materials Silicon oxide gapfill deposition using liquid precursors
US7429410B2 (en) * 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support
KR100589046B1 (ko) * 2004-09-23 2006-06-12 삼성전자주식회사 박막 형성 방법
US7552521B2 (en) * 2004-12-08 2009-06-30 Tokyo Electron Limited Method and apparatus for improved baffle plate
KR100610019B1 (ko) * 2005-01-11 2006-08-08 삼성전자주식회사 플라즈마 분배장치 및 이를 구비하는 건식 스트리핑 장치
US7601242B2 (en) * 2005-01-11 2009-10-13 Tokyo Electron Limited Plasma processing system and baffle assembly for use in plasma processing system
US20060177600A1 (en) * 2005-02-08 2006-08-10 Applied Materials, Inc. Inductive plasma system with sidewall magnet
US20060225654A1 (en) * 2005-03-29 2006-10-12 Fink Steven T Disposable plasma reactor materials and methods
WO2006106767A1 (ja) * 2005-03-30 2006-10-12 Matsushita Electric Industrial Co., Ltd. 伝送線路対及び伝送線路群
US7396415B2 (en) * 2005-06-02 2008-07-08 Asm America, Inc. Apparatus and methods for isolating chemical vapor reactions at a substrate surface
KR100698618B1 (ko) * 2005-07-12 2007-03-22 삼성전자주식회사 플라즈마 가속장치 및 그것을 구비하는 플라즈마 처리시스템
US8617672B2 (en) 2005-07-13 2013-12-31 Applied Materials, Inc. Localized surface annealing of components for substrate processing chambers
US7651587B2 (en) 2005-08-11 2010-01-26 Applied Materials, Inc. Two-piece dome with separate RF coils for inductively coupled plasma reactors
JP2007051002A (ja) * 2005-08-19 2007-03-01 Kyocera Mita Corp 用紙カセット
US20070056845A1 (en) * 2005-09-13 2007-03-15 Applied Materials, Inc. Multiple zone sputtering target created through conductive and insulation bonding
US7588668B2 (en) 2005-09-13 2009-09-15 Applied Materials, Inc. Thermally conductive dielectric bonding of sputtering targets using diamond powder filler or thermally conductive ceramic fillers
US20070056843A1 (en) * 2005-09-13 2007-03-15 Applied Materials, Inc. Method of processing a substrate using a large-area magnetron sputtering chamber with individually controlled sputtering zones
KR100769138B1 (ko) * 2005-10-12 2007-10-22 동부일렉트로닉스 주식회사 고밀도 플라즈마 화학기상 증착 방법을 이용한 플라즈마산화막 형성장치 및 형성방법
US20070170867A1 (en) * 2006-01-24 2007-07-26 Varian Semiconductor Equipment Associates, Inc. Plasma Immersion Ion Source With Low Effective Antenna Voltage
US8012306B2 (en) * 2006-02-15 2011-09-06 Lam Research Corporation Plasma processing reactor with multiple capacitive and inductive power sources
KR100752622B1 (ko) * 2006-02-17 2007-08-30 한양대학교 산학협력단 원거리 플라즈마 발생장치
US20070227659A1 (en) * 2006-03-31 2007-10-04 Tokyo Electron Limited Plasma etching apparatus
WO2007142850A2 (en) * 2006-06-02 2007-12-13 Applied Materials Gas flow control by differential pressure measurements
JP5069427B2 (ja) * 2006-06-13 2012-11-07 北陸成型工業株式会社 シャワープレート、並びにそれを用いたプラズマ処理装置、プラズマ処理方法及び電子装置の製造方法
US7837826B2 (en) * 2006-07-18 2010-11-23 Lam Research Corporation Hybrid RF capacitively and inductively coupled plasma source using multifrequency RF powers and methods of use thereof
US20080095953A1 (en) * 2006-10-24 2008-04-24 Samsung Electronics Co., Ltd. Apparatus for depositing thin film and method of depositing the same
US20080121177A1 (en) * 2006-11-28 2008-05-29 Applied Materials, Inc. Dual top gas feed through distributor for high density plasma chamber
US7845310B2 (en) * 2006-12-06 2010-12-07 Axcelis Technologies, Inc. Wide area radio frequency plasma apparatus for processing multiple substrates
US20080156264A1 (en) * 2006-12-27 2008-07-03 Novellus Systems, Inc. Plasma Generator Apparatus
US7981262B2 (en) 2007-01-29 2011-07-19 Applied Materials, Inc. Process kit for substrate processing chamber
US20080194112A1 (en) * 2007-02-09 2008-08-14 International Business Machines Corporation Method and system for plasma etching having improved across-wafer etch uniformity
JP5583413B2 (ja) * 2007-02-28 2014-09-03 アプライド マテリアルズ インコーポレイテッド 大面積基板に堆積するための装置及び方法
US20080241387A1 (en) * 2007-03-29 2008-10-02 Asm International N.V. Atomic layer deposition reactor
US7942969B2 (en) 2007-05-30 2011-05-17 Applied Materials, Inc. Substrate cleaning chamber and components
US20080317973A1 (en) 2007-06-22 2008-12-25 White John M Diffuser support
US20090023274A1 (en) * 2007-07-07 2009-01-22 Xinmin Cao Hybrid Chemical Vapor Deposition Process Combining Hot-Wire CVD and Plasma-Enhanced CVD
US20090035946A1 (en) * 2007-07-31 2009-02-05 Asm International N.V. In situ deposition of different metal-containing films using cyclopentadienyl metal precursors
KR20090018290A (ko) * 2007-08-17 2009-02-20 에이에스엠지니텍코리아 주식회사 증착 장치
US20090084317A1 (en) * 2007-09-28 2009-04-02 Applied Materials, Inc. Atomic layer deposition chamber and components
US20090134012A1 (en) * 2007-11-22 2009-05-28 Canon Anelva Corporation Sputtering apparatus and sputtering method
US8137463B2 (en) * 2007-12-19 2012-03-20 Applied Materials, Inc. Dual zone gas injection nozzle
US7678715B2 (en) * 2007-12-21 2010-03-16 Applied Materials, Inc. Low wet etch rate silicon nitride film
US7989262B2 (en) * 2008-02-22 2011-08-02 Cavendish Kinetics, Ltd. Method of sealing a cavity
US9591738B2 (en) * 2008-04-03 2017-03-07 Novellus Systems, Inc. Plasma generator systems and methods of forming plasma
US8383525B2 (en) * 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
US8097082B2 (en) * 2008-04-28 2012-01-17 Applied Materials, Inc. Nonplanar faceplate for a plasma processing chamber
US7993950B2 (en) * 2008-04-30 2011-08-09 Cavendish Kinetics, Ltd. System and method of encapsulation
US8916022B1 (en) 2008-09-12 2014-12-23 Novellus Systems, Inc. Plasma generator systems and methods of forming plasma
US8207470B2 (en) * 2008-10-20 2012-06-26 Industry-University Cooperation Foundation Hanyang University Apparatus for generating remote plasma
KR101034505B1 (ko) 2008-11-27 2011-05-17 세메스 주식회사 가스 공급 장치 및 이를 구비하는 기판 처리 장치
CA2653581A1 (en) 2009-02-11 2010-08-11 Kenneth Scott Alexander Butcher Migration and plasma enhanced chemical vapour deposition
US20100266765A1 (en) * 2009-04-21 2010-10-21 White Carl L Method and apparatus for growing a thin film onto a substrate
US8604697B2 (en) * 2009-12-09 2013-12-10 Jehara Corporation Apparatus for generating plasma
TWI551718B (zh) * 2010-04-30 2016-10-01 應用材料股份有限公司 豎立直線cvd系統
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US9174296B2 (en) * 2010-10-20 2015-11-03 Lam Research Corporation Plasma ignition and sustaining methods and apparatuses
JP5837793B2 (ja) 2010-11-30 2015-12-24 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及び基板処理装置のバッフル構造
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8143147B1 (en) 2011-02-10 2012-03-27 Intermolecular, Inc. Methods and systems for forming thin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
JP2013062316A (ja) * 2011-09-12 2013-04-04 Tokyo Electron Ltd 搬送装置及びプラズマ処理システム
KR101879175B1 (ko) * 2011-10-20 2018-08-20 삼성전자주식회사 화학 기상 증착 장치
US9941100B2 (en) * 2011-12-16 2018-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. Adjustable nozzle for plasma deposition and a method of controlling the adjustable nozzle
TW201341555A (zh) * 2012-02-14 2013-10-16 Tokyo Electron Ltd 蒸鍍頭及蒸鍍裝置
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) * 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
JP6101031B2 (ja) * 2012-09-28 2017-03-22 東京応化工業株式会社 プラズマ処理装置および積層体の製造方法
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
WO2014119177A1 (ja) * 2013-01-30 2014-08-07 京セラ株式会社 ガスノズルおよびこれを用いたプラズマ装置
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
WO2014133465A1 (en) * 2013-02-28 2014-09-04 Nanyang Technological University A capacitively coupled electrodeless plasma apparatus and a method using capacitively coupled electrodeless plasma for processing a silicon substrate
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
CN104798446B (zh) * 2013-03-12 2017-09-08 应用材料公司 具有方位角与径向分布控制的多区域气体注入组件
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US10410889B2 (en) * 2014-07-25 2019-09-10 Applied Materials, Inc. Systems and methods for electrical and magnetic uniformity and skew tuning in plasma processing reactors
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US10465288B2 (en) * 2014-08-15 2019-11-05 Applied Materials, Inc. Nozzle for uniform plasma processing
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
JP6544902B2 (ja) * 2014-09-18 2019-07-17 東京エレクトロン株式会社 プラズマ処理装置
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
CN108292588B (zh) * 2015-12-04 2022-02-18 应用材料公司 用以防止hdp-cvd腔室电弧放电的先进涂层方法及材料
US11017984B2 (en) 2016-04-28 2021-05-25 Applied Materials, Inc. Ceramic coated quartz lid for processing chamber
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
KR102553629B1 (ko) * 2016-06-17 2023-07-11 삼성전자주식회사 플라즈마 처리 장치
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
JP7061257B2 (ja) * 2017-03-17 2022-04-28 日新電機株式会社 スパッタリング装置
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US11532464B2 (en) * 2018-02-15 2022-12-20 Applied Materials, Inc. Reactor design for large-area VHF plasma processing with improved uniformity
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
CN108856170A (zh) * 2018-05-12 2018-11-23 合肥杰硕真空科技有限公司 一种中频等离子体清洗装置
CN108971140A (zh) * 2018-05-12 2018-12-11 合肥杰硕真空科技有限公司 一种射频等离子体清洗装置
US11037765B2 (en) * 2018-07-03 2021-06-15 Tokyo Electron Limited Resonant structure for electron cyclotron resonant (ECR) plasma ionization
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US20210291138A1 (en) * 2018-07-11 2021-09-23 Board Of Trustees Of Michigan State University Vertically oriented plasma reactor
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11545343B2 (en) 2019-04-22 2023-01-03 Board Of Trustees Of Michigan State University Rotary plasma reactor
CN110629174B (zh) * 2019-08-28 2021-03-02 淮阴师范学院 利用牵引式氮等离子体增强反应气氛环境制备Ti-Al-N 硬质薄膜的方法
US11913107B2 (en) 2019-11-08 2024-02-27 Applied Materials, Inc. Methods and apparatus for processing a substrate
CN113130285B (zh) * 2019-12-31 2022-04-15 江苏鲁汶仪器有限公司 一种陶瓷进气接射频清洗装置
IL281747B2 (en) * 2021-03-22 2024-04-01 N T Tao Ltd System and method for creating plasma with high efficiency
CN114446759B (zh) * 2022-01-26 2024-03-26 北京北方华创微电子装备有限公司 半导体工艺设备

Family Cites Families (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61136229A (ja) * 1984-12-06 1986-06-24 Toshiba Corp ドライエツチング装置
KR910005733B1 (ko) * 1986-01-17 1991-08-02 가부시기가이샤 히다찌 세이사꾸쇼 플라즈마 처리방법 및 장치
KR900007687B1 (ko) * 1986-10-17 1990-10-18 가부시기가이샤 히다찌세이사꾸쇼 플라즈마처리방법 및 장치
GB8629634D0 (en) * 1986-12-11 1987-01-21 Dobson C D Reactive ion & sputter etching
US4872947A (en) * 1986-12-19 1989-10-10 Applied Materials, Inc. CVD of silicon oxide using TEOS decomposition and in-situ planarization process
US4842683A (en) * 1986-12-19 1989-06-27 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
DE3708717A1 (de) * 1987-03-18 1988-09-29 Hans Prof Dr Rer Nat Oechsner Verfahren und vorrichtung zur bearbeitung von festkoerperoberflaechen durch teilchenbeschuss
DE3738352A1 (de) * 1987-11-11 1989-05-24 Technics Plasma Gmbh Filamentloses magnetron-ionenstrahlsystem
JPH029115A (ja) * 1988-06-28 1990-01-12 Mitsubishi Electric Corp 半導体製造装置
EP0379828B1 (en) * 1989-01-25 1995-09-27 International Business Machines Corporation Radio frequency induction/multipole plasma processing tool
GB8905075D0 (en) * 1989-03-06 1989-04-19 Nordiko Ltd Electrode assembly and apparatus
US5122251A (en) * 1989-06-13 1992-06-16 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US5134965A (en) * 1989-06-16 1992-08-04 Hitachi, Ltd. Processing apparatus and method for plasma processing
US4948458A (en) * 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
FR2653633B1 (fr) * 1989-10-19 1991-12-20 Commissariat Energie Atomique Dispositif de traitement chimique assiste par un plasma de diffusion.
US5356672A (en) * 1990-05-09 1994-10-18 Jet Process Corporation Method for microwave plasma assisted supersonic gas jet deposition of thin films
WO1992020833A1 (en) * 1991-05-17 1992-11-26 Lam Research Corporation A PROCESS FOR DEPOSITING A SIOx FILM HAVING REDUCED INTRINSIC STRESS AND/OR REDUCED HYDROGEN CONTENT
JP3375646B2 (ja) * 1991-05-31 2003-02-10 株式会社日立製作所 プラズマ処理装置
KR100255703B1 (ko) * 1991-06-27 2000-05-01 조셉 제이. 스위니 전자기 rf연결부를 사용하는 플라즈마 처리기 및 방법
US5234529A (en) * 1991-10-10 1993-08-10 Johnson Wayne L Plasma generating apparatus employing capacitive shielding and process for using such apparatus
US5286331A (en) * 1991-11-01 1994-02-15 International Business Machines Corporation Supersonic molecular beam etching of surfaces
JPH05146628A (ja) * 1991-11-27 1993-06-15 Ebara Corp ガス処理装置
JP2894658B2 (ja) * 1992-01-17 1999-05-24 株式会社東芝 ドライエッチング方法およびその装置
DE69226253T2 (de) * 1992-01-24 1998-12-17 Applied Materials Inc Plasmaätzverfahren und Reaktor zur Plasmabearbeitung
US5280154A (en) * 1992-01-30 1994-01-18 International Business Machines Corporation Radio frequency induction plasma processing system utilizing a uniform field coil
US5231334A (en) * 1992-04-15 1993-07-27 Texas Instruments Incorporated Plasma source and method of manufacturing
US5226967A (en) * 1992-05-14 1993-07-13 Lam Research Corporation Plasma apparatus including dielectric window for inducing a uniform electric field in a plasma chamber
US5277751A (en) * 1992-06-18 1994-01-11 Ogle John S Method and apparatus for producing low pressure planar plasma using a coil with its axis parallel to the surface of a coupling window
US5286297A (en) * 1992-06-24 1994-02-15 Texas Instruments Incorporated Multi-electrode plasma processing apparatus
US5346578A (en) * 1992-11-04 1994-09-13 Novellus Systems, Inc. Induction plasma source
US5401350A (en) * 1993-03-08 1995-03-28 Lsi Logic Corporation Coil configurations for improved uniformity in inductively coupled plasma systems
US5614055A (en) * 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
US5522934A (en) * 1994-04-26 1996-06-04 Tokyo Electron Limited Plasma processing apparatus using vertical gas inlets one on top of another
US5777289A (en) * 1995-02-15 1998-07-07 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
US5753044A (en) * 1995-02-15 1998-05-19 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100858934B1 (ko) * 2007-05-04 2008-09-17 주식회사 에스에프에이 화학 기상 증착장치

Also Published As

Publication number Publication date
EP0641013A3 (en) 1995-07-12
JPH07169703A (ja) 1995-07-04
EP0794553A3 (en) 1998-05-20
US5976308A (en) 1999-11-02
EP0641013B1 (en) 2000-07-12
EP0794553A2 (en) 1997-09-10
EP0641013A2 (en) 1995-03-01
KR950007617A (ko) 1995-03-21
DE69425203T2 (de) 2000-11-30
US5614055A (en) 1997-03-25
DE69425203D1 (de) 2000-08-17
JP3691528B2 (ja) 2005-09-07

Similar Documents

Publication Publication Date Title
KR100201121B1 (ko) 플라즈마 반응로 및 플라즈마 처리방법
US6364995B1 (en) Dome-shaped inductive coupling wall having a plurality of radii for an inductively coupled plasma reactor
EP1017876B1 (en) Gas injection system for plasma processing apparatus
US6155200A (en) ECR plasma generator and an ECR system using the generator
US5824605A (en) Gas dispersion window for plasma apparatus and method of use thereof
US6270862B1 (en) Method for high density plasma chemical vapor deposition of dielectric films
US6348126B1 (en) Externally excited torroidal plasma source
US11114284B2 (en) Plasma reactor with electrode array in ceiling
JP4246477B2 (ja) 解離及びイオン化の空間的制御のためのプラズマ処理装置
JP5204941B2 (ja) 外部から励磁されるトロイダルプラズマチャンバ
JPH0661219A (ja) 多ゾーン・プラズマ処理方法
WO2006135909A1 (en) Confined plasma with adjustable electrode area ratio
JPH11135438A (ja) 半導体プラズマ処理装置
US20070017897A1 (en) Multi-frequency plasma enhanced process chamber having a toroidal plasma source
JP3243125B2 (ja) 処理装置
KR101139821B1 (ko) 분배 효율이 향상된 가스분사노즐 및 이를 구비한 플라즈마 반응기
KR100493684B1 (ko) 고밀도플라즈마화학기상증착장치및그방법
US20030047138A1 (en) Spiral gas flow plasma reactor
JP2001345312A (ja) プラズマ処理装置及びプラズマ処理方法並びに構造体の製造方法
KR101139829B1 (ko) 다중 가스공급장치 및 이를 구비한 플라즈마 처리장치
KR20050049169A (ko) 유도 결합형 플라즈마 발생 장치와 그 유도전기장 발생을위한 안테나 코일 구조
KR100603286B1 (ko) 다중심축을 가지는 안테나와, 이를 채용한 유도 결합형플라즈마 발생 장치
KR20230106181A (ko) 용량 결합 플라즈마를 사용한 실리콘 산화물 갭 충전
WO2023192582A1 (en) Plasma showerhead with improved uniformity
WO1996025834A1 (fr) Appareil de traitement du plasma

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130227

Year of fee payment: 15

FPAY Annual fee payment

Payment date: 20140227

Year of fee payment: 16

EXPY Expiration of term