CN103515305B - 3d ic堆叠器件及制造方法 - Google Patents

3d ic堆叠器件及制造方法 Download PDF

Info

Publication number
CN103515305B
CN103515305B CN201210558608.7A CN201210558608A CN103515305B CN 103515305 B CN103515305 B CN 103515305B CN 201210558608 A CN201210558608 A CN 201210558608A CN 103515305 B CN103515305 B CN 103515305B
Authority
CN
China
Prior art keywords
semiconductor element
substrate
sealant
semiconductor
vias
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201210558608.7A
Other languages
English (en)
Other versions
CN103515305A (zh
Inventor
林俊成
余振华
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN103515305A publication Critical patent/CN103515305A/zh
Application granted granted Critical
Publication of CN103515305B publication Critical patent/CN103515305B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0652Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next and on each other, i.e. mixed assemblies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/561Batch processing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/12Mountings, e.g. non-detachable insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/563Encapsulation of active face of flip-chip device, e.g. underfilling or underencapsulation of flip-chip, encapsulation preform on chip or mounting substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3157Partial encapsulation or coating
    • H01L23/3171Partial encapsulation or coating the coating being directly applied to the semiconductor body, e.g. passivation layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49811Additional leads joined to the metallisation on the insulating substrate, e.g. pins, bumps, wires, flat leads
    • H01L23/49816Spherical bumps on the substrate for external connection, e.g. ball grid arrays [BGA]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5389Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates the chips being integrally enclosed by the interconnect and support structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/14Structure, shape, material or disposition of the bump connectors prior to the connecting process of a plurality of bump connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L24/80 - H01L24/90
    • H01L24/92Specific sequence of method steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/93Batch processes
    • H01L24/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L24/96Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being encapsulated in a common layer, e.g. neo-wafer or pseudo-wafer, said common layer being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/568Temporary substrate used as encapsulation process aid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0237Disposition of the redistribution layers
    • H01L2224/02372Disposition of the redistribution layers connecting to a via connection in the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0237Disposition of the redistribution layers
    • H01L2224/02379Fan-out arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/0401Bonding areas specifically adapted for bump connectors, e.g. under bump metallisation [UBM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/04105Bonding areas formed on an encapsulation of the semiconductor or solid-state body, e.g. bonding areas on chip-scale packages
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05569Disposition the external layer being disposed on a redistribution layer on the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05571Disposition the external layer being disposed in a recess of the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05571Disposition the external layer being disposed in a recess of the surface
    • H01L2224/05572Disposition the external layer being disposed in a recess of the surface the external layer extending out of an opening
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05655Nickel [Ni] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05663Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05666Titanium [Ti] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/11001Involving a temporary auxiliary member not forming part of the manufacturing apparatus, e.g. removable or sacrificial coating, film or substrate
    • H01L2224/11002Involving a temporary auxiliary member not forming part of the manufacturing apparatus, e.g. removable or sacrificial coating, film or substrate for supporting the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/113Manufacturing methods by local deposition of the material of the bump connector
    • H01L2224/1131Manufacturing methods by local deposition of the material of the bump connector in liquid form
    • H01L2224/1132Screen printing, i.e. using a stencil
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/113Manufacturing methods by local deposition of the material of the bump connector
    • H01L2224/1133Manufacturing methods by local deposition of the material of the bump connector in solid form
    • H01L2224/11334Manufacturing methods by local deposition of the material of the bump connector in solid form using preformed bumps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/113Manufacturing methods by local deposition of the material of the bump connector
    • H01L2224/1133Manufacturing methods by local deposition of the material of the bump connector in solid form
    • H01L2224/1134Stud bumping, i.e. using a wire-bonding apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/114Manufacturing methods by blanket deposition of the material of the bump connector
    • H01L2224/11444Manufacturing methods by blanket deposition of the material of the bump connector in gaseous form
    • H01L2224/1145Physical vapour deposition [PVD], e.g. evaporation, or sputtering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/114Manufacturing methods by blanket deposition of the material of the bump connector
    • H01L2224/1146Plating
    • H01L2224/11462Electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/118Post-treatment of the bump connector
    • H01L2224/11848Thermal treatments, e.g. annealing, controlled cooling
    • H01L2224/11849Reflowing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/12105Bump connectors formed on an encapsulation of the semiconductor or solid-state body, e.g. bumps on chip-scale packages
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/1302Disposition
    • H01L2224/13023Disposition the whole bump connector protruding from the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/1302Disposition
    • H01L2224/13024Disposition the bump connector being disposed on a redistribution layer on the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13075Plural core members
    • H01L2224/1308Plural core members being stacked
    • H01L2224/13082Two-layer arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13147Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/14Structure, shape, material or disposition of the bump connectors prior to the connecting process of a plurality of bump connectors
    • H01L2224/141Disposition
    • H01L2224/1412Layout
    • H01L2224/1413Square or rectangular array
    • H01L2224/14134Square or rectangular array covering only portions of the surface to be connected
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/14Structure, shape, material or disposition of the bump connectors prior to the connecting process of a plurality of bump connectors
    • H01L2224/141Disposition
    • H01L2224/1412Layout
    • H01L2224/1414Circular array, i.e. array with radial symmetry
    • H01L2224/14143Circular array, i.e. array with radial symmetry with a staggered arrangement, e.g. depopulated array
    • H01L2224/14144Circular array, i.e. array with radial symmetry with a staggered arrangement, e.g. depopulated array covering only portions of the surface to be connected
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/14Structure, shape, material or disposition of the bump connectors prior to the connecting process of a plurality of bump connectors
    • H01L2224/141Disposition
    • H01L2224/1412Layout
    • H01L2224/1415Mirror array, i.e. array having only a reflection symmetry, i.e. bilateral symmetry
    • H01L2224/14154Mirror array, i.e. array having only a reflection symmetry, i.e. bilateral symmetry covering only portions of the surface to be connected
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/14Structure, shape, material or disposition of the bump connectors prior to the connecting process of a plurality of bump connectors
    • H01L2224/141Disposition
    • H01L2224/1412Layout
    • H01L2224/1416Random layout, i.e. layout with no symmetry
    • H01L2224/14164Random layout, i.e. layout with no symmetry covering only portions of the surface to be connected
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/14Structure, shape, material or disposition of the bump connectors prior to the connecting process of a plurality of bump connectors
    • H01L2224/141Disposition
    • H01L2224/1418Disposition being disposed on at least two different sides of the body, e.g. dual array
    • H01L2224/14181On opposite sides of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/17Structure, shape, material or disposition of the bump connectors after the connecting process of a plurality of bump connectors
    • H01L2224/171Disposition
    • H01L2224/1718Disposition being disposed on at least two different sides of the body, e.g. dual array
    • H01L2224/17181On opposite sides of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • H01L2224/29001Core members of the layer connector
    • H01L2224/29099Material
    • H01L2224/2919Material with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73201Location after the connecting process on the same surface
    • H01L2224/73203Bump and layer connectors
    • H01L2224/73204Bump and layer connectors the bump connector being embedded into the layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/81001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector involving a temporary auxiliary member not forming part of the bonding apparatus
    • H01L2224/81005Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector involving a temporary auxiliary member not forming part of the bonding apparatus being a temporary or sacrificial substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/8119Arrangement of the bump connectors prior to mounting
    • H01L2224/81191Arrangement of the bump connectors prior to mounting wherein the bump connectors are disposed only on the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/818Bonding techniques
    • H01L2224/81801Soldering or alloying
    • H01L2224/81815Reflow soldering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/818Bonding techniques
    • H01L2224/81894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/81895Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically conductive surfaces, e.g. copper-copper direct bonding, surface activated bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/831Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector the layer connector being supplied to the parts to be connected in the bonding apparatus
    • H01L2224/83104Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector the layer connector being supplied to the parts to be connected in the bonding apparatus by applying pressure, e.g. by injection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
    • H01L2224/92Specific sequence of method steps
    • H01L2224/9202Forming additional connectors after the connecting process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L2224/96Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being encapsulated in a common layer, e.g. neo-wafer or pseudo-wafer, said common layer being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L2224/97Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being connected to a common substrate, e.g. interposer, said common substrate being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06513Bump or bump-like direct electrical connections between devices, e.g. flip-chip connection, solder bumps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06517Bump or bump-like direct electrical connections from device to substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06524Electrical connections formed on device or on substrate, e.g. a deposited or grown layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06527Special adaptation of electrical connections, e.g. rewiring, engineering changes, pressure contacts, layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06541Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06555Geometry of the stack, e.g. form of the devices, geometry to facilitate stacking
    • H01L2225/06562Geometry of the stack, e.g. form of the devices, geometry to facilitate stacking at least one device in the stack being rotated or offset
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06555Geometry of the stack, e.g. form of the devices, geometry to facilitate stacking
    • H01L2225/06568Geometry of the stack, e.g. form of the devices, geometry to facilitate stacking the devices decreasing in size, e.g. pyramidical stack
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3135Double encapsulation or coating and encapsulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/03Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/11Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/156Material
    • H01L2924/15786Material with a principal constituent of the material being a non metallic, non metalloid inorganic material
    • H01L2924/15787Ceramics, e.g. crystalline carbides, nitrides or oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/181Encapsulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/181Encapsulation
    • H01L2924/1815Shape
    • H01L2924/1816Exposing the passive side of the semiconductor or solid-state body
    • H01L2924/18162Exposing the passive side of the semiconductor or solid-state body of a chip with build-up interconnect
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/35Mechanical effects
    • H01L2924/351Thermal stress

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本发明提供了3D IC堆叠半导体器件及制造方法。在实施例中,两个或多个半导体管芯连接至载体并被封装。露出两个或更多半导体管芯的连接件,并且减薄两个或更多半导体管芯以在相对侧上形成连接件。然后,可以以偏离或悬突位置放置附加半导体管芯。

Description

3D IC堆叠器件及制造方法
本申请要求于2012年6月27日提交的标题为“3D IC Stacking Device andMethod of Manufacture”的美国临时专利申请第61/665,123号的优先权,其内容结合于此作为参考。
技术领域
本发明总的来说涉及半导体领域,更具体地,涉及3D IC堆叠器件及制造方法。
背景技术
自从发明了集成电路(IC),半导体行业就由于各种电子元件(即,晶体管、二极管、电阻器、电容器等)的集成密度的持续改进而经历了快速发展。在很大程度上,集成密度的这种改进源于最小部件尺寸的反复减小,这允许将更多的元件集成到给定面积中。
实际上,这些集成改进基本上是二维(2D)的,因为集成元件所占的体积主要在半导体晶圆的表面上。虽然光刻的巨大改进在2D IC形成中引起相当大的改进,但能够二维实现的密度存在物理限制。一个这样的限制是制造这些元件所需的最小尺寸。而且,当将更多的器件置于一个芯片中时,需要更复杂的设计。
在进一步增加电路密度的努力中,研究出了三维(3D)IC。在3D IC的典型形成工艺中,两个管芯接合到一起并且在每个管芯和衬底上的接触焊盘之间形成电连接。例如,一种尝试涉及将两个管芯接合到对方的顶部。然后,堆叠的管芯被接合至载体衬底并且导线将每个管芯上的接触焊盘电连接至载体衬底上的接触焊盘。
发明内容
根据本发明的一个方面,提供了一种形成器件的方法,包括:在第一载体晶圆上放置一个或多个底部管芯;在一个或多个底部管芯之间形成第一模塑料,以露出一个或多个底部管芯上的电接触件;将一个或多个底部管芯和第一模塑料连接至第二载体晶圆;减薄一个或多个底部管芯以露出穿过一个或多个底部管芯形成的通孔;沿着一个或多个底部管芯的背面形成针对通孔的电接触件;以及将一个或多个顶部管芯连接至一个或多个底部管芯。
优选地,第一模塑料覆盖一个或多个底部管芯的底面。
优选地,形成第一模塑料包括减薄第一模塑料露出一个或多个底部管芯上的电接触件。
优选地,该方法还包括在一个或多个底部管芯上方形成再分布层。
优选地,再分布层在第一模塑料之上延伸。
优选地,该方法还包括形成在一个或多个底部管芯上方的第二模塑料。
根据本发明的另一方面,提供了一种制造半导体器件的方法,包括:第一半导体管芯连接至载体,第一半导体管芯包括第一外部接触件;将第二半导体管芯连接至载体,第二半导体管芯包括第二外部接触件;用密封剂封装第一半导体管芯和第二半导体管芯;去除部分密封剂以露出第一外部接触件和第二外部接触件;减薄第一半导体管芯和第二半导体管芯以在第一半导体管芯中形成第一衬底通孔以及在第二半导体管芯中形成第二衬底通孔;将第三半导体管芯电连接至第一衬底通孔并将第四半导体管芯电连接至第二衬底通孔。
优选地,该方法还包括封装第三半导体管芯和第四半导体管芯。
优选地,该方法还包括:在封装第三半导体管芯和第四半导体管芯之后,在第一外部接触件上形成第三外部接触件。
优选地,该方法还包括形成与第一外部接触件电连接的再分布层。
优选地,在减薄第一半导体管芯和第二半导体管芯之前形成再分布层。
优选地,该方法还包括:在将第三半导体管芯电连接至第一衬底通孔之后,形成与再分布层电连接的第三外部接触件。
优选地,将第三半导体管芯电连接至第一衬底通孔还包括使第三半导体管芯偏离第一半导体管芯。
优选地,在将第三半导体管芯电连接至第一衬底通孔之后,第三半导体管芯悬突于第一半导体管芯上方。
根据本发明的又一方面,提供了一种半导体器件,包括:第一半导体管芯,被第一密封剂封装;至少一个衬底通孔,延伸穿过第一半导体管芯的至少一部分并且在第一半导体管芯的第一侧上露出;第一外部连接件,位于第一半导体管芯的第二侧上;以及第三半导体管芯,与至少一个衬底通孔电连接,第三半导体管芯在密封剂上方延伸。
优选地,该半导体器件还包括:第二半导体管芯,被第一密封剂封装;以及第四半导体管芯,与第二半导体管芯电连接,第四半导体管芯在第一密封剂上方延伸。
优选地,通过第二密封剂封装第三半导体管芯与第四半导体管芯。
优选地,该半导体器件还包括与第一外部连接件电连接的第一再分布层,第一再分布层在第一密封剂上方延伸。
优选地,该半导体器件还包括与至少一个衬底通孔电连接的第二再分布层,第二再分布层在第一密封剂之上延伸。
优选地,第三半导体管芯偏离第一半导体管芯。
附图说明
为了更加完整地理解本发明及其优点,现在结合附图作为参考进行以下描述,其中:
图1至图10D示出了根据实施例的连接半导体器件的制造工艺;以及
图11至图13D示出了根据实施例的连接半导体器件的制造工艺的可选实施例;
除非另有说明,否则不同图中的对应数字和符号通常代表对应的部件。各附图清楚地示出实施例的相关方面并且不一定按比例绘制。
具体实施方式
下面详细描述本发明实施例的制造和使用。然而,应该理解,实施例提供许多可在各种具体环境中具体化的可应用的发明概念。所讨论的具体实施例仅仅是实施例的制造和使用具体方式的说明,但不限制本发明的范围。
在详细描述说明性实施例之前,大概描述实施例的各方面及其有利特征。如以下所示,本文公开的实施例提供了改进与顶部管芯外突(overhang,悬突)问题相关问题的方法和结构。例如,(衬底上芯片)上芯片(Co(CoS))会存在低产量和相对较高的成本的问题。衬底上(晶圆上芯片)((CoW)oS)技术对于外突顶部管芯是不实用的。衬底上(芯片上芯片)((CoC)oS)比((CoW)oS)的成本更高且比((CoW)oS)的产量更低。
概括来说,所示实施例提供了CoW工艺,其使得顶部管芯悬突或者顶部管芯大于底部管芯(w/TV管芯)。实施例还可以为CoWoS工艺提供解决方案并且可能跳过(skip)衬底以获得较低的组装成本。实施例可可以通过利用BGA技术进一步表现出较低的形状因数。
现在参照图1至图10B,提供第一个实施例。图1示出了具有涂覆在其上的第一粘合剂103的第一载体晶圆101。例如,第一载体晶圆101可包括玻璃、氧化硅、氧化铝等,并且可具有大于约12mil的厚度。可选地,第一载体晶圆101可包括合适的载带(carrier tape)。如果利用载带,则载带可以是公知的蓝带。
第一粘合剂103可用于将第一载体晶圆101粘合至其它器件,诸如第一半导体管芯201和第二半导体管芯203(图1未示出,但以下参照图2示出并讨论)。在实施例中,粘合剂可以是热剥离薄膜。可选地,第一粘合剂103可以是紫外线(UV)胶,当紫外线胶暴露于UV光时失去粘性。可以利用任何合适的粘合剂,并且所有这些粘合剂都完全包括在实施例的范围内。
图2示出了第一半导体管芯201(或第一底部管芯)和第二半导体管芯203(或第二底部管芯)利用第一粘合剂接合至第一载体晶圆101。第一半导体管芯201和第二半导体管芯203均可包括衬底205、衬底通孔(TSV) 开口207、有源器件209、金属化层211、接触焊盘213、第一钝化层215和第一外部连接件217。然而,虽然示出第一半导体管芯201和第二半导体管芯203具有类似的部件,但这只是说明性的而不用于限制实施例,因为第一半导体管芯201和第二半导体管芯203可具有类似的结构或不同结构以满足第一半导体管芯201和第二半导体管芯203所需的功能性能。
此外,虽然图2示出单个第一半导体管芯201和单个第二半导体管芯203,但这只是说明性的而不用于限制实施例。更确切地,单个第一半导体管芯201可代表一个或多个第一半导体管芯201,它们具有最终形成在其中的衬底通孔(TSV;以下参照图7进一步讨论)并且堆叠到一起。类似地,单个第二半导体管芯203可代表一个或多个第二半导体管芯203,它们具有最终形成在其中的TSV并且堆叠在一起。可以可选地利用任何合适数量的第一半导体管芯201和第二半导体管芯203,并且所有这种组合都完全包括在实施例的范围内。
衬底205可包括掺杂或非掺杂体硅或者绝缘体上硅(SOI)衬底的有源层并且可具有第一侧202和第二侧204。通常,SOI衬底包括半导体材料层,诸如硅、锗、硅锗、SOI、绝缘体上硅锗(SGOI)或它们的组合。可使用的其它衬底包括多层衬底、梯度衬底或复合取向衬底。
衬底通孔(TSV)开口207可形成在衬底205的第一侧202中。可以通过施加并显影合适的光刻胶(未示出)并去除露出期望深度的衬底205来形成TSV开口207。可形成TSV开口207以至少比在衬底205内和/或衬底205上形成的有源器件209更深地延伸到衬底205中,并且可延伸至比衬底205的最终期望高度更大的深度。因此,虽然该深度取决于第一半导体管芯201和第二半导体管芯203的整体设计,但是从衬底205上的有源器件209开始该深度可在约20μm和约200μm之间,诸如从衬底205上的有源器件209开始约100μm的深度。
一旦在衬底205内形成TSV开口207,就可以用衬垫(在图2中没有单独示出)来对TSV开口207加衬。例如,衬垫可以是例如由正硅酸乙酯(TEOS)或氮化硅形成的氧化物,虽然可以可选地使用任何合适的介电材料。可以使用等离子体增强化学汽相沉积(PECVD)工艺形成衬垫,虽然 可以可选地使用其它任何合适的工艺,诸如物理汽相沉积或热工艺。此外,衬垫可以形成为大约0.1μm和大约5μm之间的厚度,诸如约1μm。
一旦沿TSV开口207的侧壁和底部形成衬垫,就可以形成势垒层(也没有单独示出),并且TSV开口207的剩余部分可填充有第一导电材料219。第一导电材料219可包括铜,虽然可以可选地使用其它任何合适的材料,诸如铝、合金、掺杂多晶硅、它们的组合等。可通过将铜电镀到种子层(未示出)上,填充并过填充TSV开口207来形成第一导电材料219。一旦TSV开口207被填充,就可通过平坦化工艺(诸如化学机械抛光(CMP))去除TSV开口207外的过量衬垫、势垒层、种子层和第一导电材料219,尽管可以使用任何合适的去除工艺。
有源器件209在图2中表示为每个衬底205上的单个晶体管。然而,本领域技术人员应该理解,诸如电容器、电阻器、电感器等的各种有源器件和无源器件可用于为第一半导体管芯201和第二半导体管芯203产生设计的期望结构和功能需求。可使用任何合适的方法在衬底205的第一侧202内或第一侧202上形成有源器件209。
金属化层211形成在衬底205的第一侧202和有源器件209上方并被设计成连接各个有源器件209以形成功能电路。虽然图2示为电介质和互连件的单层,但是金属化层211还可以由交替的介电层和导电材料层形成,并且可通过任何合适的工艺(诸如沉积、镶嵌、双镶嵌等)形成。在实施例中,可以存在通过至少一个层间介电层(ILD)与衬底205隔开的四个金属化层,但金属化层211的精确数量取决于第一半导体管芯201和第二半导体管芯203的设计。
接触焊盘213可形成在金属化层211上方并与其电接触。接触焊盘213可包括铝,但是可以可选择地使用其它材料,诸如铜。可通过以下方式形成接触焊盘213:使用诸如溅射的沉积工艺形成材料层(未示出),然后通过合适的工艺(诸如光刻掩模和蚀刻)去除部分材料层来形成接触焊盘213。然而,任何其它合适的工艺可用于形成接触焊盘213。接触焊盘213可形成为具有约0.5μm和约4μm之间的厚度,诸如约1.45μm。
第一钝化层215可在金属化层211和接触垫片213之上形成在衬底205 上。第一钝化层215可由一种或多种合适的介电材料制成,诸如氧化硅、氮化硅、低k电介质(诸如掺碳氧化物)、极低k电介质(诸如多孔掺碳二氧化硅)、它们的组合等。可通过诸如化学汽相沉积(CVD)的工艺形成第一钝化层215,尽管还可以利用任何合适的工艺,并且第一钝化层215可具有约0.5μm和约5μm之间的厚度,诸如约
第二钝化层1002(为了清楚在图2中没有单独示出,但以下参照图10B以特写示图示出)可形成在第一钝化层215上方以提供进一步的保护。在实施例中,第二钝化层1002可由诸如聚酰亚胺的聚合物形成或者可以可选地由与第一钝化层215类似的材料形成(例如,氧化硅、氮化硅、低k电介质、极低k电介质、它们的组合等)。第二钝化层1002可形成为具有约2μm和约15μm之间的厚度,诸如约5μm。
可形成第一外部连接件217来为接触焊盘213和诸如印刷电路板或其它半导体管芯中外部器件(图2中未示出,例如具有倒装芯片配置)之间的接触提供导电区。第一外部连接件217还可用作诸如化学机械抛光(CMP)的平坦化工艺中的缓冲区。在实施例中,第一外部连接件217可以是导电柱,并且可以通过在第一钝化层215和第二钝化层1002上方最初形成光刻胶(未示出)而形成为约5μm至约20μm之间的厚度,诸如约10μm。可图案化光刻胶以露出第一钝化层215和第二钝化层1002的部分,导电柱将通过露出部分延伸。一旦被图案化,光刻胶就可以用作掩模以去除第一钝化层215和第二钝化层1002的期望部分,从而露出下面的接触焊盘213中将与导电柱接触的那些部分。
一旦露出接触焊盘213,第一UBM层1003(图2中没有单独示出,但以下参照图10B以特写图示出)可以形成为与接触焊盘213电接触。第一UBM层1003可包括导电材料层,诸如钛层或镍层。第一UBM层1003可包括多个子层(未示出)。本领域技术人员应理解,存在许多适合于形成第一UBM层1003的材料和层的适当配置,诸如铬/铬铜合金/铜/金的配置、钛/钛钨/铜的配置或铜/镍/金的配置。可用于第一UBM层1003的任何合适的材料或材料层完全包括在本实施例的范围内。根据期望的材料,可使用诸如溅射、蒸发或PECVD工艺的工艺制造第一UBM层1003。第一 UBM层1003可形成为具有约0.7μm和约10μm之间的厚度,诸如约5μm。
在形成第一UBM层1003之后,导电柱可形成在第一钝化层215、第二钝化层1002和光刻胶的开口内。导电柱可由诸如铜的导电材料形成,虽然也可使用其它导电材料,诸如镍、金或金属合金、它们的组合等。此外,可使用诸如电镀的工艺形成导电柱,其中,电流流经接触焊盘213期望形成导电柱的导电部分,并且接触焊盘213被浸入溶液。溶液和电流例如将铜沉积在开口内以填充和/或过填充光刻胶、第一钝化层215和第二钝化层1002的开口,从而形成导电柱。然后,可利用例如化学机械抛光(CMP)去除开口外过量的导电材料。
在形成导电柱之后,可通过诸如灰化的工艺去除光刻胶,增加光刻胶的温度直到光刻胶分解并且可被去除。在去除光刻胶之后,导电柱远离第一钝化层215和第二钝化层1002延伸约5μm至约50μm之间的距离,诸如40μm。可选地,可通过例如化学镀在导电柱之上形成势垒层(未示出),其中,势垒层可由镍、钒(V)、铬(Cr)和它们的组合形成。
然而,本领域技术人员应理解,上述形成导电柱的工艺仅仅是示例性的,并不用于将实施例限制为该确切的工艺。相反,所描述的工艺仅仅是说明性的,可以可选地利用用于形成第一外部连接件217的任何合适的工艺。例如,并且还可以使用将第一钝化层215和第二钝化层1002形成为厚度大于它们最终的厚度,在第一钝化层215和第二钝化层1002的开口中形成导电柱,然后去除第一钝化层215和第二钝化层1002的顶部以使导电柱远离第一钝化层215和第二钝化层1002延伸。所有合适的工艺完全包括在本实施例的范围之内。
可选地,可在第一外部连接件217之上形成保护层221以保护第一外部连接件217。在实施例中,保护层221可以是诸如聚合物层的保护层,虽然还可以可选地利用任何合适的材料。保护层221可形成为具有约5μm和15μm之间的厚度,诸如约8μm。
图3示出了第一模制工艺以封装第一半导体管芯201和第二半导体管芯203,同时第一半导体管芯201和第二半导体管芯203保持固定至第一载体晶圆101。在实施例中,可利用例如模制器件(未示出)封装第一半 导体管芯201和第二半导体管芯203。例如,第一半导体管芯201、第二半导体管芯203和第一载体晶圆101可置于模制设备的腔内,并且可以密封腔。密封剂301可在腔被密封之前放置在腔内或可以通过注射口注入腔中。在实施例中,密封剂301可以是模塑料树脂,诸如聚酰亚胺、PPS、PEEK、PES、耐热水晶树脂、它们的组合等。
一旦密封剂301被放置到腔中使得密封剂301封装第一半导体管芯201和第二半导体管芯203,可固化密封剂301以硬化密封剂301用于最佳保护。虽然精确的固化工艺至少部分取决于密封剂301选择的特定材料,但在模塑料被选为密封剂301的实施例中,可通过将密封剂301加热到约100℃和约130℃之间(诸如约125℃)持续约60sec到约3000sec(诸如约600sec)的工艺进行固化。此外,引发剂和/或催化剂可包括在密封剂301内以更好地控制固化工艺。
然而,本领域技术人员应理解,上述固化工艺仅仅是示例性工艺并且不用于限制本实施例。可以可选地使用其它固化工艺,诸如照射或者甚至允许密封剂301在室温下变硬。可使用其它合适的固化工艺,并且所有这种工艺完全包括在本文讨论的实施例的范围内。
图4示出了去除密封剂301以露出第一外部连接件217。在实施例中,可使用例如化学机械抛光(CMP)工艺执行去除密封剂301,其中向密封剂301施加研磨剂和蚀刻剂并进行抛光以与密封剂301反应并且研磨掉密封剂301直到露出第一外部连接件217。可选地,可以利用一个或多个蚀刻工艺以去除密封剂301并利用第一外部连接件217平坦化密封剂301。
图5示出了从第一半导体管芯201和第二半导体管芯203去除第一载体晶圆101。虽然去除第一载体晶圆101至少部分地取决于所使用的第一粘合剂103的类型,但在第一粘合剂103是热剥离膜的实施例中,第一粘合剂103可被加热到大于约200℃的温度以从第一半导体管芯201和第二半导体管芯203剥离第一载体晶圆101。可以可选地利用去除第一载体晶圆101的任何其它合适的方法,诸如当第一粘合剂103是UV胶时利用UV光照射第一粘合剂103。
图6示出了利用第二粘合剂603将第二载体晶圆601连接至密封剂301 和第一外部连接件217。在实施例中,第二载体晶圆601和第二粘合剂603可以分别与第一载体晶圆101和第一粘合剂103类似,诸如可以是玻璃载体晶圆和热剥离膜。然而,第二载体晶圆601和第二粘合剂603可以可选地与第一载体晶圆101和第一粘合剂103不同。
图7示出了去除密封剂301和衬底205的第二侧204的部分以露出TSV开口207并形成TSV 701。在实施例中,可以使用例如CMP和研磨工艺去除密封剂301和衬底205的第二侧204,以去除密封剂301和衬底205的第二侧204的部分并且还平坦化密封剂301和衬底205的第二侧204。可选地,一种或多种蚀刻工艺或其它去除工艺还可用于去除密封剂301并露出TSV开口207以形成TSV 701。
图8示出了在衬底205的第二侧204上形成第一再分布层(RDL)801和第二外部连接件803。第一RDL 801可包括由诸如铝、铜、钨、钛和它们的组合的金属形成的两个导电层。可通过化学汽相沉积沉积金属层、然后蚀刻不需要的部分留下第一RDL 801来形成第一RDL801。第一RDL 801可以在约2μm和约30μm之间,诸如约5μm。然而,其它材料和工艺(诸如公知的镶嵌工艺)可以可选地用于形成第一RDL 801。
可选地,在实施例中,第一RDL 801不仅可以形成在第一半导体管芯201和第二半导体管芯203上方,而且还可以形成在密封剂301上方。通过在密封剂301之上形成第一RDL801,第一半导体管芯201和第二半导体管芯203的扇出区可延伸到第一半导体管芯201和第二半导体管芯203的边界之外,这还允许增加输入/输出(I/O)数。
第二外部连接件803可以是接触凸块(诸如微型凸块或可控坍塌芯片连接(C4)凸块),并且可包括诸如锡的材料或诸如银或铜的其它合适的材料。在第二外部连接件803是锡焊料凸块的实施例中,第二外部连接件803可通过诸如蒸发、电镀、印刷、焊料转换、焊球放置等任何合适的方法最初形成锡层而形成为约100μm的优选厚度。一旦在结构上形成锡层,就进行回流以使材料成形为期望的凸块形状。
图9A示出了将第三半导体管芯901(或第三顶部管芯)和第四半导体管芯903(或第四顶部管芯)分别连接至第二半导体管芯203和第一半导 体管芯201。在实施例中,第三半导体管芯901和第四半导体管芯903可包含与第一半导体管芯201和第二半导体管芯203类似的有源器件、金属化层和接触焊盘(为了清楚均没有示出这些器件),尽管它们还可以包含不同结构并且可以执行与它们所连接的半导体管芯不同或互补的功能。
在实施例中,第三半导体管芯901可大于下面的第二半导体管芯203。例如,在第二半导体管芯203可具有约3mm和约14mm之间(诸如约8mm)的第一长度l1的实施例中,第三半导体管芯901可具有约1mm和约20mm之间(诸如约10mm)的第二长度l2。通过具有大于第二半导体管芯203的尺寸,第三半导体管芯901可突出到第二半导体管芯203外。然而,密封剂301和RDL 801可用于为第二半导体管芯203和第三半导体管芯901提供支持和连接。
然而,本领域技术人员应该理解,如图9A所示第二长度l2大于第一长度l1是一个实施例,该描述仅仅是说明性的而不用于限制实施例。在其它实施例中,第二长度l2可大于、小于第一长度或等于第一长度l1。第一长度l1和第二长度l2的所有规格和尺寸完全包括在实施例的范围内。
在实施例中,第三半导体管芯901和第四半导体管芯903可通过首先使第三半导体管芯901与第二半导体管芯203对齐并且使第四半导体管芯903与第一半导体管芯201对齐来接合至第二半导体管芯203和第一半导体管芯201。一旦对齐,就可执行回流焊以回流第二外部连接件803的材料并将管芯接合到一起。然而,诸如铜铜接合的任何合适的接合方法可以可选地用于接合第一半导体管芯201、第二半导体管芯203、第三半导体管芯901和第四半导体管芯903。
可选地,图9A还示出了封装第三半导体管芯901和第四半导体管芯903。在实施例中,第二模制工艺可用于封装第三半导体管芯901和第四半导体管芯903,并且第二模制工艺可与用于封装第一半导体管芯201和第二半导体管芯203的第一模制工艺类似。例如,如上面参照图3描述的,密封剂301可与第一半导体管芯201、第二半导体管芯203、第三半导体管芯901和第四半导体管芯903一同被放置到模制室中。然而,虽然第二模制工艺可以与第一模制工艺类似,但是它可以可选地使用不同的材料和不 同的工艺并且依然在实施例的范围之内。
通过封装第三半导体管芯901和第四半导体管芯903,第一RDL 801可位于两组密封剂301之间。这样的位置有助于为不直接位于第一半导体管芯201、第二半导体管芯203、第三半导体管芯901和第四半导体管芯903之间的第一RDL 801的部分提供支持。通过提供更好的保护,可以减少或消除第一RDL 801将来的退化。
此外,可选地,用于封装第三半导体管芯901和第四半导体管芯903的密封剂301可以利用第三半导体管芯901和第四半导体管芯903进行平坦化以露出第三半导体管芯901和第四半导体管芯903。在实施例中,可使用例如CMP工艺来平坦化和去除密封剂301,以与密封剂301反应并研磨掉密封剂301直到露出第三半导体管芯901和第四半导体管芯903。
此外,虽然图9A仅示出了单个第三半导体管芯901和单个第四半导体管芯903,但这仅仅用于说明而不用于限制实施例。在可选实施例中,图9A所示单个第三半导体管芯901可表示期望电连接至第二半导体管芯203的多个半导体管芯。类似地,图9A所示单个第四半导体管芯903可表示期望电连接至第一半导体管芯201的多个半导体管芯。可以可选地使用第三半导体管芯901和第四半导体管芯903的任意数量的组合,并且所有这种组合完全包括在实施例范围之内。
图9B示出了第三半导体管芯901和第四半导体管芯903的可选放置。在这个实施例中,第三半导体管芯901和第四半导体管芯903不是简单地悬于第一半导体管芯201和第二半导体管芯203之上,而是与它们对应的管芯偏离放置。在实施例中,第三半导体管芯901和第四半导体管芯903可偏离约100um和约3mm之间的第一距离d1,诸如约1.5mm。
可选地,可在第一半导体管芯201、第二半导体管芯203、第三半导体管芯901和第四半导体管芯903之间的空间中注入或以其他方式形成底部填充材料905。例如,底部填充材料905可包括分散在第一半导体管芯201、第二半导体管芯203、第三半导体管芯901和第四半导体管芯903之间的液体环氧树脂,然后其被固化变硬。底部填充材料905可用于防止在第二外部连接件803中形成裂纹,其中通常由热应力产生裂纹。
可选地,为了帮助防止在第二外部连接件803内发生裂纹,可在第一半导体管芯201、第二半导体管芯203、第三半导体管芯901和第四半导体管芯903之间形成可变形凝胶或硅橡胶。可通过在第一半导体管芯201、第二半导体管芯203、第三半导体管芯901和第四半导体管芯903之间注入或以其他方式放置凝胶或硅橡胶来形成这种凝胶或硅橡胶。可变形凝胶或硅橡胶还可在后续工艺期间提供应力缓解。
图10A示出了去除第二载体晶圆601和第二粘合剂603,以及形成针对第一外部连接件217的第三外部连接件1001。在第二粘合剂603是热剥离膜的实施例中,可通过将第二粘合剂603的温度增加至大于约200℃来去除第二粘合剂603和第二载体晶圆601,使得可容易地去除第二载体晶圆601。可选地,在第二粘合剂603是UV胶的实施例中,可用UV光照射第二粘合剂603以去除第二载体晶圆601和第二粘合剂603。
一旦去除了第二载体晶圆601和第二粘合剂603,就可以形成第三外部连接件1001来与第一外部连接件217接触。在实施例中,第三外部连接件1001可以是诸如可控坍塌芯片连接(C4)凸块或微凸块的接触凸块,并且可包括诸如锡的材料或诸如银或铜的其它合适的材料。在第三外部连接件1001是锡焊料凸块的实施例中,第三外部连接件1001可通过诸如蒸发、电镀、印刷、焊料转换、焊球放置等任何合适的方法最初形成锡层而形成为约100μm的优选厚度。一旦在结构上形成锡层,就进行回流以使材料成形为期望的凸块形状。
图10A还示出了将第一半导体管芯201和第二半导体管芯203连接至第二衬底1022。第二衬底1022可用于支持并保护第一半导体管芯201和第二半导体管芯203,同时还用于提供第一半导体管芯201和第二半导体管芯203上的第三外部连接件1001与外部器件(未示出)之间的连接。在实施例中,第二衬底1022可以是印刷电路板或者可以是形成为诸如双马来亚酰胺(BT)、FR-4等的聚合物材料的多个薄层的叠层(或层压结构)的层压衬底。然而,可以可选地利用诸如有机衬底、陶瓷衬底等任何合适的衬底,并且为第一半导体管芯201和第二半导体管芯203提供支持和连接的所有这些衬底都完全包括在实施例的范围之内。
图10B示出了图10A中被虚线1020包围的部分的特写和更详细的视图。可以看出,第一外部连接件217穿过密封剂301远离第一UBM层1003延伸。如此,密封剂301可为第一外部连接件217提供附加支持和保护,同时还为第一半导体管芯201的剩余部分提供附加支持和保护。
图10C和10D示出了可选保护层221可用于保护第一外部连接件217的实施例。图10C示出了保护层221可使用例如平坦化工艺(诸如CMP工艺)形成为与第一外部连接件217的顶面平齐的实施例。图10D示出了保护层221保护第一外部连接件217的一部分但是不延伸到第一外部连接件217的顶面的可选实施例。
通过利用本文描述的实施例,晶圆上芯片工艺或衬底上晶圆上芯片工艺允许顶部管芯(例如,第三半导体管芯901或第四半导体管芯903)悬突于底部管芯(例如,第一半导体管芯201或第二半导体管芯203)之上或具有大于底部管芯的尺寸。这些实施例还允许通过使用球栅阵列连接第一半导体管芯201、第二半导体管芯203、第三半导体管芯901和第四半导体管芯903来减小形状因数并允许较大的处理灵活性,因为第一半导体管芯201和第二半导体管芯203可在连接至另一衬底(诸如印刷电路板)之前连接至第三半导体管芯901和第四半导体管芯903。这种灵活性意味着可消除或重新排列印刷电路板的连接,从而潜在地降低组装成本。
图11示出了在第一半导体管芯201和第二半导体管芯203的第一侧202之上形成第二RDL 1101的又一实施例。在实施例中,可以在去除密封剂301以露出第一外部连接件217之后以及在去除第一载体101之前形成第二RDL 1101。可以与参照图8描述的第一RDL 801类似的方式并使用类似的材料形成第二RDL 1101。例如,第二RDL 1101可包括由金属(诸如铝、铜、钨、钛和它们的组合)形成的两个导电层,并且可使用化学汽相沉积和图案化工艺形成。然而,可以可选地使用与第一RDL 801不同的材料和不同的工艺形成第二RDL 1101。
图12示出了在去除第一载体101并且第二载体晶圆601例如利用第二粘合剂603附接至第二RDL 1101、第一半导体管芯201和第二半导体管芯203变薄以形成TSV 701、第一RDL 801和第二外部连接件803形成在第 一半导体管芯201和第二半导体管芯203的第二侧204之上以及第三半导体管芯901和第四半导体管芯903接合至第一半导体管芯201和第二半导体管芯203之后得到的结构。
图13A和图13B示出了从第二RDL 1101去除第二载体晶圆601和第二粘合剂603以及形成与第二RDL 1101连接的第五外部连接件1307,图13B示出了图13A中被虚线框1320包围的部分的特写和更详细的视图。在第二粘合剂603是热剥离膜的实施例中,可通过增加第二粘合剂603的温度直到可以去除第二载体晶圆601来去除第二晶圆601。
一旦去除了第二载体晶圆601,就可在第二RDL 1101之上形成第三钝化层1301以为第二RDL 1101提供保护。第三钝化层1301可由一种或多种合适的介电材料制成,诸如氧化硅、氮化硅、低k电介质(诸如掺碳氧化物)、极低K介质(诸如多孔掺碳二氧化硅)、它们的组合等。可通过诸如化学汽相沉积(CVD)的工艺形成第三钝化层1301,虽然可利用任何合适的工艺,并且其可具有约0.5μm和约5μm之间的厚度,诸如约
第四钝化层1303可形成在第三钝化层1301之上以提供进一步的保护。在实施中,第四钝化层1303可以由诸如聚酰亚胺的聚合物形成,或者可以可选地由与第三钝化层1301类似的材料(例如,氧化硅、氮化硅、低k介质、极低k介质、它们的组合等)形成。第四钝化层1303可形成为具有约2μm和约15μm之间的厚度,诸如约5μm。
在形成第四钝化层1303之后,可使用例如光刻掩模和蚀刻工艺图案化第三钝化层1301和第四钝化层1303以露出第二RDL 1101的部分。一旦露出第二RDL 1101,第二UBM层1305就可形成为与第二RDL 1101电接触。第二UBM层1305可包括导电材料层,诸如钛层或镍层。第二UBM层1305可包括多个子层(未示出)。本领域技术人员应理解,存在许多适合形成第二UBM层1305的合适的材料和层的配置,诸如铬/铬-铜合金/铜/金的配置、钛/钛钨/铜的配置或铜/镍/金的配置。可用于第二UBM层1305的任何合适的材料或材料层完全旨在包括在本实施例的范围内。可根据期望的材料使用诸如溅射、蒸发或PECVD工艺的工艺制造第二UBM层1305。 第二UBM层1305可形成为具有约0.7μm和约10μm的厚度,诸如约5μm。
一旦形成第二UBM层1305,第五外部连接件1307就可形成为与第二RDL 1101电连接。第五外部连接件1307可以是诸如微凸块或可控坍塌芯片连接(C4)凸块的接触凸块并且可包括诸如锡的材料或诸如银或铜的其它合适的材料。在第五外部连接件1307是锡焊料凸块的实施例中,第五外部连接件1307可以通过任何合适的方法(诸如蒸发、电镀、印刷、焊料转换、焊球放置等)最初形成锡层而形成为具有约100μm的优选厚度。一旦在结构上形成锡层,就进行回流以使材料成形为期望的凸块形状。
图13C和图13D示出了可选保护层221可用于保护第一外部连接件217的实施例。图13C示出了保护层221可使用平坦化工艺(诸如CMP工艺)形成为与第一外部连接件217的顶面平齐的实施例。图13D示出了保护层221保护第一外部连接件217的一部分但并不一直延伸至第一外部连接件217的顶面的可选实施例。
可选地,虽然在图13A和图13B中没有示出,但第三半导体管芯901和第四半导体管芯903在该实施例中也可以被封装。在实施例中,可以与封装第一半导体管芯201和第二半导体管芯203(以上参照图3讨论)类似的方式封装第三半导体管芯901和第四半导体管芯903。然而,可选的密封剂或不同的方法可用于封装第三半导体管芯901和第四半导体管芯903。
通过使用以上参照图11-图13B描述的实施例,再分布层(例如,第一RDL 801和第二RDL 1101)可形成在第一半导体管芯201和第二半导体管芯203的两侧上。这允许根据需要实现连接件的控制和放置更大的扇出和更大的灵活性,因此,允许更大效率的放置和空间。
可选地,在以上参照图1至图13D讨论的每个实施例之后,实施例可进一步包括分割工艺(未单独示出),其中包含例如第一半导体管芯201和第四半导体管芯903的第一封装件可与包含例如第二半导体管芯203和第三半导体管芯901的第二封装件分离。可通过使用例如金刚石涂层锯片切割第一封装件和第二封装件之间的划线区来执行切割工艺,虽然可以可选地使用任何合适的可选分离方法,诸如一系列的一种或多种蚀刻来分离 第一封装与第二封装。
根据实施例,提供一种形成器件的方法,该方法包括在第一载体晶圆上放置一个或多个底部管芯并在一个或多个底部管芯之间形成第一模塑料,使得露出一个或多个底部管芯上的电接触件。一个或多个底部管芯和第一模塑料连接至第二载体晶圆,并且减薄一个或多个底部管芯以露出穿过一个或多个底部管芯形成的通孔。沿着一个或多个底部管芯的背面形成针对通孔的电接触件,并且一个或多个顶部管芯连接至一个或多个底部管芯。
根据另一个实施例,提供了一种制造半导体器件的方法,包括:将第一半导体管芯连接至载体,第一半导体管芯包括第一外部接触件;并且将第二半导体管芯连接至载体,第二半导体管芯包括第二外部接触件。利用密封剂封装第一半导体管芯和第二半导体管芯,并且去除部分密封剂以露出第一外部接触件和第二外部接触件。减薄第一半导体管芯和第二半导体管芯以在第一半导体管芯中形成第一衬底通孔并且在第二半导体管芯中形成第二衬底通孔。第三半导体管芯电连接至第一衬底通孔,并且第四半导体管芯电连接至第二衬底通孔。
根据又一实施例,提供了一种半导体器件,包括通过第一密封剂封装的第一半导体管芯。至少一个衬底通孔延伸穿过第一半导体管芯的至少一部分并且在第一半导体管芯的第一侧上露出,第一外部连接件位于第一半导体管芯的第二侧上。第三半导体管芯与至少一个衬底通孔电连接,第三半导体管在密封剂之上延伸。
尽管已经详细描述了本发明及其优点,但应该理解,本文可以进行各种改变、替换和更改而不背离所附权利要求限定的实施例的精神和范围。此外,本申请的范围不旨在限于说明书中描述的工艺、机械装置、制造、物质组成、工具、方法和步骤的特定实施例。本领域技术人员很容易理解,根据本发明可以利用与本文描述的对应实施例执行基本相同功能或实现基本相同结果的目前现有或即将开发的工艺、机械装置、制造、物质组成、工具、方法或步骤。因此,所附权利要求旨在包括在这种工艺、机械装置、制造、物质组成、工具、方法、或步骤的范围内。

Claims (20)

1.一种形成器件的方法,包括:
在第一载体晶圆上放置一个或多个底部管芯;
在所述一个或多个底部管芯之间形成第一模塑料,以露出所述一个或多个底部管芯上的电接触件;
利用粘合剂将所述一个或多个底部管芯和所述第一模塑料连接至第二载体晶圆,其中,所述粘合剂与所述电接触件直接接触;
减薄所述一个或多个底部管芯以露出穿过所述一个或多个底部管芯形成的通孔;
沿着所述一个或多个底部管芯的背面形成针对所述通孔的电接触件;以及
将一个或多个顶部管芯连接至所述一个或多个底部管芯。
2.根据权利要求1所述的形成器件的方法,其中,所述第一模塑料覆盖所述一个或多个底部管芯的底面。
3.根据权利要求1所述的形成器件的方法,其中,形成所述第一模塑料包括减薄所述第一模塑料露出所述一个或多个底部管芯上的所述电接触件。
4.根据权利要求1所述的形成器件的方法,还包括在所述一个或多个底部管芯上方形成再分布层。
5.根据权利要求4所述的形成器件的方法,其中,所述再分布层在所述第一模塑料之上延伸。
6.根据权利要求1所述的形成器件的方法,还包括形成在所述一个或多个底部管芯上方的第二模塑料。
7.一种制造半导体器件的方法,所述方法包括:
将第一半导体管芯连接至载体,所述第一半导体管芯包括第一外部接触件;
将第二半导体管芯连接至所述载体,所述第二半导体管芯包括第二外部接触件;
用密封剂封装所述第一半导体管芯和所述第二半导体管芯;
去除部分所述密封剂以露出所述第一外部接触件和所述第二外部接触件;
利用粘合剂将所述第一半导体管芯和所述第二半导体管芯以及所述密封剂连接至载体晶圆,其中,所述粘合剂与所述第一外部接触件以及所述第二外部接触件直接接触;
减薄所述第一半导体管芯和所述第二半导体管芯以露出所述第一半导体管芯中的第一衬底通孔以及所述第二半导体管芯中的第二衬底通孔;
将第三半导体管芯电连接至所述第一衬底通孔并将第四半导体管芯电连接至所述第二衬底通孔。
8.根据权利要求7所述的制造半导体器件的方法,还包括封装所述第三半导体管芯和所述第四半导体管芯。
9.根据权利要求7所述的制造半导体器件的方法,还包括:在封装所述第三半导体管芯和所述第四半导体管芯之后,在所述第一外部接触件上形成第三外部接触件。
10.根据权利要求7所述的制造半导体器件的方法,还包括形成与所述第一外部接触件电连接的再分布层。
11.根据权利要求10所述的制造半导体器件的方法,其中,在减薄所述第一半导体管芯和所述第二半导体管芯之前形成所述再分布层。
12.根据权利要求10所述的制造半导体器件的方法,还包括:在将所述第三半导体管芯电连接至所述第一衬底通孔之后,形成与所述再分布层电连接的第三外部接触件。
13.根据权利要求7所述的制造半导体器件的方法,其中,将所述第三半导体管芯电连接至所述第一衬底通孔还包括使所述第三半导体管芯偏离所述第一半导体管芯。
14.根据权利要求7所述的制造半导体器件的方法,其中,在将所述第三半导体管芯电连接至所述第一衬底通孔之后,所述第三半导体管芯悬突于所述第一半导体管芯上方。
15.一种半导体器件,包括:
第一半导体管芯,被第一密封剂封装;
至少一个衬底通孔,延伸穿过所述第一半导体管芯的至少一部分并且在所述第一半导体管芯的第一侧上露出;
第一外部连接件,位于所述第一半导体管芯的第二侧上;以及
第三半导体管芯,通过位于所述第一半导体管芯的第二侧上的载体晶圆作为临时载体与所述至少一个衬底通孔电连接,所述第三半导体管芯在所述密封剂上方延伸;
其中,所述载体晶圆与所述第一半导体管芯中间设置有粘合剂,并且所述粘合剂与所述第一外部连接件直接接触。
16.根据权利要求15所述的半导体器件,还包括:
第二半导体管芯,被所述第一密封剂封装;以及
第四半导体管芯,与所述第二半导体管芯电连接,所述第四半导体管芯在所述第一密封剂上方延伸。
17.根据权利要求16所述的半导体器件,其中,通过第二密封剂封装所述第三半导体管芯与所述第四半导体管芯。
18.根据权利要求15所述的半导体器件,还包括与所述第一外部连接件电连接的第一再分布层,所述第一再分布层在所述第一密封剂上方延伸。
19.根据权利要求18所述的半导体器件,还包括与所述至少一个衬底通孔电连接的第二再分布层,所述第二再分布层在所述第一密封剂之上延伸。
20.根据权利要求15所述的半导体器件,其中,所述第三半导体管芯偏离所述第一半导体管芯。
CN201210558608.7A 2012-06-27 2012-12-20 3d ic堆叠器件及制造方法 Active CN103515305B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201261665123P 2012-06-27 2012-06-27
US61/665,123 2012-06-27
US13/619,877 2012-09-14
US13/619,877 US9443783B2 (en) 2012-06-27 2012-09-14 3DIC stacking device and method of manufacture

Publications (2)

Publication Number Publication Date
CN103515305A CN103515305A (zh) 2014-01-15
CN103515305B true CN103515305B (zh) 2017-05-24

Family

ID=49777268

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201210558608.7A Active CN103515305B (zh) 2012-06-27 2012-12-20 3d ic堆叠器件及制造方法

Country Status (4)

Country Link
US (2) US9443783B2 (zh)
KR (1) KR101515275B1 (zh)
CN (1) CN103515305B (zh)
TW (1) TWI528471B (zh)

Families Citing this family (503)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8877554B2 (en) 2013-03-15 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Packaged semiconductor devices, methods of packaging semiconductor devices, and PoP devices
US9368460B2 (en) * 2013-03-15 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Fan-out interconnect structure and method for forming same
US9087821B2 (en) 2013-07-16 2015-07-21 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid bonding with through substrate via (TSV)
US9331038B2 (en) 2013-08-29 2016-05-03 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor interconnect structure
US9406588B2 (en) 2013-11-11 2016-08-02 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package and manufacturing method thereof
CN104064551B (zh) 2014-06-05 2018-01-16 华为技术有限公司 一种芯片堆叠封装结构和电子设备
US9385110B2 (en) 2014-06-18 2016-07-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10056352B2 (en) 2014-07-11 2018-08-21 Intel IP Corporation High density chip-to-chip connection
US9831154B2 (en) 2014-07-14 2017-11-28 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacuting method of the same
US9343385B2 (en) * 2014-07-30 2016-05-17 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device comprising a chip substrate, a mold, and a buffer layer
US9431351B2 (en) 2014-10-17 2016-08-30 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package and manufacturing method of the same
US11018099B2 (en) 2014-11-26 2021-05-25 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure having a conductive bump with a plurality of bump segments
US9659863B2 (en) 2014-12-01 2017-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices, multi-die packages, and methods of manufacture thereof
DE102014119620A1 (de) 2014-12-23 2016-06-23 Infineon Technologies Ag Verfahren zum Herstellen einer Halbleiterkomponente und Halbleiterkomponente
US9502272B2 (en) 2014-12-29 2016-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Devices and methods of packaging semiconductor devices
US10319701B2 (en) 2015-01-07 2019-06-11 Taiwan Semiconductor Manufacturing Company, Ltd. Bonded 3D integrated circuit (3DIC) structure
US9601410B2 (en) 2015-01-07 2017-03-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
JP2016140003A (ja) * 2015-01-29 2016-08-04 アズビル株式会社 フィールド機器
US9633958B2 (en) 2015-01-30 2017-04-25 Taiwan Semiconductor Manufacturing Company, Ltd. Bonding pad surface damage reduction in a formation of digital pattern generator
US10163709B2 (en) 2015-02-13 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10497660B2 (en) 2015-02-26 2019-12-03 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structures, packaged semiconductor devices, and methods of packaging semiconductor devices
US9786519B2 (en) 2015-04-13 2017-10-10 Taiwan Semiconductor Manufacturing Company, Ltd. Packaged semiconductor devices and methods of packaging semiconductor devices
WO2016165074A1 (zh) 2015-04-14 2016-10-20 华为技术有限公司 一种芯片
US10340258B2 (en) 2015-04-30 2019-07-02 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structures, packaged semiconductor devices, and methods of packaging semiconductor devices
US9748212B2 (en) 2015-04-30 2017-08-29 Taiwan Semiconductor Manufacturing Company, Ltd. Shadow pad for post-passivation interconnect structures
US9969614B2 (en) 2015-05-29 2018-05-15 Taiwan Semiconductor Manufacturing Company, Ltd. MEMS packages and methods of manufacture thereof
US9520385B1 (en) 2015-06-29 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method for forming same
US10170444B2 (en) 2015-06-30 2019-01-01 Taiwan Semiconductor Manufacturing Company, Ltd. Packages for semiconductor devices, packaged semiconductor devices, and methods of packaging semiconductor devices
US20170012028A1 (en) * 2015-07-09 2017-01-12 Inotera Memories, Inc. Recoverable device for memory base product
US9536865B1 (en) 2015-07-23 2017-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnection joints having variable volumes in package structures and methods of formation thereof
US9570431B1 (en) 2015-07-28 2017-02-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor wafer for integrated packages
US9570410B1 (en) 2015-07-31 2017-02-14 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming connector pad structures, interconnect structures, and structures thereof
US9691695B2 (en) 2015-08-31 2017-06-27 Taiwan Semiconductor Manufacturing Company, Ltd. Monolithic 3D integration inter-tier vias insertion scheme and associated layout structure
US10644229B2 (en) 2015-09-18 2020-05-05 Taiwan Semiconductor Manufacturing Company, Ltd. Magnetoresistive random access memory cell and fabricating the same
US10178363B2 (en) * 2015-10-02 2019-01-08 Invensas Corporation HD color imaging using monochromatic CMOS image sensors integrated in 3D package
US9773768B2 (en) 2015-10-09 2017-09-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure of three-dimensional chip stacking
US10269682B2 (en) 2015-10-09 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Cooling devices, packaged semiconductor devices, and methods of packaging semiconductor devices
US10043761B2 (en) 2015-10-19 2018-08-07 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and manufacturing method thereof
US9659878B2 (en) 2015-10-20 2017-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer level shielding in multi-stacked fan out packages and methods of forming same
US9691723B2 (en) 2015-10-30 2017-06-27 Taiwan Semiconductor Manufacturing Company, Ltd. Connector formation methods and packaged semiconductor devices
US10163856B2 (en) 2015-10-30 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked integrated circuit structure and method of forming
US9735131B2 (en) 2015-11-10 2017-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-stack package-on-package structures
US9911623B2 (en) 2015-12-15 2018-03-06 Taiwan Semiconductor Manufacturing Company, Ltd. Via connection to a partially filled trench
US9972603B2 (en) 2015-12-29 2018-05-15 Taiwan Semiconductor Manufacturing Co., Ltd. Seal-ring structure for stacking integrated circuits
US9741694B2 (en) 2015-12-31 2017-08-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and method of manufacturing the same
US9589941B1 (en) 2016-01-15 2017-03-07 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-chip package system and methods of forming the same
US9773757B2 (en) 2016-01-19 2017-09-26 Taiwan Semiconductor Manufacturing Company, Ltd. Devices, packaged semiconductor devices, and semiconductor device packaging methods
US9741669B2 (en) 2016-01-26 2017-08-22 Taiwan Semiconductor Manufacturing Company, Ltd. Forming large chips through stitching
US10050018B2 (en) 2016-02-26 2018-08-14 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC structure and methods of forming
US9842829B2 (en) 2016-04-29 2017-12-12 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package structure and method for forming the same
US10147704B2 (en) 2016-05-17 2018-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacturing thereof
US9859258B2 (en) 2016-05-17 2018-01-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US10283479B2 (en) 2016-05-20 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Package structures and methods of forming the same
US9748206B1 (en) 2016-05-26 2017-08-29 Taiwan Semiconductor Manufacturing Co., Ltd. Three-dimensional stacking structure and manufacturing method thereof
US9881903B2 (en) 2016-05-31 2018-01-30 Taiwan Semiconductor Manufacturing Company, Ltd. Package-on-package structure with epoxy flux residue
US9793246B1 (en) 2016-05-31 2017-10-17 Taiwan Semiconductor Manufacturing Co., Ltd. Pop devices and methods of forming the same
US9875982B2 (en) 2016-06-01 2018-01-23 Taiwan Semiconductor Manufacturing Company Ltd Semiconductor device and manufacturing method thereof
US10050024B2 (en) 2016-06-17 2018-08-14 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package and manufacturing method of the same
US10475769B2 (en) 2016-06-23 2019-11-12 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package and manufacturing method of the same
US10854579B2 (en) 2016-06-23 2020-12-01 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package structure
US10229901B2 (en) 2016-06-27 2019-03-12 Taiwan Semiconductor Manufacturing Company, Ltd. Immersion interconnections for semiconductor devices and methods of manufacture thereof
US10115675B2 (en) 2016-06-28 2018-10-30 Taiwan Semiconductor Manufacturing Co., Ltd. Packaged semiconductor device and method of fabricating a packaged semiconductor device
US10685911B2 (en) 2016-06-30 2020-06-16 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package and manufacturing method of the same
US9941186B2 (en) 2016-06-30 2018-04-10 Taiwan Semiconductor Manufacturing Company Ltd. Method for manufacturing semiconductor structure
US10163805B2 (en) 2016-07-01 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and method for forming the same
US9966360B2 (en) 2016-07-05 2018-05-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and manufacturing method thereof
US9893046B2 (en) 2016-07-08 2018-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Thinning process using metal-assisted chemical etching
US11469215B2 (en) 2016-07-13 2022-10-11 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package structure with molding layer and method for forming the same
US9870975B1 (en) 2016-07-14 2018-01-16 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package with thermal dissipation structure and method for forming the same
US9875972B1 (en) 2016-07-14 2018-01-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US10332841B2 (en) 2016-07-20 2019-06-25 Taiwan Semiconductor Manufacturing Company, Ltd. System on integrated chips and methods of forming the same
US10269732B2 (en) 2016-07-20 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Info package with integrated antennas or inductors
US10157885B2 (en) 2016-07-29 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure having magnetic bonding between substrates
US10720360B2 (en) 2016-07-29 2020-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor die singulation and structures formed thereby
US10120971B2 (en) 2016-08-30 2018-11-06 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated fan-out package and layout method thereof
US10535632B2 (en) 2016-09-02 2020-01-14 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package structure and method of manufacturing the same
US10049981B2 (en) 2016-09-08 2018-08-14 Taiwan Semiconductor Manufacturing Company Ltd. Through via structure, semiconductor device and manufacturing method thereof
US10290609B2 (en) 2016-10-13 2019-05-14 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and manufacturing method of the same
US10141253B2 (en) 2016-11-14 2018-11-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10529690B2 (en) 2016-11-14 2020-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Package structures and methods of forming the same
US10153222B2 (en) 2016-11-14 2018-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. Package structures and methods of forming the same
US11527454B2 (en) 2016-11-14 2022-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Package structures and methods of forming the same
US10170429B2 (en) 2016-11-28 2019-01-01 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming package structure including intermetallic compound
US10825780B2 (en) 2016-11-29 2020-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with electromagnetic interference protection and method of manufacture
US10153320B2 (en) 2016-11-29 2018-12-11 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and method of forming the same
US10290590B2 (en) 2016-11-29 2019-05-14 Taiwan Semiconductor Manufacturing Company Ltd. Stacked semiconductor device and method of manufacturing the same
US10153218B2 (en) 2016-11-29 2018-12-11 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
US10269637B2 (en) 2016-12-02 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and fabricating method thereof
US10163750B2 (en) 2016-12-05 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure for heat dissipation
CN106684066B (zh) 2016-12-30 2020-03-10 华为技术有限公司 一种封装芯片及基于封装芯片的信号传输方法
US10535597B2 (en) * 2017-01-13 2020-01-14 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
US10741537B2 (en) 2017-01-18 2020-08-11 Taiwan Semiconductor Manufacturing Coompany Ltd. Semiconductor structure and manufacturing method thereof
US10629545B2 (en) 2017-03-09 2020-04-21 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device
US10790240B2 (en) 2017-03-17 2020-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. Metal line design for hybrid-bonding application
US10854568B2 (en) 2017-04-07 2020-12-01 Taiwan Semiconductor Manufacturing Company, Ltd. Packages with Si-substrate-free interposer and method forming same
US11304290B2 (en) 2017-04-07 2022-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structures and methods
DE102017123449B4 (de) 2017-04-10 2023-12-28 Taiwan Semiconductor Manufacturing Co. Ltd. Gehäuse mit Si-substratfreiem Zwischenstück und Ausbildungsverfahren
US10522449B2 (en) 2017-04-10 2019-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Packages with Si-substrate-free interposer and method forming same
US10014218B1 (en) 2017-04-20 2018-07-03 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor device structure with bumps
US9929128B1 (en) 2017-04-20 2018-03-27 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package structure with adhesive layer
US10319690B2 (en) 2017-04-28 2019-06-11 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
US10163627B2 (en) 2017-05-18 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method for fabricating the same
US10468345B2 (en) 2017-05-19 2019-11-05 Taiwan Semiconductor Manufacturing Company Ltd. 3D IC decoupling capacitor structure and method for manufacturing the same
US10879194B2 (en) 2017-05-25 2020-12-29 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device package and method of manufacturing the same
US10290584B2 (en) 2017-05-31 2019-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. Conductive vias in semiconductor packages and methods of forming same
US10510722B2 (en) 2017-06-20 2019-12-17 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and method for manufacturing the same
US10304800B2 (en) 2017-06-23 2019-05-28 Taiwan Semiconductor Manufacturing Company Ltd. Packaging with substrates connected by conductive bumps
US10535680B2 (en) 2017-06-29 2020-01-14 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit structure and method with hybrid orientation for FinFET
DE102018106434B4 (de) 2017-06-30 2023-05-25 Taiwan Semiconductor Manufacturing Company, Ltd. Halbleiter-Bauelement und Verfahren zu dessen Herstellung
US10483187B2 (en) 2017-06-30 2019-11-19 Taiwan Semiconductor Manufacturing Company, Ltd. Heat spreading device and method
US10283428B2 (en) 2017-06-30 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and method manufacturing the same
US11121050B2 (en) 2017-06-30 2021-09-14 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacture of a semiconductor device
US10727198B2 (en) 2017-06-30 2020-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and method manufacturing the same
US10276528B2 (en) 2017-07-18 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semicondcutor device and manufacturing method thereof
US10535591B2 (en) 2017-08-10 2020-01-14 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and method of manufacturing the same
US10074618B1 (en) 2017-08-14 2018-09-11 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
US10510691B2 (en) * 2017-08-14 2019-12-17 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
US10461022B2 (en) 2017-08-21 2019-10-29 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package structure and manufacturing method thereof
US10510718B2 (en) 2017-08-28 2019-12-17 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
US10340242B2 (en) 2017-08-28 2019-07-02 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and method of manufacturing the same
US10665521B2 (en) 2017-08-29 2020-05-26 Taiwan Semiconductor Manufacturing Co., Ltd. Planar passivation layers
US10290610B2 (en) 2017-08-29 2019-05-14 Taiwan Semiconductor Manufacturing Co., Ltd. PoP device and method of forming the same
US10510603B2 (en) 2017-08-31 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Conductive vias in semiconductor packages and methods of forming same
US10157867B1 (en) 2017-08-31 2018-12-18 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure and method
US10461014B2 (en) 2017-08-31 2019-10-29 Taiwan Semiconductor Manufacturing Company, Ltd. Heat spreading device and method
US10515888B2 (en) 2017-09-18 2019-12-24 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and method for manufacturing the same
US10290571B2 (en) 2017-09-18 2019-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. Packages with si-substrate-free interposer and method forming same
US10468307B2 (en) 2017-09-18 2019-11-05 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
US10692826B2 (en) 2017-09-27 2020-06-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and method for forming the same
DE102018122621B4 (de) 2017-09-27 2024-03-28 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleiterstruktur und Verfahren zu deren Herstellung
DE102018107014A1 (de) 2017-09-28 2019-03-28 Taiwan Semiconductor Manufacturing Co. Ltd. Bandsperrfilterstrukturen und Verfahren zum Ausbilden und Betreiben derselben
US10483936B2 (en) 2017-09-28 2019-11-19 Taiwan Semiconductor Manufacturing Company, Ltd. Band stop filter structures and methods of forming and operating same
US10157892B1 (en) 2017-09-28 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor packages and methods of forming the same
US10497690B2 (en) 2017-09-28 2019-12-03 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package, method for forming semiconductor package, and method for forming semiconductor assembly
US11394359B2 (en) 2017-09-28 2022-07-19 Taiwan Semiconductor Manufacturing Company, Ltd. Band stop filter structure and method of forming
US11101209B2 (en) 2017-09-29 2021-08-24 Taiwan Semiconductor Manufacturing Company, Ltd. Redistribution structures in semiconductor packages and methods of forming same
US10861761B2 (en) 2017-09-29 2020-12-08 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor packaged wafer and method for forming the same
US10818624B2 (en) 2017-10-24 2020-10-27 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method for manufacturing the same
US10163825B1 (en) * 2017-10-26 2018-12-25 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
US10665560B2 (en) 2017-10-27 2020-05-26 Taiwan Semiconductor Manufacturing Company Ltd. Optical semiconductor package and method for manufacturing the same
US10276543B1 (en) 2017-10-27 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semicondcutor device package and method of forming semicondcutor device package
US10763239B2 (en) 2017-10-27 2020-09-01 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-chip wafer level packages and methods of forming the same
US11251157B2 (en) 2017-11-01 2022-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. Die stack structure with hybrid bonding structure and method of fabricating the same and package
US10879214B2 (en) 2017-11-01 2020-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Die stack structure and method of fabricating the same
US10665582B2 (en) 2017-11-01 2020-05-26 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing semiconductor package structure
US10672737B2 (en) 2017-11-05 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Three-dimensional integrated circuit structure and method of manufacturing the same
US10636715B2 (en) 2017-11-06 2020-04-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and method of fabricating the same
US10170441B1 (en) 2017-11-07 2019-01-01 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
US20190148325A1 (en) * 2017-11-10 2019-05-16 Advanced Semiconductor Engineering, Inc. Electronic device and method for manufacturing the same
DE102018111389A1 (de) 2017-11-15 2019-05-16 Taiwan Semiconductor Manufacturing Company, Ltd. Halbleitervorrichtung und Herstellungsverfahren
US10522436B2 (en) 2017-11-15 2019-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Planarization of semiconductor packages and structures resulting therefrom
US10586763B2 (en) 2017-11-15 2020-03-10 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US10679947B2 (en) 2017-11-21 2020-06-09 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package and manufacturing method thereof
US10763296B2 (en) 2017-11-22 2020-09-01 Taiwan Semiconductor Manufacturing Co., Ltd. Biometric sensor and methods thereof
US10797005B2 (en) 2017-11-27 2020-10-06 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package and method for manufacturing the same
US10910321B2 (en) 2017-11-29 2021-02-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of making the same
US10510634B2 (en) 2017-11-30 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method
US10312201B1 (en) 2017-11-30 2019-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Seal ring for hybrid-bond
US10371893B2 (en) 2017-11-30 2019-08-06 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid interconnect device and method
US10811377B2 (en) 2017-12-14 2020-10-20 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure with a barrier layer and method for forming the same
US10573573B2 (en) 2018-03-20 2020-02-25 Taiwan Semiconductor Manufacturing Co., Ltd. Package and package-on-package structure having elliptical conductive columns
US11152295B2 (en) 2018-04-13 2021-10-19 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package structure and method for manufacturing the same
US10546845B2 (en) 2018-04-20 2020-01-28 Taiwan Semiconductor Manufacturing Co., Ltd. Package on package structure
US10483226B2 (en) 2018-04-20 2019-11-19 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of forming the same
US10672681B2 (en) 2018-04-30 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor packages
US10790254B2 (en) 2018-05-09 2020-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package structure
US10468379B1 (en) 2018-05-15 2019-11-05 Taiwan Semiconductor Manufacturing Co., Ltd. 3DIC structure and method of manufacturing the same
US10475762B1 (en) 2018-05-17 2019-11-12 Taiwan Semiconductor Manufacturing Co., Ltd. 3DIC structure and method of manufacturing the same
US10510629B2 (en) 2018-05-18 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit package and method of forming same
US10515869B1 (en) 2018-05-29 2019-12-24 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package structure having a multi-thermal interface material structure
US10748831B2 (en) 2018-05-30 2020-08-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor packages having thermal through vias (TTV)
US10685937B2 (en) 2018-06-15 2020-06-16 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit package having dummy structures and method of forming same
US10867943B2 (en) 2018-06-15 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Die structure, die stack structure and method of fabricating the same
US10978373B2 (en) 2018-06-19 2021-04-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device methods of manufacture
US10879183B2 (en) 2018-06-22 2020-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US10847492B2 (en) 2018-06-25 2020-11-24 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method for the same
US10504873B1 (en) 2018-06-25 2019-12-10 Taiwan Semiconductor Manufacturing Co., Ltd. 3DIC structure with protective structure and method of fabricating the same and package
US10504852B1 (en) 2018-06-25 2019-12-10 Taiwan Semiconductor Manufacturing Co., Ltd. Three-dimensional integrated circuit structures
US11728334B2 (en) 2018-06-29 2023-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Three-dimensional integrated circuit structures and method of forming the same
US10672674B2 (en) 2018-06-29 2020-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming semiconductor device package having testing pads on a topmost die
US11075133B2 (en) 2018-06-29 2021-07-27 Taiwan Semiconductor Manufacturing Company, Ltd. Underfill structure for semiconductor packages and methods of forming the same
US10916488B2 (en) 2018-06-29 2021-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package having thermal conductive pattern surrounding the semiconductor die
US10867962B2 (en) 2018-06-29 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Packaging process and manufacturing method
US10854552B2 (en) * 2018-06-29 2020-12-01 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US11114433B2 (en) 2018-07-15 2021-09-07 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC structure and method of fabricating the same
US10950554B2 (en) 2018-07-16 2021-03-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages with electromagnetic interference shielding layer and methods of forming the same
US11139282B2 (en) 2018-07-26 2021-10-05 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package structure and method for manufacturing the same
US10867903B2 (en) 2018-07-27 2020-12-15 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package and method of forming the same
US11424197B2 (en) 2018-07-27 2022-08-23 Taiwan Semiconductor Manufacturing Company, Ltd. Package, package structure with redistributing circuits and antenna elements and method of manufacturing the same
US10811316B2 (en) 2018-08-13 2020-10-20 Taiwan Semiconductor Manufacturing Company Ltd. Method and system of forming integrated circuit
US11056459B2 (en) 2018-08-14 2021-07-06 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package structure and method for forming the same
US10700030B2 (en) 2018-08-14 2020-06-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package having varying conductive pad sizes
US11031344B2 (en) 2018-08-28 2021-06-08 Taiwan Semiconductor Manufacturing Company, Ltd. Package having redistribution layer structure with protective layer and method of fabricating the same
US11171090B2 (en) 2018-08-30 2021-11-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US10879161B2 (en) 2018-08-31 2020-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages having a seed layer structure protruding from an edge of metal structure
US11309294B2 (en) 2018-09-05 2022-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated fan-out packages and methods of forming the same
US10914895B2 (en) 2018-09-18 2021-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and manufacturing method thereof
US10796990B2 (en) 2018-09-19 2020-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure, package structure, and manufacturing method thereof
US10797031B2 (en) 2018-09-20 2020-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package
US10700041B2 (en) 2018-09-21 2020-06-30 Facebook Technologies, Llc Stacking of three-dimensional circuits including through-silicon-vias
US10734348B2 (en) 2018-09-21 2020-08-04 Taiwan Semiconductor Manufacturing Company, Ltd. Bonded semiconductor devices and methods of forming the same
US10504824B1 (en) 2018-09-21 2019-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit package and method
US11172142B2 (en) 2018-09-25 2021-11-09 Taiwan Semiconductor Manufacturing Co., Ltd. Image sensor for sensing LED light with reduced flickering
US11563167B2 (en) 2018-09-26 2023-01-24 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and method for an MRAM device with a multi-layer top electrode
DE102019117917B4 (de) 2018-09-27 2023-07-06 Taiwan Semiconductor Manufacturing Co., Ltd. Bondingstrukturen in halbleiter-packages und verfahren zu ihrer herstellung
US10867955B2 (en) 2018-09-27 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure having adhesive layer surrounded dam structure
US11201122B2 (en) 2018-09-27 2021-12-14 Taiwan Semiconductor Manufacturing Co., Ltd. Method of fabricating semiconductor device with reduced warpage and better trench filling performance
US11393771B2 (en) 2018-09-27 2022-07-19 Taiwan Semiconductor Manufacturing Company, Ltd. Bonding structures in semiconductor packaged device and method of forming same
US11062975B2 (en) 2018-09-27 2021-07-13 Taiwan Semiconductor Manufacturing Company, Ltd. Package structures
US10790162B2 (en) 2018-09-27 2020-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit package and method
US10867890B2 (en) 2018-09-27 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Mutli-chip package with encapsulated conductor via
US10658348B2 (en) 2018-09-27 2020-05-19 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices having a plurality of first and second conductive strips
DE102019101999B4 (de) 2018-09-28 2021-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. Halbleitervorrichtung mit mehreren polaritätsgruppen
DE102018130035B4 (de) 2018-09-28 2020-09-03 Taiwan Semiconductor Manufacturing Co., Ltd. Package und verfahren
US10861841B2 (en) 2018-09-28 2020-12-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with multiple polarity groups
US11037952B2 (en) 2018-09-28 2021-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Peripheral circuitry under array memory device and method of fabricating thereof
US10867879B2 (en) 2018-09-28 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit package and method
US11081392B2 (en) 2018-09-28 2021-08-03 Taiwan Semiconductor Manufacturing Co., Ltd. Dicing method for stacked semiconductor devices
US11164754B2 (en) 2018-09-28 2021-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Fan-out packages and methods of forming the same
US10804230B2 (en) 2018-10-17 2020-10-13 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package and method of manufacturing the same
US10656351B1 (en) 2018-10-30 2020-05-19 Taiwan Semiconductor Manufacturing Co., Ltd Package structure for optical fiber and method for forming the same
US10840197B2 (en) 2018-10-30 2020-11-17 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and manufacturing method thereof
US11031381B2 (en) 2018-10-30 2021-06-08 Taiwan Semiconductor Manufacturing Company, Ltd. Optical transceiver and manufacturing method thereof
US10796976B2 (en) 2018-10-31 2020-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of forming the same
US11164825B2 (en) 2018-10-31 2021-11-02 Taiwan Semiconductor Manufacturing Co., Ltd. CoWos interposer with selectable/programmable capacitance arrays
US11088109B2 (en) 2018-11-21 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Packages with multi-thermal interface materials and methods of fabricating the same
US20200168527A1 (en) * 2018-11-28 2020-05-28 Taiwan Semiconductor Manfacturing Co., Ltd. Soic chip architecture
US11289424B2 (en) 2018-11-29 2022-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Package and method of manufacturing the same
US11139223B2 (en) 2018-11-29 2021-10-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US11328936B2 (en) 2018-12-21 2022-05-10 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of package structure with underfill
CN109729639B (zh) * 2018-12-24 2020-11-20 奥特斯科技(重庆)有限公司 在无芯基板上包括柱体的部件承载件
US11183487B2 (en) 2018-12-26 2021-11-23 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit package and method
US11094625B2 (en) 2019-01-02 2021-08-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package with improved interposer structure
US11101214B2 (en) 2019-01-02 2021-08-24 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure with dam structure and method for forming the same
US10811390B2 (en) 2019-01-21 2020-10-20 Taiwan Semiconductor Manufacturing Company, Ltd. Die stack structure and method of fabricating the same and package
US11088110B2 (en) 2019-01-28 2021-08-10 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device, circuit board structure and manufacturing method thereof
US10818651B2 (en) 2019-01-29 2020-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure
US11121052B2 (en) 2019-01-31 2021-09-14 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated fan-out device, 3D-IC system, and method
US10867963B2 (en) 2019-03-14 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Die stack structure and method of fabricating the same
US11728278B2 (en) 2019-03-25 2023-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Board substrates, three-dimensional integrated circuit structures and methods of forming the same
US11139249B2 (en) 2019-04-01 2021-10-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of forming the same
US11152330B2 (en) 2019-04-16 2021-10-19 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package structure and method for forming the same
US11094811B2 (en) 2019-04-19 2021-08-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10923421B2 (en) 2019-04-23 2021-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of manufacturing the same
US11088086B2 (en) 2019-04-26 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package structure and method for forming the same
US10923438B2 (en) 2019-04-26 2021-02-16 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and method for forming the same
US11562982B2 (en) 2019-04-29 2023-01-24 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit packages and methods of forming the same
US11088068B2 (en) 2019-04-29 2021-08-10 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages and methods of manufacturing the same
US11024616B2 (en) 2019-05-16 2021-06-01 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of manufacturing the same
US10840190B1 (en) 2019-05-16 2020-11-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and manufacturing method thereof
US10937772B2 (en) 2019-05-29 2021-03-02 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package and method for manufacturing the same
US10886245B2 (en) 2019-05-30 2021-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure, 3DIC structure and method of fabricating the same
US10790164B1 (en) 2019-06-13 2020-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming package structure
US11380620B2 (en) 2019-06-14 2022-07-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package including cavity-mounted device
US10937736B2 (en) 2019-06-14 2021-03-02 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid integrated circuit package and method
US10998293B2 (en) 2019-06-14 2021-05-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating semiconductor structure
US11145623B2 (en) 2019-06-14 2021-10-12 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit packages and methods of forming the same
US10879138B1 (en) 2019-06-14 2020-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packaging structure including interconnection to probe pad with probe mark and method of manufacturing the same
US10867982B1 (en) 2019-06-14 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid integrated circuit package and method
US11387177B2 (en) 2019-06-17 2022-07-12 Taiwan Semiconductor Manufacturing Company Ltd. Package structure and method for forming the same
US11164848B2 (en) 2019-06-20 2021-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method manufacturing the same
US11837526B2 (en) 2019-06-24 2023-12-05 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package structure and method for manufacturing the same
US11114413B2 (en) 2019-06-27 2021-09-07 Taiwan Semiconductor Manufacturing Company, Ltd. Stacking structure, package structure and method of fabricating the same
US11056438B2 (en) 2019-06-27 2021-07-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages and method of forming the same
US11088108B2 (en) 2019-06-27 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package structure including ring-like structure and method for forming the same
US11088079B2 (en) 2019-06-27 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure having line connected via portions
US11101240B2 (en) 2019-06-28 2021-08-24 Taiwan Semiconductor Manufacturing Company, Ltd. Isolation bonding film for semiconductor packages and methods of forming the same
US11841803B2 (en) 2019-06-28 2023-12-12 Advanced Micro Devices, Inc. GPU chiplets using high bandwidth crosslinks
US10879192B1 (en) 2019-07-17 2020-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and manufacturing method thereof
US11063019B2 (en) 2019-07-17 2021-07-13 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure, chip structure and method of fabricating the same
US11239225B2 (en) 2019-07-17 2022-02-01 Taiwan Semiconductor Manufacturing Company, Ltd. Three-dimensional integrated circuit structures and methods of manufacturing the same
US11239135B2 (en) 2019-07-18 2022-02-01 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of manufacturing the same
US11049802B2 (en) 2019-07-18 2021-06-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US11587818B2 (en) 2019-07-18 2023-02-21 Taiwan Semiconductor Manufacturing Company, Ltd. Chuck design and method for wafer
US11728238B2 (en) 2019-07-29 2023-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package with heat dissipation films and manufacturing method thereof
US11569172B2 (en) 2019-08-08 2023-01-31 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and methods of manufacture
US11443981B2 (en) 2019-08-16 2022-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Bonding method of package components and bonding apparatus
US11094635B2 (en) 2019-08-22 2021-08-17 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and method for forming the same
US11018070B2 (en) 2019-08-22 2021-05-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor die, manufacturing method thereof, and semiconductor package
US11094613B2 (en) 2019-08-22 2021-08-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and manufacturing method thereof
US11062968B2 (en) 2019-08-22 2021-07-13 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and method for forming the same
US11069608B2 (en) 2019-08-22 2021-07-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and manufacturing method thereof
US11380653B2 (en) 2019-08-27 2022-07-05 Taiwan Semiconductor Manufacturing Company, Ltd. Die stack structure and manufacturing method thereof
US11164824B2 (en) 2019-08-28 2021-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of fabricating the same
US11387164B2 (en) 2019-08-28 2022-07-12 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US11227812B2 (en) 2019-08-28 2022-01-18 Taiwan Semiconductor Manufacturing Company, Ltd. Package and manufacturing method thereof
US11145633B2 (en) 2019-08-28 2021-10-12 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11257791B2 (en) 2019-08-28 2022-02-22 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked die structure and method of fabricating the same
US11309243B2 (en) 2019-08-28 2022-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Package having different metal densities in different regions and manufacturing method thereof
US11373981B2 (en) 2019-08-28 2022-06-28 Taiwan Semiconductor Manufacturing Company, Ltd. Package and manufacturing method thereof
US11532580B2 (en) 2019-08-29 2022-12-20 Taiwan Semiconductor Manufacturing Company Ltd. Interconnect structure, semiconductor structure including interconnect structure and method for forming the same
US11398444B2 (en) 2019-08-29 2022-07-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages having conductive pillars with inclined surfaces and methods of forming the same
US11393805B2 (en) 2019-08-29 2022-07-19 Taiwan Semiconductor Manufacturing Company, Ltd. 3D semiconductor packages
US11854967B2 (en) 2019-08-29 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages
US11264343B2 (en) 2019-08-30 2022-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Bond pad structure for semiconductor device and method of forming same
US11282759B2 (en) 2019-09-09 2022-03-22 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package structure having warpage control and method of forming the same
US11443993B2 (en) 2019-09-09 2022-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package structure with cavity in interposer
CN112466861A (zh) 2019-09-09 2021-03-09 台湾积体电路制造股份有限公司 封装结构及其形成方法
US11610864B2 (en) 2019-09-09 2023-03-21 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package structure and method of forming the same
US10886147B1 (en) 2019-09-16 2021-01-05 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and method for forming the same
US11063008B2 (en) 2019-09-16 2021-07-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and manufacturing method thereof
US11183482B2 (en) 2019-09-17 2021-11-23 Taiwan Semiconductor Manufacturing Company, Ltd. Shift control method in manufacture of semiconductor device
US11081447B2 (en) 2019-09-17 2021-08-03 Taiwan Semiconductor Manufacturing Co., Ltd. Graphene-assisted low-resistance interconnect structures and methods of formation thereof
US11164855B2 (en) 2019-09-17 2021-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure with a heat dissipating element and method of manufacturing the same
US11063022B2 (en) 2019-09-17 2021-07-13 Taiwan Semiconductor Manufacturing Company, Ltd. Package and manufacturing method of reconstructed wafer
US11088041B2 (en) 2019-09-17 2021-08-10 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages with shortened talking path
US11410948B2 (en) 2019-09-25 2022-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and manufacturing method thereof
US11841541B2 (en) 2019-09-26 2023-12-12 Taiwan Semiconductor Manufacturing Company, Ltd. Package assembly and manufacturing method thereof
US11289399B2 (en) 2019-09-26 2022-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and manufacturing method thereof
US20210098419A1 (en) * 2019-09-27 2021-04-01 Advanced Micro Devices, Inc. Fabricating active-bridge-coupled gpu chiplets
DE102020108481B4 (de) 2019-09-27 2023-07-06 Taiwan Semiconductor Manufacturing Company, Ltd. Halbleiter-Die-Package und Herstellungsverfahren
US11476201B2 (en) 2019-09-27 2022-10-18 Taiwan Semiconductor Manufacturing Company. Ltd. Package-on-package device
US11289398B2 (en) 2019-09-27 2022-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and manufacturing method thereof
US11282779B2 (en) 2019-09-27 2022-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and fabricating method thereof
US11450641B2 (en) 2019-09-27 2022-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating package structure
US11824040B2 (en) 2019-09-27 2023-11-21 Taiwan Semiconductor Manufacturing Company, Ltd. Package component, electronic device and manufacturing method thereof
US11507527B2 (en) 2019-09-27 2022-11-22 Advanced Micro Devices, Inc. Active bridge chiplet with integrated cache
US11355428B2 (en) 2019-09-27 2022-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package
US11503711B2 (en) 2019-09-27 2022-11-15 Taiwan Semiconductor Manufacturing Co., Ltd. Method for inserting dummy capacitor structures
US11362064B2 (en) 2019-09-28 2022-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package with shared barrier layer in redistribution and via
US10879206B1 (en) 2019-10-16 2020-12-29 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method for forming the same
US11315860B2 (en) 2019-10-17 2022-04-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing process thereof
US10847429B1 (en) 2019-10-17 2020-11-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method of detecting photoresist scum, method of forming semiconductor package and photoresist scum detection apparatus
US11107779B2 (en) 2019-10-17 2021-08-31 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11145614B2 (en) 2019-10-18 2021-10-12 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US11569156B2 (en) 2019-10-27 2023-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device, electronic device including the same, and manufacturing method thereof
US11404342B2 (en) 2019-10-29 2022-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure comprising buffer layer for reducing thermal stress and method of forming the same
US11621244B2 (en) 2019-11-15 2023-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit package and method
US11232622B2 (en) 2019-11-27 2022-01-25 Advanced Micro Devices, Inc. Data flow in a distributed graphics processing unit architecture
US11133304B2 (en) 2019-11-27 2021-09-28 Taiwan Semiconductor Manufacturing Co., Ltd. Packaging scheme involving metal-insulator-metal capacitor
US11862594B2 (en) 2019-12-18 2024-01-02 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure with solder resist underlayer for warpage control and method of manufacturing the same
US11309226B2 (en) 2019-12-18 2022-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Three-dimensional integrated circuit structures and methods of forming the same
US11302600B2 (en) 2019-12-18 2022-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US11145562B2 (en) 2019-12-19 2021-10-12 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of manufacturing the same
US11450580B2 (en) 2019-12-24 2022-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method of fabricating the same
US11450654B2 (en) 2019-12-25 2022-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of fabricating the same
US11551999B2 (en) 2019-12-25 2023-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. Memory device and manufacturing method thereof
CN113035788A (zh) 2019-12-25 2021-06-25 台湾积体电路制造股份有限公司 封装结构及其制作方法
US11545438B2 (en) 2019-12-25 2023-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages and methods of forming the same
US11664300B2 (en) 2019-12-26 2023-05-30 Taiwan Semiconductor Manufacturing Co., Ltd. Fan-out packages and methods of forming the same
US11791275B2 (en) 2019-12-27 2023-10-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacturing
US11482461B2 (en) 2019-12-31 2022-10-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and method for making the same
US11728233B2 (en) 2020-01-10 2023-08-15 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package structure with ring structure and method for forming the same
US11424219B2 (en) 2020-01-16 2022-08-23 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of fabricating the same
US11094682B2 (en) 2020-01-16 2021-08-17 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of fabricating the same
US11462418B2 (en) 2020-01-17 2022-10-04 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit package and method
US11616026B2 (en) 2020-01-17 2023-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US11239134B2 (en) 2020-01-17 2022-02-01 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of fabricating the same
US11817325B2 (en) 2020-01-17 2023-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of manufacturing a semiconductor package
US11239193B2 (en) 2020-01-17 2022-02-01 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit package and method
US11201106B2 (en) 2020-01-24 2021-12-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with conductors embedded in a substrate
US11372160B2 (en) 2020-01-31 2022-06-28 Taiwan Semiconductor Manufacturing Company, Ltd. Package, optical device, and manufacturing method of package
US11315862B2 (en) 2020-01-31 2022-04-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and manufacturing method thereof
US11417629B2 (en) 2020-02-11 2022-08-16 Taiwan Semiconductor Manufacturing Company, Ltd. Three-dimensional stacking structure and manufacturing method thereof
US11362065B2 (en) 2020-02-26 2022-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Package and manufacturing method thereof
US11557568B2 (en) 2020-02-26 2023-01-17 Taiwan Semiconductor Manufacturing Company. Ltd. Package and manufacturing method thereof
US11417539B2 (en) 2020-02-27 2022-08-16 Taiwan Semiconductor Manufacturing Co., Ltd. Bump structure and method of making the same
US11215753B2 (en) 2020-02-27 2022-01-04 Taiwan Semiconductor Manufacturing Company, Ltd. Photonic semiconductor device and method
US11495573B2 (en) 2020-03-02 2022-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and manufacturing method thereof
US11574857B2 (en) 2020-03-23 2023-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11244939B2 (en) 2020-03-26 2022-02-08 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of forming the same
US11373946B2 (en) 2020-03-26 2022-06-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11362066B2 (en) 2020-03-26 2022-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and manufacturing method thereof
US11495506B2 (en) 2020-03-30 2022-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package with separate electric and thermal paths
US11410932B2 (en) 2020-03-30 2022-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacturing the same
US11380611B2 (en) 2020-03-30 2022-07-05 Taiwan Semiconductor Manufacturing Co., Ltd. Chip-on-wafer structure with chiplet interposer
DE102020119971B4 (de) * 2020-03-30 2022-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleiterstruktur mit Chip-on-Wafer-Struktur mit Chiplet-Interposer und Verfahren zum Bilden derselben
US11315855B2 (en) 2020-04-01 2022-04-26 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure with photonic die and method
US11302683B2 (en) 2020-04-01 2022-04-12 Taiwan Semiconductor Manufacturing Co., Ltd. Optical signal processing package structure
US11347001B2 (en) 2020-04-01 2022-05-31 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method of fabricating the same
US11276670B2 (en) 2020-04-17 2022-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method of semiconductor device
DE102020121223A1 (de) 2020-04-24 2021-10-28 Taiwan Semiconductor Manufacturing Co., Ltd. Selektive Auskleidung auf Rückseitendurchkontaktierung und deren Verfahren
US11342413B2 (en) 2020-04-24 2022-05-24 Taiwan Semiconductor Manufacturing Co., Ltd. Selective liner on backside via and method thereof
US11495559B2 (en) 2020-04-27 2022-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits
US11948930B2 (en) * 2020-04-29 2024-04-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and method of manufacturing the same
US11929261B2 (en) 2020-05-01 2024-03-12 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and method of manufacturing the same
US11222859B2 (en) 2020-05-05 2022-01-11 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with bonding pad and method for forming the same
US11670692B2 (en) 2020-05-13 2023-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Gate-all-around devices having self-aligned capping between channel and backside power rail
US11609391B2 (en) 2020-05-19 2023-03-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11996409B2 (en) 2020-05-20 2024-05-28 Taiwan Semiconductor Manufacturing Co., Ltd. Stacking CMOS structure
US11664350B2 (en) 2020-05-20 2023-05-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacture
US11728254B2 (en) 2020-05-22 2023-08-15 Taiwan Semiconductor Manufacturing Co., Ltd. Giga interposer integration through chip-on-wafer-on-substrate
US11694939B2 (en) 2020-05-22 2023-07-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package, integrated optical communication system
US11404404B2 (en) 2020-05-27 2022-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure having photonic die and electronic die
US11515274B2 (en) 2020-05-28 2022-11-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11502015B2 (en) 2020-05-28 2022-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11233035B2 (en) 2020-05-28 2022-01-25 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of manufacturing the same
US11393763B2 (en) 2020-05-28 2022-07-19 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated fan-out (info) package structure and method
DE102020130962A1 (de) 2020-05-29 2021-12-02 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleitervorrichtung und herstellungsverfahren
US11894318B2 (en) 2020-05-29 2024-02-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacture
US11443987B2 (en) 2020-05-29 2022-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices with backside air gap dielectric
US11450615B2 (en) 2020-06-12 2022-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of fabricating the same
US11631736B2 (en) 2020-06-15 2023-04-18 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial source/drain feature with enlarged lower section interfacing with backside via
US11552074B2 (en) 2020-06-15 2023-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. Package structures and methods of fabricating the same
US11296065B2 (en) 2020-06-15 2022-04-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor packages and methods of forming same
US11715755B2 (en) 2020-06-15 2023-08-01 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and method for forming integrated high density MIM capacitor
US11581281B2 (en) 2020-06-26 2023-02-14 Taiwan Semiconductor Manufacturing Co., Ltd. Packaged semiconductor device and method of forming thereof
US11552054B2 (en) 2020-06-29 2023-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of manufacturing the same
US11309242B2 (en) 2020-06-29 2022-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Package component, semiconductor package and manufacturing method thereof
US11502056B2 (en) 2020-07-08 2022-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Joint structure in semiconductor package and manufacturing method thereof
US11348874B2 (en) 2020-07-08 2022-05-31 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages and forming methods thereof
US11587894B2 (en) 2020-07-09 2023-02-21 Taiwan Semiconductor Manufacturing Company, Ltd. Package and method of fabricating the same
US11335666B2 (en) 2020-07-09 2022-05-17 Taiwan Semiconductor Manufacturing Company, Ltd. Memory device and manufacturing method thereof
US11450612B2 (en) 2020-07-09 2022-09-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and methods of manufacturing the same
US11222867B1 (en) 2020-07-09 2022-01-11 Taiwan Semiconductor Manufacturing Company, Ltd. Package and manufacturing method thereof
US11233005B1 (en) 2020-07-10 2022-01-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manufacturing an anchor-shaped backside via
US11705378B2 (en) 2020-07-20 2023-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages and methods of forming the same
US11239136B1 (en) 2020-07-28 2022-02-01 Taiwan Semiconductor Manufacturing Company, Ltd. Adhesive and thermal interface material on a plurality of dies covered by a lid
US11482649B2 (en) 2020-07-29 2022-10-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method of semiconductor package
US11355454B2 (en) 2020-07-30 2022-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and manufacturing method thereof
US11990443B2 (en) 2020-08-17 2024-05-21 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor die package and method of manufacture
US11778918B2 (en) 2020-08-20 2023-10-03 Taiwan Semiconductor Manufacturing Co., Ltd. Magnetic memory cell with low-resistive electrode via and method of forming same
US11450626B2 (en) 2020-08-25 2022-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package
US11532582B2 (en) 2020-08-25 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device package and method of manufacture
US11469197B2 (en) 2020-08-26 2022-10-11 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit package and method
TWI778406B (zh) * 2020-08-26 2022-09-21 矽品精密工業股份有限公司 電子封裝件及其製法
US11482594B2 (en) 2020-08-27 2022-10-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices with backside power rail and method thereof
US11454888B2 (en) 2020-09-15 2022-09-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacture
US11309291B2 (en) 2020-09-20 2022-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Die stack structure and manufacturing method thereof
US11868047B2 (en) 2020-09-21 2024-01-09 Taiwan Semiconductor Manufacturing Co., Ltd. Polymer layer in semiconductor device and method of manufacture
US11721603B2 (en) 2020-10-15 2023-08-08 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated fan out method utilizing a filler-free insulating material
US11521905B2 (en) 2020-10-21 2022-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of manufacturing the same
US11600562B2 (en) 2020-10-21 2023-03-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages and method of manufacturing the same
US11940662B2 (en) 2020-10-27 2024-03-26 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method for forming the same
US12021069B2 (en) 2020-10-27 2024-06-25 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor die and photoelectric device integrated in same package
US11658119B2 (en) 2020-10-27 2023-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Backside signal interconnection
US11437332B2 (en) 2020-10-30 2022-09-06 Taiwan Semiconductor Manufacturing Company Ltd. Package structure and method of manufacturing the same
US11521893B2 (en) 2020-10-30 2022-12-06 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method for forming the same
US11637072B2 (en) 2020-11-06 2023-04-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and method of manufacturing the same
US11362009B2 (en) 2020-11-13 2022-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of fabricating the same
US11830746B2 (en) 2021-01-05 2023-11-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacture
US11710712B2 (en) 2021-01-05 2023-07-25 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and manufacturing method of the same
US11587887B2 (en) 2021-01-14 2023-02-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US11804468B2 (en) 2021-01-15 2023-10-31 Taiwan Semiconductor Manufacturing Company, Ltd. Manufacturing method of semiconductor package using jig
US11742322B2 (en) 2021-01-20 2023-08-29 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated fan-out package having stress release structure
US11600592B2 (en) 2021-01-21 2023-03-07 Taiwan Semiconductor Manufacturing Company, Ltd. Package
US11682602B2 (en) 2021-02-04 2023-06-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacture
US11996371B2 (en) 2021-02-12 2024-05-28 Taiwan Semiconductor Manufacturing Co., Ltd. Chiplet interposer
US11728327B2 (en) 2021-02-12 2023-08-15 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit package and method
US11756933B2 (en) 2021-02-12 2023-09-12 Taiwan Semiconductor Manufacturing Co., Ltd. Inactive structure on SoIC
US11699631B2 (en) 2021-02-24 2023-07-11 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US11791332B2 (en) 2021-02-26 2023-10-17 Taiwan Semiconductor Manufacturing Co., Ltd. Stacked semiconductor device and method
US11764127B2 (en) 2021-02-26 2023-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11817380B2 (en) 2021-02-26 2023-11-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and method of forming same
US11715723B2 (en) 2021-02-26 2023-08-01 Taiwan Semiconductor Manufacturing Co., Ltd. Wafer on wafer bonding structure
US11978715B2 (en) 2021-02-26 2024-05-07 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and formation method of chip package with protective lid
US11749643B2 (en) 2021-03-03 2023-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages and methods for forming the same
US11532596B2 (en) 2021-03-05 2022-12-20 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of forming the same
US11950432B2 (en) 2021-03-05 2024-04-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages and method of manufacturing the same
US11854987B2 (en) 2021-03-10 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages with interconnection features in a seal region and methods for forming the same
US11594460B2 (en) 2021-03-11 2023-02-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and method of fabricating the same
US11676942B2 (en) 2021-03-12 2023-06-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method of manufacturing the same
US11705343B2 (en) 2021-03-18 2023-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit package and method of forming thereof
US11756854B2 (en) 2021-03-18 2023-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of fabricating the same
US11728275B2 (en) 2021-03-18 2023-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11823887B2 (en) 2021-03-19 2023-11-21 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of fabricating the same
US11848246B2 (en) 2021-03-24 2023-12-19 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit package and method
US11830796B2 (en) 2021-03-25 2023-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. Circuit substrate, package structure and method of manufacturing the same
US11756924B2 (en) 2021-03-25 2023-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a semiconductor chip having strength adjustment pattern in bonding layer
US11487060B2 (en) 2021-03-25 2022-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with nanostructures aligned with grating coupler and manufacturing method thereof
US11915991B2 (en) 2021-03-26 2024-02-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having first heat spreader and second heat spreader and manufacturing method thereof
US11798897B2 (en) 2021-03-26 2023-10-24 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and methods of manufacturing the same
US11990351B2 (en) 2021-03-26 2024-05-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11854944B2 (en) * 2021-03-26 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages and methods for forming the same
US11823991B2 (en) 2021-03-26 2023-11-21 Taiwan Semiconductor Manufacturing Company, Ltd. Frames stacked on substrate encircling devices and manufacturing method thereof
US11842946B2 (en) 2021-03-26 2023-12-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package having an encapsulant comprising conductive fillers and method of manufacture
US11705384B2 (en) 2021-03-31 2023-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Through vias of semiconductor structure and method of forming thereof
US11784228B2 (en) 2021-04-09 2023-10-10 Taiwan Semiconductor Manufacturing Company, Ltd. Process and structure for source/drain contacts
US11756920B2 (en) 2021-04-09 2023-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and manufacturing method thereof
US11848372B2 (en) 2021-04-21 2023-12-19 Taiwan Semiconductor Manufacturing Co., Ltd. Method and structure for reducing source/drain contact resistance at wafer backside
US11676943B2 (en) 2021-04-23 2023-06-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and manufacturing method thereof
US11764171B2 (en) 2021-04-27 2023-09-19 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit structure and method
US11742323B2 (en) 2021-04-27 2023-08-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method of forming the same
US12009316B2 (en) 2021-04-29 2024-06-11 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method of manufacturing a semiconductor structure
US11764118B2 (en) 2021-04-29 2023-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and formation method of chip package with protective lid
US11804445B2 (en) 2021-04-29 2023-10-31 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming chip package structure
US11973005B2 (en) 2021-05-05 2024-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Coplanar control for film-type thermal interface
US11694941B2 (en) 2021-05-12 2023-07-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor die package with multi-lid structures and method for forming the same
US11901349B2 (en) 2021-05-13 2024-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages and methods for forming the same
US11984378B2 (en) 2021-05-13 2024-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package structure and method for forming the same
US11705381B2 (en) 2021-06-04 2023-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. High efficiency heat dissipation using thermal interface material film
US11594479B2 (en) 2021-06-18 2023-02-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and manufacturing method thereof
US11810847B2 (en) 2021-06-24 2023-11-07 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of fabricating the same
US11756801B2 (en) 2021-07-08 2023-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Stencil structure and method of fabricating package
US11715646B2 (en) 2021-07-16 2023-08-01 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method for forming the same
US11869822B2 (en) 2021-07-23 2024-01-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11823980B2 (en) 2021-07-29 2023-11-21 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and manufacturing method thereof
US11984422B2 (en) 2021-08-06 2024-05-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and method of forming same
US11929293B2 (en) 2021-08-19 2024-03-12 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package with lid structure
US11823981B2 (en) 2021-08-27 2023-11-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11784130B2 (en) 2021-08-27 2023-10-10 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and formation method of package with underfill
US11996345B2 (en) 2021-08-27 2024-05-28 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and manufacturing method thereof
US11854928B2 (en) 2021-08-27 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11978722B2 (en) 2021-08-27 2024-05-07 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and formation method of package containing chip structure with inclined sidewalls
US11942451B2 (en) 2021-08-30 2024-03-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method of forming the same
US11935760B2 (en) 2021-08-30 2024-03-19 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure having thermal dissipation structure therein and manufacturing method thereof
US11594420B1 (en) 2021-08-30 2023-02-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and manufacturing method thereof
US11901230B2 (en) 2021-08-30 2024-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11935871B2 (en) 2021-08-30 2024-03-19 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and method of fabricating the same
US11676916B2 (en) 2021-08-30 2023-06-13 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and formation method of package with warpage-control element
US11901256B2 (en) 2021-08-31 2024-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device, semiconductor package, and methods of manufacturing the same
US11676826B2 (en) 2021-08-31 2023-06-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor die package with ring structure for controlling warpage of a package substrate
US12007611B2 (en) 2022-08-26 2024-06-11 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure having grating coupler and manufacturing method thereof

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6239496B1 (en) * 1999-01-18 2001-05-29 Kabushiki Kaisha Toshiba Package having very thin semiconductor chip, multichip module assembled by the package, and method for manufacturing the same

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6323060B1 (en) 1999-05-05 2001-11-27 Dense-Pac Microsystems, Inc. Stackable flex circuit IC package and method of making same
KR100364635B1 (ko) * 2001-02-09 2002-12-16 삼성전자 주식회사 칩-레벨에 형성된 칩 선택용 패드를 포함하는 칩-레벨3차원 멀티-칩 패키지 및 그 제조 방법
TWI225279B (en) * 2002-03-11 2004-12-11 Hitachi Ltd Semiconductor device and its manufacturing method
JP2007036104A (ja) * 2005-07-29 2007-02-08 Nec Electronics Corp 半導体装置およびその製造方法
JP4828202B2 (ja) * 2005-10-20 2011-11-30 ルネサスエレクトロニクス株式会社 モジュール半導体装置
US7564124B2 (en) * 2006-08-29 2009-07-21 Fairchild Semiconductor Corporation Semiconductor die package including stacked dice and heat sink structures
JP2008140220A (ja) * 2006-12-04 2008-06-19 Nec Corp 半導体装置
US8039303B2 (en) * 2008-06-11 2011-10-18 Stats Chippac, Ltd. Method of forming stress relief layer between die and interconnect structure
US8487444B2 (en) * 2009-03-06 2013-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Three-dimensional system-in-package architecture
JP2010245383A (ja) * 2009-04-08 2010-10-28 Elpida Memory Inc 半導体装置および半導体装置の製造方法
JP5570799B2 (ja) * 2009-12-17 2014-08-13 ピーエスフォー ルクスコ エスエイアールエル 半導体装置及びその製造方法
TWI401753B (zh) * 2009-12-31 2013-07-11 Advanced Semiconductor Eng 可堆疊式封裝結構之製造方法
KR101078740B1 (ko) * 2009-12-31 2011-11-02 주식회사 하이닉스반도체 스택 패키지 및 그의 제조방법
JP2011141928A (ja) * 2010-01-07 2011-07-21 Elpida Memory Inc 半導体装置及びその制御方法
US8298863B2 (en) * 2010-04-29 2012-10-30 Texas Instruments Incorporated TCE compensation for package substrates for reduced die warpage assembly
KR20120032254A (ko) * 2010-09-28 2012-04-05 삼성전자주식회사 반도체 적층 패키지 및 이의 제조 방법
US8993377B2 (en) * 2010-09-29 2015-03-31 Stats Chippac, Ltd. Semiconductor device and method of bonding different size semiconductor die at the wafer level
US9064879B2 (en) * 2010-10-14 2015-06-23 Taiwan Semiconductor Manufacturing Company, Ltd. Packaging methods and structures using a die attach film
JP2012142536A (ja) * 2010-12-13 2012-07-26 Elpida Memory Inc 半導体装置及びその製造方法
TWI445155B (zh) * 2011-01-06 2014-07-11 Advanced Semiconductor Eng 堆疊式封裝結構及其製造方法
KR101719636B1 (ko) * 2011-01-28 2017-04-05 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US20120211886A1 (en) * 2011-02-21 2012-08-23 ISC8 Inc. Method for Fabricating a Small Footprint Chip-Scale Package and a Device Made from the Method
US8937309B2 (en) * 2011-08-08 2015-01-20 Micron Technology, Inc. Semiconductor die assemblies, semiconductor devices including same, and methods of fabrication
US20130082383A1 (en) * 2011-10-03 2013-04-04 Texas Instruments Incorporated Electronic assembly having mixed interface including tsv die
US8742591B2 (en) * 2011-12-21 2014-06-03 Stats Chippac, Ltd. Semiconductor device and method of forming insulating layer in notches around conductive TSV for stress relief
US8518796B2 (en) * 2012-01-09 2013-08-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor die connection system and method
US8686570B2 (en) * 2012-01-20 2014-04-01 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-dimensional integrated circuit structures and methods of forming the same

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6239496B1 (en) * 1999-01-18 2001-05-29 Kabushiki Kaisha Toshiba Package having very thin semiconductor chip, multichip module assembled by the package, and method for manufacturing the same

Also Published As

Publication number Publication date
KR101515275B1 (ko) 2015-04-24
KR20140001085A (ko) 2014-01-06
US10109613B2 (en) 2018-10-23
TW201401391A (zh) 2014-01-01
US20170005073A1 (en) 2017-01-05
US9443783B2 (en) 2016-09-13
US20140001645A1 (en) 2014-01-02
CN103515305A (zh) 2014-01-15
TWI528471B (zh) 2016-04-01

Similar Documents

Publication Publication Date Title
CN103515305B (zh) 3d ic堆叠器件及制造方法
US11776935B2 (en) Semiconductor device and method of manufacture
KR101746269B1 (ko) 반도체 디바이스 및 그 제조방법
CN107180795B (zh) 包括电压调节器的集成扇出封装件及其形成方法
CN106206530B (zh) 半导体器件及其制造方法
CN104752236B (zh) 用于封装应用的两步模塑研磨
US11594520B2 (en) Semiconductor package for thermal dissipation
CN105374693B (zh) 半导体封装件及其形成方法
CN103219309B (zh) 多芯片扇出型封装及其形成方法
TWI426542B (zh) 三維積層構造之半導體裝置及其製造方法
CN110137151A (zh) 半导体器件和制造方法
CN107871718A (zh) 半导体封装件及其形成方法
CN110518000A (zh) 半导体器件和制造方法
CN107808870A (zh) 半导体封装件中的再分布层及其形成方法
CN108155153A (zh) 用于散热的封装结构的制造方法
CN108074828A (zh) 封装结构及其形成方法
US10276545B1 (en) Semiconductor package and manufacturing method thereof
CN106997855A (zh) 集成电路封装件及其形成方法
CN107408541A (zh) 系统级封装扇出叠层架构以及工艺流程
CN107851615A (zh) 独立3d堆叠
CN107408547A (zh) 扇出型系统级封装件及其形成方法
CN106206529A (zh) 半导体器件和制造方法
CN108987380A (zh) 半导体封装件中的导电通孔及其形成方法
CN106549004A (zh) 具有对准标记的集成电路管芯及其形成方法
CN110112115A (zh) 集成电路封装件及其形成方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant