TW202243169A - 半導體元件以及其形成方法 - Google Patents

半導體元件以及其形成方法 Download PDF

Info

Publication number
TW202243169A
TW202243169A TW110126941A TW110126941A TW202243169A TW 202243169 A TW202243169 A TW 202243169A TW 110126941 A TW110126941 A TW 110126941A TW 110126941 A TW110126941 A TW 110126941A TW 202243169 A TW202243169 A TW 202243169A
Authority
TW
Taiwan
Prior art keywords
die
integrated circuit
dies
redistribution structure
wafer
Prior art date
Application number
TW110126941A
Other languages
English (en)
Inventor
余振華
謝政憲
王垂堂
陳頡彥
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202243169A publication Critical patent/TW202243169A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5389Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates the chips being integrally enclosed by the interconnect and support structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0652Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next and on each other, i.e. mixed assemblies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/16Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different main groups of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. forming hybrid circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • H01L21/4853Connection or disconnection of other leads to or from a metallisation, e.g. pins, wires, bumps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • H01L21/486Via connections through the substrate with or without pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/565Moulds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/568Temporary substrate used as encapsulation process aid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3114Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed the device being a chip scale package, e.g. CSP
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3121Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3121Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation
    • H01L23/3128Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation the substrate having spherical bumps for external connection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5383Multilayer substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5384Conductive vias through the substrate with or without pins, e.g. buried coaxial conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5386Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/19Manufacturing methods of high density interconnect preforms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/20Structure, shape, material or disposition of high density interconnect preforms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/18Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different subgroups of the same main group of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • H01L21/4857Multilayer substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68345Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used as a support during the manufacture of self supporting substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68359Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used as a support during manufacture of interconnect decals or build up layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68368Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used in a transfer process involving at least two transfer steps, i.e. including an intermediate handle substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68372Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used to support a device or wafer when forming electrical connections thereto
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0237Disposition of the redistribution layers
    • H01L2224/02379Fan-out arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L2224/20Structure, shape, material or disposition of high density interconnect preforms
    • H01L2224/21Structure, shape, material or disposition of high density interconnect preforms of an individual HDI interconnect
    • H01L2224/214Connecting portions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L2224/20Structure, shape, material or disposition of high density interconnect preforms
    • H01L2224/21Structure, shape, material or disposition of high density interconnect preforms of an individual HDI interconnect
    • H01L2224/215Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06513Bump or bump-like direct electrical connections between devices, e.g. flip-chip connection, solder bumps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06517Bump or bump-like direct electrical connections from device to substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06541Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06548Conductive via connections through the substrate, container, or encapsulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/12Mountings, e.g. non-detachable insulating substrates
    • H01L23/14Mountings, e.g. non-detachable insulating substrates characterised by the material or its electrical properties
    • H01L23/147Semiconductor insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49811Additional leads joined to the metallisation on the insulating substrate, e.g. pins, bumps, wires, flat leads
    • H01L23/49816Spherical bumps on the substrate for external connection, e.g. ball grid arrays [BGA]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49827Via connections through the substrates, e.g. pins going through the substrate, coaxial cables

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

一種半導體元件包括位於晶圓上的第一多個晶粒、位於所述第一多個晶粒之上的第一重佈線結構及與所述第一多個晶粒相對地位於所述第一重佈線結構上的第二多個晶粒。所述第一重佈線結構包括第一多個導電特徵。所述第一多個晶粒中的每一晶粒在所述第一重佈線結構的底側上透過多個金屬-金屬接合而接合到所述第一多個導電特徵中的相應的多個導電特徵。所述第二多個晶粒中的每一晶粒在所述第一重佈線結構的頂側上透過多個金屬-金屬接合而接合到所述第一重佈線結構中的所述第一多個導電特徵中的相應的多個導電特徵。

Description

半導體元件以及其形成方法
本公開實施例是有關於一種半導體元件及其形成方法。
隨著半導體技術持續演化,積體電路晶粒變得越來越小。此外,越來越多的功能被積體到晶粒中。因此,晶粒所需要的輸入/輸出(input/output,I/O)墊的數目增加,同時輸入/輸出墊可用的面積減小。輸入/輸出墊的密度隨著時間迅速上升,從而增加了進行晶粒封裝的難度。
在一些封裝技術中,積體電路晶粒在被封裝之前從晶圓單體化。此種封裝技術的有利特徵是可形成扇出型封裝體(fan-out package),扇出型封裝體使得晶粒上的輸入/輸出墊能夠被重佈線到更大的面積。因此晶粒的表面上的輸入/輸出墊的數目可增加。
本發明實施例提供一種半導體元件包括:第一多個晶粒,所述第一多個晶粒位於晶圓上;第一重佈線結構,位於所述第一多個晶粒之上,所述第一重佈線結構包括第一多個導電特徵,所述第一多個晶粒中的每一晶粒在所述第一重佈線結構的底側上透過多個金屬-金屬接合而接合到所述第一多個導電特徵中的相應的多個導電特徵,所述第一多個晶粒中的第一晶粒與所述第一多個晶粒中的第二晶粒相鄰,所述第一晶粒透過第一電內連線經由所述第一重佈線結構電連接到所述第二晶粒,且所述第一多個晶粒中的第三晶粒透過所述第一多個晶粒中的另一晶粒而與所述第一晶粒隔開,所述第三晶粒透過第二電內連線經由所述第一重佈線結構電連接到所述第一晶粒;以及第二多個晶粒,所述第二多個晶粒位於所述第一重佈線結構的與所述底側相對的頂側上,所述第二多個晶粒中的每一晶粒在所述頂側上透過多個金屬-金屬接合而接合到所述第一重佈線結構中的所述第一多個導電特徵中的相應的多個導電特徵。
以下揭露內容提供用於實施本發明的不同特徵的諸多不同實施例或實例。以下闡述組件及排列的具體實例以簡化本揭露。當然,該些僅為實例且不旨在進行限制。舉例而言,以下說明中將第一特徵形成於第二特徵之上或第二特徵上可包括其中第一特徵與第二特徵被形成為直接接觸的實施例,且亦可包括其中第一特徵與第二特徵之間可形成有附加特徵進而使得所述第一特徵與所述第二特徵可不直接接觸的實施例。另外,本揭露可能在各種實例中重複使用參考編號及/或字母。此種重複使用是出於簡潔及清晰的目的,而不是自身表示所論述的各種實施例及/或配置之間的關係。
此外,為易於說明,本文中可能使用例如「位於……之下(beneath)」、「位於……下方(below)」、「下部的(lower)」、「位於……上方(above)」、「上部的(upper)」及類似用語等空間相對性用語來闡述圖中所示的一個組件或特徵與另一(其他)組件或特徵的關係。所述空間相對性用語旨在除圖中所繪示的定向外亦囊括元件在使用或操作中的不同定向。裝置可具有其他定向(旋轉90度或處於其他定向),且本文中所使用的空間相對性描述語可同樣相應地進行解釋。
實施例可使用薄的內連線層為晶圓級多晶片封裝體(wafer scale multi-chip package)提供高內連線密度(interconnect density)及高靈活性佈線(flexible routing)。為獲得這些優勢,除了在傳統扇出型封裝體中使用的重佈線層(redistribution layer,RDL)之外,還可使用不含矽的中介層(interposer)。多個晶粒可在晶圓上形成大的計算系統,而非在傳統的印刷電路板(printed circuit board,PCB)之上。在晶圓級中介層(例如後端製程(back end of line,BEOL)層)上實施多個晶片(也被成為晶粒)。晶圓級後端製程層可支援在第一晶粒與相鄰的晶粒之間和/或在第一晶粒與位於距第一晶粒較大距離處(例如,位於晶圓級內連線的相對側上)的其他晶粒之間的電內連。可透過使用縫合技術(stitching technology)重疊BEOL的多個微影曝光以對BEOL的每一光罩進行積體或透過在BEOL之上使用晶圓級罩幕(wafer scale mask)來打破在形成晶圓級中介層時的光罩尺寸限制(reticle size limitation)。晶粒可接合在晶圓級中介層的一側上或兩側上以改善良率且允許實現高密度積體。此種單側或雙側總成(assembly)可提供系統應用靈活性。可使用已知良好晶粒(known good die,KGD)來提供良好的系統良率。可在晶粒與晶圓級中介層之間使用金屬-金屬接合(例如,Cu-Cu接合)來形成用於高密度訊號的多個精細節距接觸墊(fine pitch contact pad)以及形成具有低電阻的較大接觸墊以使得能夠實現較低功率,從而在高速訊號方面來說獲得更好的良率。可將多個系統模組彙聚到一個晶圓級系統中,以透過簡化系統架構來降低成本。可將具有例如邏輯、記憶體、輸入/輸出(input/output,I/O)、電源管理積體電路(power management integrated circuit,PMIC)或積體被動元件(integrated passive device,IPD)等不同功能的多個晶粒積體在晶圓級系統上,以為不同應用提供設計靈活性。可將光學連接件模組(optical connector module)或機械連接件模組(mechanical connector module)與晶圓級中介層積體在一起以實現晶圓對晶圓系統內連(wafer-to-wafer system interconnection)。
圖1示出根據一些實施例的積體電路晶粒50的剖視圖。積體電路晶粒50將在後續處理中進行封裝以形成積體電路封裝元件。積體電路晶粒50可為邏輯晶粒(例如,中央處理器(central processing unit,CPU)、圖形處理單元(graphics processing unit,GPU)、系統晶片(system-on-a-chip,SoC)、應用處理器(application processor,AP)、微控制器等)、記憶體晶粒(例如,動態隨機存取記憶體(dynamic random access memory,DRAM)晶粒、靜態隨機存取記憶體(static random access memory,SRAM)晶粒等)、電源管理晶粒(例如,電源管理積體電路(PMIC)晶粒)、射頻(radio frequency,RF)晶粒、感測器晶粒、微機電系統(micro-electro-mechanical-system,MEMS)晶粒、訊號處理晶粒(例如,數位訊號處理(digital signal processing,DSP)晶粒)、前端晶粒(例如,類比前端(analog front-end,AFE)晶粒)、應用專用晶粒(例如,應用專用積體電路(application-specific integrated circuit,ASIC)、現場可程式化閘陣列(field-programmable gate array,FPGA)等)、輸入/輸出(I/O)晶粒、積體被動元件(IPD)晶粒、類似晶粒、或它們的組合。
積體電路晶粒50可形成在晶圓中,所述晶圓可包括不同的多個元件區,這些元件區在後續步驟中被單體化以形成多個積體電路晶粒。可根據適用的製造製程對積體電路晶粒50進行處理以形成積體電路。舉例來說,積體電路晶粒50包括半導體基底52,例如經摻雜的或未經摻雜的矽、或者絕緣體上半導體(semiconductor-on-insulator,SOI)基底的主動層。半導體基底52可包含其他半導體材料,例如鍺;化合物半導體,包括碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦和/或銻化銦;合金半導體,包括SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP和/或GaInAsP;或它們的組合。還可使用例如多層基底(multi-layered substrate)或梯度基底(gradient substrate)等其他基底。半導體基底52具有有時被稱為前側的有效表面(例如,圖1中的面朝上的表面),以及有時被稱為後側的非有效表面(例如,圖1中的面朝下的表面)。可在半導體基底52的前表面處形成多個元件。所述多個元件可為主動元件(例如,電晶體、二極體等)或被動元件(例如,電容器、電阻器、電感器等)。
內連線結構54位於半導體基底52之上,且對所述多個元件進行內連以形成積體電路。內連線結構54可由例如半導體基底52上的多個介電層中的多個金屬化圖案形成。所述金屬化圖案包括形成在一個或多個低介電常數(low-k)介電層中的多個金屬線及多個通孔。內連線結構54的金屬化圖案電耦合到半導體基底52的元件。積體電路晶粒50還包括進行外部連接的多個墊(例如,銅墊或鋁墊)。所述多個墊位於積體電路晶粒50的有效側上,例如位於內連線結構54中和/或內連線結構54上。積體電路晶粒50上(例如內連線結構54的一些部分上)可具有一個或多個鈍化膜(passivation layer)。多個晶粒連接件(die connector)56(例如導電柱(例如,由例如銅等金屬形成的導電柱))實體耦合到及電耦合到內連線結構54。晶粒連接件56可透過例如鍍覆(plating)、或類似製程形成。晶粒連接件56與積體電路晶粒50的相應的積體電路進行電耦合。
可選地,可在內連線結構54的墊上設置多個焊料區(solder region)(例如,焊料球或焊料凸塊)。焊料球可用於對積體電路晶粒50執行晶片探針(chip probe,CP)測試。可對積體電路晶粒50執行CP測試以確定積體電路晶粒50是否是已知良好晶粒(KGD)。因此,只有作為已知良好晶粒的積體電路晶粒50會經歷後續處理及封裝,而未透過CP測試的晶粒不會被封裝。在測試之後,焊料區可在後續的處理步驟中被移除。
介電層58可位於(或可不位於)積體電路晶粒50的有效側上,例如鈍化膜及晶粒連接件56上。介電層58在側向上包封晶粒連接件56,且介電層58在側向上與積體電路晶粒50相接(coterminous)。在開始時,介電層58可掩埋晶粒連接件56,使得介電層58的最頂表面位於晶粒連接件56的最頂表面上方。在晶粒連接件56上設置有焊料區的一些實施例中,介電層58也可掩埋焊料區。作為另外一種選擇,焊料區可在形成介電層58之前被移除。
介電層58可為聚合物,例如聚苯並噁唑(polybenzoxazole,PBO)、聚醯亞胺(polyimide)、苯並環丁烯(benzocyclobutene,BCB)、或類似聚合物;氮化物,例如氮化矽、或類似氮化物;氧化物,例如氧化矽、磷矽酸鹽玻璃(phosphosilicate glass,PSG)、硼矽酸鹽玻璃(borosilicate glass,BSG)、摻雜硼的磷矽酸鹽玻璃(boron-doped phosphosilicate glass,BPSG)或類似氧化物;類似材料或它們的組合。介電層58可透過例如旋轉塗布(spin coating)、疊層(lamination)、化學氣相沉積(chemical vapor deposition,CVD)、或類似製程形成。在一些實施例中,晶粒連接件56在積體電路晶粒50的形成期間透過介電層58被暴露出。在一些實施例中,晶粒連接件56保持被掩埋且在對積體電路晶粒50進行封裝的後續製程期間被暴露出。透過暴露出晶粒連接件56,可移除晶粒連接件56上可能存在的任何焊料區。
在一些實施例中,積體電路晶粒50是包括多個半導體基底52的堆疊元件。舉例來說,積體電路晶粒50可為記憶體元件,例如混合記憶體立方體(hybrid memory cube,HMC)元件、高頻寬記憶體(high bandwidth memory,HBM)元件或包括多個記憶體晶粒的類似元件。在此種實施例中,積體電路晶粒50包括由多個基底穿孔(through-substrate via,TSV)進行內連的多個半導體基底52。半導體基底52中的每一半導體基底52可具有(或可不具有)內連線結構54。
圖2到圖12D示出根據一些實施例在形成將晶粒接合到晶圓級中介層的兩側的系統晶圓總成100(也被稱為晶圓級總成100)的製程期間的中間步驟的剖視圖及平面圖。系統晶圓總成100可為重構晶圓(reconstructed wafer),其中積體電路晶粒50中的一者或多者被積體到重構晶圓中。舉例來說,系統晶圓總成100可為人工智慧(artificial intelligence,AI)、機器學習(machine learning,ML)或深度學習(deep learning,DL)加速器。系統晶圓總成100的示例性系統包括AI伺服器、高性能計算(high-performance computing,HPC)系統、高功率計算裝置、雲端計算系統(cloud computing system)、邊緣計算系統、及類似系統。如上所述,系統晶圓總成100是較大的。舉例來說,系統晶圓總成100可具有超過10,000 mm2的表面積。
在圖2中,提供基底106。基底106可為例如晶圓、經摻雜的或未經摻雜的矽、或者絕緣體上矽(SOI)基底等。基底106可包含其他半導體材料,例如鍺;化合物半導體,包括碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦和/或銻化銦;合金半導體,包括SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP和/或GaInAsP;或它們的組合。還可使用例如多層基底或梯度基底等其他基底。基底106具有有時被稱為前側的有效表面(例如,圖2中的面朝上的表面)、及有時被稱為後側的非有效表面(例如,圖2中的面朝下的表面)。
在圖3中,在基底106之上形成重佈線結構112(也被稱為內連線或晶圓級中介層112)。重佈線結構112包括後端製程(BEOL)層,所述後端製程層包括多個金屬化圖案及多個介電層。金屬化圖案也可被稱為重佈線層或重佈線導線(redistribution line)。重佈線結構112被示出為具有五層金屬化圖案的實例。可在重佈線結構112中形成更多或更少的介電層及金屬化圖案。如果要形成更少的介電層及金屬化圖案,則可省略以下所論述的步驟及製程。如果要形成更多的介電層及金屬化圖案,則可重複進行以下所論述的步驟及製程。
圖3示出重佈線結構112的形成。重佈線結構112包括:介電層114、介電層118、介電層122、介電層126及介電層130;以及金屬化圖案116、金屬化圖案120、金屬化圖案124、金屬化圖案128及金屬化圖案132。在一些實施例中,介電層118、介電層122、介電層126及介電層130由相同的介電材料形成,且被形成為相同的厚度。同樣地,在一些實施例中,金屬化圖案116、金屬化圖案120、金屬化圖案124及金屬化圖案128的導電特徵由相同的導電材料形成,且被形成為相同的厚度。
作為形成重佈線結構112的實例,在基底106上沉積介電層114。在一些實施例中,介電層114是由例如PBO、聚醯亞胺、BCB、或類似材料等可使用光罩進行圖案化的感光性材料形成。在一些實施例中,可透過使用縫合技術重疊介電層114的多個微影曝光對介電層114的每個光罩進行積體來打破在晶圓級上圖案化介電層114時的光罩尺寸限制。在一些實施例中,透過使用晶圓級罩幕來圖案化介電層114,可打破在晶圓級上圖案化介電層114時的光罩尺寸限制。介電層114可透過旋轉塗布、疊層、CVD、類似製程或它們的組合來形成。接著將介電層114圖案化。所述圖案化可透過可接受的製程來進行,例如透過當介電層114是感光性材料時將介電層114暴露於光或透過使用例如非等向性蝕刻(anisotropic etch)進行蝕刻來進行。如果介電層114是感光性材料,則可在曝光之後將介電層114顯影。
接著形成金屬化圖案116。金屬化圖案116具有多個線部分(也被稱為導電線或跡線)且具有多個通孔部分(也被稱為導通孔),線部分位於介電層114的主表面上且沿介電層114的主表面延伸,通孔部分延伸穿過介電層114以與隨後形成的介電質穿孔(through dielectric via,TDV)136(參見下圖8)或與隨後貼合的具有積體電路晶粒50C的晶粒連接件56(參見下圖9)實體耦合及電耦合。作為形成金屬化圖案116的實例,在介電層114之上及在延伸穿過介電層114的多個開口中形成晶種層。在一些實施例中,晶種層為金屬層,所述金屬層可為單層或包括由不同材料形成的多個子層的複合層。在一些實施例中,晶種層包括鈦層以及位於所述鈦層之上的銅層。晶種層可使用例如物理氣相沉積(physical vapor deposition,PVD)或類似製程來形成。接著在晶種層上形成光阻並將所述光阻圖案化。光阻可透過旋轉塗布或類似製程形成且可被暴露到光以進行圖案化。光阻的圖案對應於金屬化圖案116。所述圖案化會形成穿過光阻的多個開口以暴露出晶種層。接著在光阻的開口中及在晶種層的被暴露出的部分上形成導電材料。所述導電材料可透過鍍覆(例如電鍍或無電鍍覆)或類似製程來形成。所述導電材料可包括金屬,如銅、鈦、鎢、鋁、或類似材料。所述導電材料與晶種層的下伏部分的組合形成金屬化圖案116。移除光阻及晶種層的上面未形成有導電材料的部分。光阻可透過例如使用氧電漿或類似製程等可接受的灰化製程(ashing process)或剝除製程(stripping process)來移除。一旦光阻被移除,便例如使用可接受的蝕刻製程(例如透過濕法蝕刻或乾法蝕刻)來移除晶種層的被暴露出的部分。
接著在金屬化圖案116及介電層114上沉積介電層118。介電層118可以與介電層114相似的方式以及由與介電層114相似的材料形成。接著形成金屬化圖案120。金屬化圖案120具有多個線部分且具有多個通孔部分,線部分位於介電層118的主表面上且沿介電層118的主表面延伸,通孔部分延伸穿過介電層118以與金屬化圖案116實體耦合及電耦合。金屬化圖案120可以與金屬化圖案116相似的方式以及由與金屬化圖案116相似的材料形成。
接著在金屬化圖案120及介電層118上沉積介電層122。介電層122可以與介電層114相似的方式以及由與介電層114相似的材料形成。接著形成金屬化圖案124。金屬化圖案124具有多個線部分且具有多個通孔部分,線部分位於介電層122的主表面上且沿介電層122的主表面延伸,通孔部分延伸穿過介電層122以與金屬化圖案120實體耦合及電耦合。金屬化圖案124可以與金屬化圖案116相似的方式以及由與金屬化圖案116相似的材料形成。
在金屬化圖案124及介電層122上沉積介電層126。介電層126可以與介電層114相似的方式以及由與介電層114相似的材料形成。接著形成金屬化圖案128。金屬化圖案128具有多個線部分且具有多個通孔部分,線部分位於介電層126的主表面上且沿介電層126的主表面延伸,通孔部分延伸穿過介電層126以與金屬化圖案124實體耦合及電耦合。金屬化圖案128可以與金屬化圖案116相似的方式以及由與金屬化圖案116相似的材料形成。
在金屬化圖案128及介電層126上沉積介電層130。介電層130可以與介電層114相似的方式以及由與介電層114相似的材料形成。接著形成金屬化圖案132。金屬化圖案132具有多個通孔部分,所述通孔部分延伸穿過介電層130以與金屬化圖案128實體耦合及電耦合。在一些實施例中,金屬化圖案132具有位於介電層130的主表面上且沿介電層130的主表面延伸的多個線部分。金屬化圖案132可透過與金屬化圖案116相似的方式及由與金屬化圖案116相似的材料形成。在一些實施例中,金屬化圖案132被形成為包括多個精細節距接觸墊,所述精細節距接觸墊可適用于高密度訊號。在一些實施例中,金屬化圖案132被形成為包括具有低電阻的較大的多個接觸墊以使得能夠實現較低功率,從而在高速訊號方面獲得更好的良率。
在一些實施例中,金屬化圖案116、金屬化圖案120、金屬化圖案124、金屬化圖案128及金屬化圖案132包括位於相鄰的隨後貼合的多個晶粒之間的多個電內連線(electrical interconnect)138(參見下圖4)及位於彼此隔著重佈線結構112相距較遠的隨後貼合的多個晶粒之間的多個電內連線140(參見下圖4)。在一些實施例中,電內連線140位於由至少一個其他積體電路晶粒50隔開的第一積體電路晶粒50與第二積體電路晶粒50之間。包含位於相鄰的隨後貼合的晶粒之間的電內連線138及位於彼此相距較遠的隨後貼合的晶粒之間的電內連線140的重佈線結構112(也被稱為晶圓級中介層112)可適用於實現對於不同應用的設計靈活性。
在圖4中,積體電路(IC)晶粒50A及積體電路(IC)晶粒50B(也被稱為積體電路晶粒50)貼合到重佈線結構112。可將已知良好晶粒(KGD)用於積體電路晶粒50A及積體電路晶粒50B以提供良好的系統良率。在一些實施例中,積體電路晶粒50A為第一類型的積體電路晶粒,且積體電路晶粒50B為第二類型的積體電路晶粒,例如邏輯晶粒(例如,中央處理器(CPU)、圖形處理單元(GPU)、系統晶片(SoC)、應用處理器(AP)、微控制器等)、記憶體晶粒(例如,動態隨機存取記憶體(DRAM)晶粒、靜態隨機存取記憶體(SRAM)晶粒等)、電源管理晶粒(例如,電源管理積體電路(PMIC)晶粒)、射頻(RF)晶粒、感測器晶粒、微機電系統(MEMS)晶粒、訊號處理晶粒(例如,數位訊號處理(DSP)晶粒)、前端晶粒(例如,類比前端(AFE)晶粒)、應用專用晶粒(例如,應用專用積體電路(ASIC)、現場可程式化閘陣列(FPGA)等)、輸入/輸出(I/O)晶粒、積體被動元件(IPD)晶粒、或類似晶粒。在一些實施例中,積體電路晶粒50A與積體電路晶粒50B為同一類型的晶粒或包括三種或更多種不同類型的晶粒。積體電路晶粒50A與積體電路晶粒50B可屬於同一技術節點或不同技術節點。舉例來說,積體電路晶粒50A及積體電路晶粒50B可包括在10 nm技術節點上形成的晶粒、在7 nm技術節點上形成的晶粒、類似晶粒、或它們的組合。
在一些實施例中,在積體電路晶粒50A及積體電路晶粒50B的多個晶粒連接件56與金屬化圖案128的多個通孔之間使用合適的接合方法(例如,包括Cu-Cu接合或Al-Al接合的金屬-金屬接合)將積體電路晶粒50A及積體電路晶粒50B貼合到重佈線結構112。在一些實施例中,使用混合接合(hybrid bonding)將積體電路晶粒50A及積體電路晶粒50B貼合到重佈線結構112。作為在積體電路晶粒50與重佈線結構112之間進行混合接合的實例,積體電路晶粒50的介電層58透過熔融接合(fusion bonding)將積體電路晶粒50的介電層58接合到重佈線結構112的介電層130,且透過金屬對金屬接合將晶粒連接件56接合到金屬化圖案132的通孔。所述接合包括預接合及退火。在預接合期間,施加小的壓力來將積體電路晶粒50與重佈線結構112壓靠到彼此上。可在室溫(例如,在約21℃到約25℃之間)下執行預接合,儘管也可使用更高的溫度。
在預接合之後,介電層58與介電層130接合到彼此。在隨後的退火步驟中,在例如約300℃與約400℃之間的溫度下對積體電路晶粒50及重佈線結構112進行退火,從而改善接合強度。退火可執行約1小時與2小時之間的時間段。當溫度升高時,介電層58及介電層130中的OH鍵斷開而形成強的Si-O-Si鍵,且因此積體電路晶粒50與重佈線結構112透過熔融接合(且透過凡得瓦氏力(Van Der Waals force))接合到彼此。另外,在退火期間,晶粒連接件56中的金屬(例如銅)與金屬化圖案132的通孔中的金屬(例如銅)擴散到彼此,因而也形成金屬對金屬接合。因此,在積體電路晶粒50與重佈線結構112之間所形成的接合為混合接合。然而,可使用任何合適的方法將積體電路晶粒50A及積體電路晶粒50B貼合到重佈線結構112。
在一些實施例中,相鄰的積體電路晶粒50A和/或積體電路晶粒50B透過電內連線138進行電耦合。在一些實施例中,彼此距離較遠的積體電路晶粒50A及/或積體電路晶粒50B透過電內連線140進行電耦合。
在圖5中,在各種元件上及所述各種元件周圍形成包封體110。在形成之後,包封體110包封積體電路晶粒50。包封體110可為模塑化合物、氧化物、環氧樹脂、或類似材料,且可透過壓縮模塑(compression molding)、轉移模塑(transfer molding)、或類似製程進行施加。包封體110可以液體或半液體形式進行施加且接著隨後固化。在一些實施例中,在晶圓級中介層112之上形成包封體110以掩埋或覆蓋積體電路晶粒50,且接著對包封體110執行平坦化製程以暴露出積體電路晶粒50的最頂表面。平坦化製程可例如為研磨(grinding)和/或化學機械拋光(chemical-mechanical polish,CMP)。
在圖6中,將虛擬晶圓(dummy wafer)150貼合到包封體110的頂表面及積體電路晶粒50的頂表面。虛擬晶圓150支撐系統晶圓總成100中的晶圓級中介層112及積體電路晶粒50。虛擬晶圓150可為半導體基底(例如經摻雜的或未經摻雜的矽)或為絕緣體上半導體(SOI)基底的主動層。半導體基底可包含其他半導體材料,例如鍺;化合物半導體,包括碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦、和/或銻化銦;合金半導體,包括SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP、和/或GaInAsP;或它們的組合。還可使用其他基底,例如包含例如玻璃或陶瓷材料的載體基底、或者多層基底或梯度基底。
虛擬晶圓150可透過粘合劑(例如環氧樹脂)貼合到包封體110的頂表面及積體電路晶粒50的頂表面。虛擬晶圓150也可透過接合製程(例如,晶圓接合)貼合到包封體110的頂表面及積體電路晶粒50的頂表面。作為實例,包封體110及積體電路晶粒50的半導體基底52透過介電質對介電質接合來接合到虛擬晶圓150,而不使用任何粘合劑材料(例如,晶粒貼合膜)。所述接合可包括預接合及退火。在預接合期間,施加小的壓力來將晶圓壓靠到彼此上。預接合是在低溫度(例如,室溫)下執行,且在預接合之後,包封體110及半導體基底52被接合到虛擬晶圓150。在一些實施例中,在半導體基底52和/或包封體110的後側上形成氧化物(例如自然氧化物(native oxide))且使用所述氧化物進行接合。接著在隨後的退火步驟中在高溫度下對介電層164及半導體基底52進行退火,從而提高接合強度。在退火之後,形成將包封體110及半導體基底52與虛擬晶圓150接合在一起的接合(例如熔融接合)。舉例來說,所述接合可為包封體110與虛擬晶圓150之間及半導體基底52與虛擬晶圓150之間的共價接合(covalent bond)。然而,可使用任何合適的粘合劑或貼合方法。
在圖7中,將晶圓級總成100翻轉且執行基底剝離以將基底106從重佈線結構112分離(或“剝離”)。在一些實施例中,所述剝離包括透過例如研磨或平坦化製程(例如,CMP)移除基底106。在移除之後,暴露出重佈線結構112的後側表面。接著將所述結構放置在膠帶142上。
在圖8中,形成在重佈線結構112的系統訊號及電源I/O側上遠離最頂介電層延伸的多個穿孔136(也被稱為介電質穿孔(TDV)136)。作為形成穿孔136的實例,在重佈線結構112之上(例如,在介電層114上及金屬化圖案116的一些部分上)形成晶種層(未示出)。在一些實施例中,晶種層是金屬層,所述金屬層可為單個層或包括由不同材料形成的多個子層的複合層。在具體實施例中,晶種層包括鈦層以及位於所述鈦層之上的銅層。晶種層可使用例如PVD或類似製程形成。在晶種層上形成光阻並將所述光阻圖案化。光阻可透過旋轉塗布或類似製程形成且可被暴露到光以進行圖案化。光阻的圖案對應于導通孔。所述圖案化會形成穿過光阻的多個開口以暴露出晶種層。在光阻的開口中及在晶種層的被暴露出的部分上形成導電材料。所述導電材料可透過鍍覆(例如電鍍或無電鍍覆)或類似製程形成。所述導電材料可包括金屬,如銅、鈦、鎢、鋁、或類似金屬。移除光阻及晶種層的上面未形成導電材料的部分。光阻可透過可接受的灰化製程或剝除製程來移除,例如使用氧電漿或類似製程移除。一旦光阻被移除,便例如使用可接受的蝕刻製程(例如透過濕法蝕刻或乾法蝕刻)來移除晶種層的被暴露出的部分。晶種層及導電材料的剩餘部分形成穿孔136。
在圖9中,將積體電路(IC)晶粒50C(也被稱為積體電路晶粒50)貼合到與穿孔136相鄰的重佈線結構112。在一些實施例中,積體電路晶粒50C包括延伸到積體電路晶粒50的最頂表面的多個基底穿孔(TSV)51。可將已知良好晶粒(KGD)用於積體電路晶粒50C以提供良好的系統良率。在一些實施例中,積體電路晶粒50C為一種或多種類型的積體電路晶粒,例如邏輯晶粒(例如,中央處理器(CPU)、圖形處理單元(GPU)、系統晶片(SoC)、應用處理器(AP)、微控制器等)、記憶體晶粒(例如,動態隨機存取記憶體(DRAM)晶粒、靜態隨機存取記憶體(SRAM)晶粒等)、電源管理晶粒(例如,電源管理積體電路(PMIC)晶粒)、射頻(RF)晶粒、感測器晶粒、微機電系統(MEMS)晶粒、訊號處理晶粒(例如,數位訊號處理(DSP)晶粒)、前端晶粒(例如,類比前端(AFE)晶粒)、應用專用晶粒(例如,應用專用積體電路(ASIC)、現場可程式化閘陣列(FPGA)等)、輸入/輸出(I/O)晶粒、積體被動元件(IPD)晶粒、或類似晶粒。積體電路晶粒50C可屬於與積體電路晶粒50A及積體電路晶粒50B相同的技術節點或不同的技術節點。舉例來說,積體電路晶粒50A、積體電路晶粒50B及積體電路晶粒50C可包括在10 nm技術節點上形成的晶粒、在7 nm技術節點上形成的晶粒、類似晶粒、或它們的組合。
在一些實施例中,在積體電路晶粒50C的多個晶粒連接件56與金屬化圖案116的多個通孔之間使用合適的接合方法(例如,包括Cu-Cu接合或Al-Al接合的金屬-金屬接合)將積體電路晶粒50C貼合到重佈線結構112。在一些實施例中,使用混合接合將積體電路晶粒50C貼合到重佈線結構112。然而,可使用任何合適的方法將積體電路晶粒50C貼合到重佈線結構112。在一些實施例中,積體電路晶粒50C透過重佈線結構112的電內連線電耦合到相鄰的積體電路晶粒50C或電耦合到彼此距離較遠的積體電路晶粒50。在一些實施例中,積體電路晶粒50C透過重佈線結構112的電內連線137電耦合到隔著重佈線結構112與積體電路晶粒50C直接相對地定位的積體電路晶粒50A或積體電路晶粒50B或者電耦合到沿著水平方向平行於虛擬晶圓150的頂表面的位於距積體電路晶粒50C較遠距離處的積體電路晶粒50A或積體電路晶粒50B。在一些實施例中,積體電路晶粒50C透過重佈線結構112的電內連線137電耦合到相鄰的介電質穿孔136。
在圖10中,在積體電路晶粒50、介電質穿孔136、以及貼合到積體電路晶粒50及介電質穿孔136的重佈線結構112的表面上及周圍形成包封體152。在形成之後,包封體152包封積體電路晶粒50C及介電質穿孔136。包封體152可為模塑化合物、氧化物、環氧樹脂、或類似材料、且可透過壓縮模塑、轉移模塑、或類似製程進行施加。包封體152可以液體或半液體形式進行施加且接著隨後固化。在一些實施例中,在晶圓級中介層112之上形成包封體152以掩埋或覆蓋積體電路晶粒50C及介電質穿孔136,且接著對包封體152執行平坦化製程以暴露出積體電路晶粒50C的最頂表面及介電質穿孔136的最頂表面。平坦化製程可為例如研磨和/或化學機械拋光(CMP)。
在圖11中,形成用於外部連接到重佈線結構112的多個接觸墊154(也被稱為導電墊154)。接觸墊154形成在介電質穿孔136的頂表面及基底穿孔51的頂表面上。因此,接觸墊154電耦合到積體電路晶粒50C且電耦合到重佈線結構112中的金屬化導線。接觸墊154可透過與重佈線結構112的金屬化圖案相似的方式及由與重佈線結構112的金屬化圖案相似的材料形成。接觸墊154包含導電材料,例如銅、鈦、鎢、鋁、類似材料或它們的組合。
圖12A示出根據一些實施例的系統晶圓總成100。緊接著圖11,圖12A示出在積體電路晶粒50C的頂表面及包封體152的頂表面之上形成的鈍化層156。鈍化層156可透過與介電層114相似的方式及由與介電層114相似的材料形成。然而,可使用任何合適的方法或材料。將鈍化層156圖案化以形成暴露出接觸墊154的頂表面的多個開口。可透過與介電層114相似的微影方法對鈍化層156執行圖案化。然而,可使用任何合適的方法進行圖案化。
圖12B示出貼合有外部連接件158的系統晶圓總成200的實施例。儘管示出一個外部連接件158,但是可將多個外部連接件158貼合到系統晶圓總成200。系統晶圓總成200可透過與上文參照圖2到圖12A所述的系統晶圓總成100實質上相似的方法形成。外部連接件158透過在耦合到介電質穿孔136的多個導電墊154上形成的多個導電連接件160電耦合到系統晶圓總成200。導電連接件160可為球柵陣列(ball grid array,BGA)連接件、焊料球、金屬柱、受控塌陷晶片連接(controlled collapse chip connection,C4)凸塊、微凸塊、由無電鍍鎳鈀浸金技術(electroless nickel-electroless palladium-immersion gold technique,ENEPIG)形成的凸塊、或類似物。導電連接件160可包含導電材料,例如焊料、銅、鋁、金、鎳、銀、鈀、錫、類似材料、或它們的組合。在一些實施例中,導電連接件160透過以下方法形成:首先透過蒸鍍、電鍍、印刷、焊料轉移(solder transfer)、植球(ball placement)、或類似製程形成焊料或焊料膏層。一旦已在所述結構上形成焊料層,便可執行回焊(reflow)以將材料塑形成期望的凸塊形狀。
進一步參照圖12B,外部連接件158透過多個導電連接件160貼合到系統晶圓總成200。儘管示出一個外部連接件158,但是可將多個外部連接件158貼合到系統晶圓總成200。外部連接件158是系統晶圓總成200與外部系統的電介面及實體介面。舉例來說,當系統晶圓總成200被作為較大的外部系統(例如,資料中心)的一部分進行安裝時,外部連接件158可用於將系統晶圓總成200耦合到所述外部系統。外部連接件158的實例包括光學連接件模組、機械連接件模組、帶狀線纜(ribbon cable)接受件(receptor)、柔性印刷電路(flexible printed circuit)、或類似元件。外部連接件158包括多個接墊162,接墊162可與導電墊154相似(且具有相同的節距)。外部連接件158可包括可包含不同材料的不同元件,例如基座(chassis)、多個接墊162及多個外部連接引腳(pin)。接墊162及導電連接件160用於與晶圓級中介層112進行實體連接及電連接。對外部連接件158進行貼合可包括使用例如拾取及放置(pick-and-place)技術將外部連接件158放置在系統晶圓總成200上,且接著對導電連接件160進行回焊以對導電墊154及接墊162進行實體耦合及電耦合。
圖12C示出根據一些實施例與圖12A所示系統晶圓總成100相似的系統晶圓總成的平面圖。在一些實施例中,系統晶圓總成100具有圓形輪廓。在一些實施例中,系統晶圓總成100具有矩形輪廓(未示出)。積體電路晶粒50C的陣列分佈在整個系統晶圓總成100上。包封體152位於積體電路晶粒50C的陣列周圍。積體電路晶粒50C之間的電連接件被示出為金屬化圖案116,儘管在一些實施例中金屬化圖案116可位於積體電路晶粒50C的層級下方。
圖12D示出根據一些實施例與圖12B所示的系統晶圓總成200相似的系統晶圓總成的平面圖。如圖12C所示分佈在整個系統晶圓總成100上的積體電路晶粒50C中的一些被系統晶圓總成200中的外部連接件158取代,儘管在一些實施例中外部連接件158可位於積體電路晶粒50C的層級上方。積體電路晶粒50C與外部連接件158之間的電連接件被示出為金屬化圖案116,儘管在一些實施例中金屬化圖案116可位於積體電路晶粒50C的層級下方。
圖13到圖21示出根據一些實施例在具有多個層級(tier)的積體電路晶粒50的系統晶圓總成300的製作中的中間步驟的剖視圖。系統晶圓總成300的製作可與如上文參照圖2到圖12A所述的系統晶圓總成100的製作實質上相似,但是系統晶圓總成300組裝有多個層級的積體電路晶粒50。
圖13示出基底106。基底106已在上文參照圖2進行了闡述且此處不再對其予以贅述。
圖14示出形成在基底106之上的重佈線結構112。重佈線結構112已在上文參照圖3進行了闡述且此處不再對其予以贅述。
圖15示出貼合到重佈線結構112的第一層級的多個積體電路晶粒50A及多個積體電路晶粒50B以及形成在各種元件上及所述各種元件周圍的包封體110。在一些實施例中,積體電路晶粒50A及積體電路晶粒50B包括延伸到積體電路晶粒50A的最頂表面及積體電路晶粒50B的最頂表面的多個基底穿孔(TSV)51。積體電路晶粒50A及積體電路晶粒50B以及包封體110已在上文分別參照圖4及圖5進行了闡述,且此處不再對其予以贅述。
圖16示出在第一層級的積體電路晶粒50A及積體電路晶粒50B的後側之上形成附加重佈線結構112’及將第二層級的多個積體電路晶粒50A及多個積體電路晶粒50B以及包封體110形成到附加重佈線結構112’。儘管圖16示出一個附加重佈線結構112’ 與兩個層級的積體電路晶粒50A及積體電路晶粒50B,但是在一些實施例中,可存在多個層級的積體電路晶粒50A及積體電路晶粒50B且在每一層級之間可存在附加重佈線結構112’。附加重佈線結構112’可透過與上文參照圖3所述的重佈線結構112實質上相似的方法形成。附加重佈線結構112’可將積體電路晶粒50A及積體電路晶粒50B內的基底穿孔(TSV)51電耦合到在垂直方向上相鄰的層中的積體電路晶粒50A及積體電路晶粒50B的晶粒連接件56。
在一些實施例中,可省略附加重佈線結構112’,且積體電路晶粒50A與積體電路晶粒50B可透過例如混合接合進行直接接合,所述混合接合包括相鄰的層級中基底穿孔51與晶粒連接件56之間的金屬-金屬接合及半導體基底52與堆疊的積體電路晶粒50A及積體電路晶粒50B的介電層58之間的熔融接合。
圖17示出貼合到包封體110的頂表面及積體電路晶粒50的頂表面的虛擬晶圓150。虛擬晶圓150已在上文參照圖6進行了闡述且此處不再對其予以贅述。
圖18示出晶圓級總成300被翻轉且放置在膠帶142上並將基底106從重佈線結構112剝離。基底106的剝離已在上文參照圖7進行了闡述且此處不再對其予以贅述。
圖19示出形成在重佈線結構112上的第一層級的多個介電質穿孔136、貼合到重佈線結構112的多個積體電路晶粒50C及形成在各種元件上及所述各種元件周圍的包封體152。介電質穿孔136、積體電路晶粒50C及包封體152已在上文分別參照圖8、圖9及圖10進行了闡述,且此處不再對其予以贅述。
圖20示出在第一層級的介電質穿孔136及積體電路晶粒50C的後側之上形成附加重佈線結構112’’且將第二層級的多個介電質穿孔136、多個積體電路晶粒50C及包封體152貼合到附加重佈線結構112’’。儘管圖20示出一個附加重佈線結構112’’ 與兩個層級的介電質穿孔136及積體電路晶粒50C,但是在一些實施例中,可存在多個層級的介電質穿孔136及積體電路晶粒50C且在每一層級之間可存在附加重佈線結構112’’。附加重佈線結構112’’可透過與以上參照圖3所述的重佈線結構112實質上相似的方法形成。附加重佈線結構112’’可將積體電路晶粒50C內的基底穿孔51電耦合到在垂直方向上相鄰的層級中的積體電路晶粒50C的晶粒連接件56且還可將在垂直方向上相鄰的層級中的在垂直方向上相鄰的介電質穿孔136耦合到彼此。
在一些實施例中,可省略附加重佈線結構112’’,且積體電路晶粒50C可透過例如混合接合進行直接接合,所述混合接合包括相鄰的層級中介電質穿孔136與晶粒連接件56之間的金屬-金屬接合及半導體基底52與堆疊的積體電路晶粒50C的介電層58之間的熔融接合。第二層級的介電質穿孔136可直接形成在第一層級的介電質穿孔136的頂表面上。
圖21示出根據一些實施例的系統晶圓總成300,系統晶圓總成300具有被形成用於外部連接的多個接觸墊154及形成在積體電路晶粒50C的頂表面及包封體152的頂表面之上的鈍化層156。接觸墊154及鈍化層156已在上文分別參照圖11及圖12A進行了闡述,且此處不再對其予以贅述。
圖22示出根據一些實施例的包括系統晶圓總成300的積體扇出型(InFO)封裝體400的剖視圖。積體扇出型封裝體400是透過以與上文參照圖5所述的包封體110實質上相似的製程及材料使用包封體410對系統晶圓總成300進行包封來形成。在系統晶圓總成300及包封體410上形成前側重佈線結構422。前側重佈線結構422可使用與上文參照圖3所述的重佈線結構112實質上相似的材料透過實質上相似的方法形成。
繼續參照圖22,形成用於外部連接到前側重佈線結構422的多個凸塊下金屬(under bump metallization,UBM)438。凸塊下金屬438具有位於前側重佈線結構422的頂部介電層的主表面上且沿著前側重佈線結構422的頂部介電層的主表面延伸的凸塊部分,且具有延伸穿過頂部介電層的通孔部分以與前側重佈線結構422的頂部金屬化圖案實體耦合及電耦合。因此,凸塊下金屬438電耦合到介電質穿孔136及積體電路晶粒50C。凸塊下金屬438可由與重佈線結構112的金屬化圖案相同的材料形成。
進一步參照圖22,在凸塊下金屬438上形成多個導電連接件450。導電連接件450可為球柵陣列(BGA)連接件、焊料球、金屬柱、受控塌陷晶片連接(C4)凸塊、微凸塊、由無電鍍鎳鈀浸金技術(ENEPIG)形成的凸塊、或類似物。導電連接件450可包含導電材料,例如焊料、銅、鋁、金、鎳、銀、鈀、錫、類似材料、或它們的組合。在一些實施例中,導電連接件450透過以下方式形成:首先透過蒸鍍、電鍍、印刷、焊料轉移、植球、或類似製程形成焊料層。一旦已在所述結構上形成焊料層,便可執行回焊以將材料塑形成期望的凸塊形狀。在另一實施例中,導電連接件450包括透過濺射、印刷、電鍍、無電鍍覆、CVD或類似製程形成的金屬柱(例如,銅柱)。金屬柱可為無焊料的且具有實質上垂直的側壁。在一些實施例中,在金屬柱的頂上形成金屬頂蓋層。金屬頂蓋層可包含鎳、錫、錫-鉛、金、銀、鈀、銦、鎳-鈀-金、鎳-金、類似材料、或它們的組合且可透過鍍覆製程形成。
圖23到圖32A示出根據一些實施例在形成將多個晶粒接合到晶圓級中介層的一側的系統晶圓總成500的製程期間的中間步驟的剖視圖。在圖23中,提供基底550(也被稱為中介層550)。基底550可為晶圓(例如經摻雜的或未經摻雜的矽)、或為絕緣體上半導體(SOI)基底的主動層。基底550可包含其他半導體材料,例如鍺;化合物半導體,包括碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦和/或銻化銦;合金半導體,包括SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP和/或GaInAsP;或它們的組合。也可使用其他基底,例如多層基底或梯度基底。基底550具有有時被稱為前側的有效表面(例如,圖23中的面朝上的表面)以及有時被稱為後側的非有效表面(例如,圖23中的面朝下的表面)。
在圖24中,形成延伸到基底550中的多個導通孔552。導通孔552將隨後電耦合到隨後形成的重佈線結構512(參見下圖25)的金屬化圖案。作為形成導通孔552的實例,可在基底550中透過例如蝕刻、銑削(milling)、雷射技術、它們的組合和/或類似製程形成多個凹槽。可在凹槽中例如透過氧化技術形成薄介電材料。可在開口中例如透過CVD、原子層沉積(atomic layer deposition,ALD)、物理氣相沉積(PVD)、熱氧化、它們的組合和/或類似製程共形地沉積阻障層(未示出)。阻障層可由氧化物、氮化物、或氮氧化物(例如氮化鈦、氮氧化鈦、氮化鉭、氮氧化鉭、氮化鎢、它們的組合和/或類似材料)形成。可在阻障層之上及在開口中沉積導電材料。導電材料可透過電化學鍍覆(electro-chemical plating)製程、CVD、PVD、它們的組合和/或類似製程形成。導電材料的實例為銅、鎢、鋁、銀、金、它們的組合和/或類似材料。透過例如化學機械拋光(CMP)從基底550的表面移除過量的導電材料及阻障層。阻障層及導電材料的剩餘部分形成導通孔552。
在所示實施例中,導通孔552在系統晶圓總成500的後側上還未暴露出。而是,導通孔552被掩埋在基底550中。如在以下將更詳細地闡述,在隨後的處理中,將在系統晶圓總成500的後側上暴露出導通孔552。在暴露出之後,導通孔552可被稱為矽穿孔或基底穿孔(TSV)。
在圖25中,在基底550之上形成重佈線結構512(也被稱為晶圓級中介層512)。重佈線結構512包括後端製程(BEOL)層,所述後端製程層包括多個金屬化圖案及多個介電層。金屬化圖案也可被稱為重佈線層或重佈線導線。重佈線結構512被示出為具有五層金屬化圖案的實例。可在重佈線結構512中形成更多或更少的介電層及金屬化圖案。可使用與以上參照圖3所述的重佈線結構112實質上相似的方法及材料形成重佈線結構512。重佈線結構112的金屬化圖案的多個導通孔電耦合到導通孔552。
在圖26中,將多個積體電路(IC)晶粒50A及多個積體電路(IC)晶粒50B(也被稱為積體電路晶粒50)貼合到重佈線結構512。可將已知良好晶粒(KGD)用於積體電路晶粒50A及積體電路晶粒50B以提供良好的系統良率。在一些實施例中,積體電路晶粒50A為第一類型的積體電路晶粒,且積體電路晶粒50B為第二類型的晶粒,例如邏輯晶粒(例如,中央處理器(CPU)、圖形處理單元(GPU)、系統晶片(SoC)、應用處理器(AP)、微控制器等)、記憶體晶粒(例如,動態隨機存取記憶體(DRAM)晶粒、靜態隨機存取記憶體(SRAM)晶粒等)、電源管理晶粒(例如,電源管理積體電路(PMIC)晶粒)、射頻(RF)晶粒、感測器晶粒、微機電系統(MEMS)晶粒、訊號處理晶粒(例如,數位訊號處理(DSP)晶粒)、前端晶粒(例如,類比前端(AFE)晶粒)、應用專用晶粒(例如,應用專用積體電路(ASIC)、現場可程式化閘陣列(FPGA)等)、輸入/輸出(I/O)晶粒、積體被動元件(IPD)晶粒、或類似晶粒。在一些實施例中,積體電路晶粒50A與積體電路晶粒50B可為同一類型的晶粒或包括三種或更多種不同類型的晶粒。積體電路晶粒50A與積體電路晶粒50B可屬於同一技術節點或不同技術節點。舉例來說,積體電路晶粒50A及積體電路晶粒50B可包括在10 nm技術節點上形成的晶粒、在7 nm技術節點上形成的晶粒、類似晶粒、或它們的組合。
在一些實施例中,在積體電路晶粒50A及積體電路晶粒50B的多個晶粒連接件56與重佈線結構512的頂部金屬化圖案的多個通孔之間使用合適的接合方法(例如,包括Cu-Cu接合或Al-Al接合的金屬-金屬接合)將積體電路晶粒50A及積體電路晶粒50B貼合到重佈線結構512。在一些實施例中,使用混合接合將積體電路晶粒50A及積體電路晶粒50B貼合到重佈線結構512。然而,可使用任何合適的方法將積體電路晶粒50A及積體電路晶粒50B貼合到重佈線結構512。在一些實施例中,相鄰的積體電路晶粒50A和/或積體電路晶粒50B透過電內連線538進行電耦合。在一些實施例中,彼此相距較遠(例如透過至少一個其他積體電路晶粒50隔開)的積體電路晶粒50A和/或積體電路晶粒50B透過電內連線540進行電耦合。
在圖27中,在各種元件上及所述各種元件周圍形成包封體510。在形成之後,包封體510包封積體電路晶粒50。包封體510可為模塑化合物、氧化物、環氧樹脂、或類似材料。包封體510可以液體或半液體形式進行施加並且接著隨後固化。在一些實施例中,在晶圓級中介層512之上形成包封體510以掩埋或覆蓋積體電路晶粒50,接著對包封體510執行平坦化製程以暴露出積體電路晶粒50的最頂表面。平坦化製程可例如為研磨和/或化學機械拋光(CMP)。
在一些實施例中,在重佈線結構512之上組裝多個層級的積體電路晶粒50A及積體電路晶粒50B。可透過與上文關於圖3到圖9所述的方法實質上相似的方法來組裝所述多個層級的積體電路晶粒50,並另外在各個層級的積體電路晶粒50A及積體電路晶粒50B之間形成附加重佈線結構112’。 多個附加重佈線結構112’可透過積體電路晶粒50A及積體電路晶粒50B內的多個基底穿孔51電耦合到在垂直方向上相鄰的層級中的積體電路晶粒50A及積體電路晶粒50B的多個晶粒連接件56。
在圖28中,將晶圓級總成500翻轉。接著可將晶圓級總成500放置在膠帶142上。在圖29中,對基底550的後側(背對膠帶142的一側)進行平坦化以暴露出導通孔552的頂表面,從而形成基底穿孔552。平坦化製程可為例如研磨和/或化學機械拋光(CMP)。
在圖30中,形成用於外部連接到重佈線結構512的多個接觸墊554(也被稱為導電墊554)。接觸墊554形成在基底穿孔552的頂表面上。因此,接觸墊554電耦合到積體電路晶粒50C且電耦合到重佈線結構512中的金屬化導線。接觸墊554可透過與重佈線結構512的金屬化圖案相似的方式及由與重佈線結構512的金屬化圖案相似的材料形成。接觸墊554包含導電材料,例如銅、鈦、鎢、鋁、類似材料、或它們的組合。
圖31示出在接觸墊554的頂表面及基底550的頂表面之上形成鈍化層556。鈍化層556可透過與上文參照圖12A所述的鈍化層156相似的方式及由與上文參照圖12A所述的鈍化層156相似的材料形成。然而,可使用任何合適的方法或材料。將鈍化層556圖案化以形成暴露出接觸墊554的頂表面的多個開口。可透過與鈍化層156相似的微影方法執行鈍化層556的圖案化。然而,可使用任何合適的方法進行圖案化。
圖32A示出貼合到系統晶圓總成500的外部連接件558。儘管示出了一個外部連接件558,但是可將多個外部連接件558貼合到系統晶圓總成500。外部連接件558透過在耦合到基底穿孔552的導電墊554上形成的多個導電連接件560耦合到系統晶圓總成500。導電連接件560可為球柵陣列(BGA)連接件、焊料球、金屬柱、受控塌陷晶片連接(C4)凸塊、微凸塊、由無電鍍鎳鈀浸金技術(ENEPIG)形成的凸塊、或類似物。導電連接件560可包含導電材料,例如焊料、銅、鋁、金、鎳、銀、鈀、錫、類似材料、或它們的組合。在一些實施例中,導電連接件560透過以下方式形成:首先透過蒸鍍、電鍍、印刷、焊料轉移、植球、或類似製程形成焊料或焊料膏層。一旦已在所述結構上形成焊料層,便可執行回焊以將材料塑形成期望的凸塊形狀。
進一步參照32A,將外部連接件558透過導電接連接件560貼合到系統晶圓總成500。外部連接件558是系統晶圓總成500與外部系統之間的電介面及實體介面。舉例來說,當系統晶圓總成500被作為較大的外部系統(例如,資料中心)的一部分進行安裝時,外部連接件558可用於將系統晶圓總成500耦合到外部系統。外部連接件558的實例包括帶狀線纜接受件、柔性印刷電路、或類似元件。外部連接件558包括多個接墊562,接墊562可與導電墊554相似(且具有相同的節距)。外部連接件558可包括可包含不同材料的不同元件,例如基座、多個接墊562及多個外部連接引腳。接墊562及導電連接件560用於與基底穿孔552實體連接及電連接。對外部連接件558進行貼合可包括使用例如拾取及放置技術將外部連接件558放置在系統晶圓總成500上,且接著對導電連接件560進行回焊以與導電墊554及接墊562實體耦合及電耦合。
圖32B示出根據一些實施例的具有多個層級的積體電路晶粒50的系統晶圓總成600的剖視圖。系統晶圓總成600與上文關於圖32A所述的系統晶圓總成500實質上相似,但是在重佈線結構512下方組裝有多個層級的積體電路晶粒50A及積體電路晶粒50B。儘管圖32B示出兩個層級的晶粒50A及積體電路晶粒50B,但是在一些實施例中,可能存在多於兩個層級的積體電路晶粒50A及積體電路晶粒50B。可透過與上文關於圖3到圖9所述的方法實質上相似的方法來組裝所述多個層級的積體電路晶粒50,並另外在各個層級的積體電路晶粒50A及積體電路晶粒50B之間形成附加重佈線結構512’。附加重佈線結構112’可將積體電路晶粒50A及積體電路晶粒50B內的基底穿孔51電耦合到在垂直方向上相鄰的層級中的積體電路晶粒50A及積體電路晶粒50B的晶粒連接件56。附加重佈線結構512’可透過與上文關於圖3所述的重佈線結構112實質上相似的方法形成。
可將晶圓級中介層(例如後端製程(BEOL)層)貼合到多個晶片(也被稱為晶粒)。第一晶粒與相鄰晶粒之間和/或第一晶粒與相對於第一晶粒位於較遠距離處(例如,在晶圓級內連線的相對側上)的其他晶粒之間的電內連線可支撐在晶圓級BEOL層上。可透過使用縫合技術重疊BEOL的多個微影曝光對BEOL的每一光罩進行積體或透過在BEOL之上使用晶圓級罩幕以克服掩膜版尺寸限制來完成晶圓級中介層的形成。多個晶片可接合在晶圓級中介層的一側或兩側上以提高良率且允許進行高密度積體。此種單側或雙側總成可適用于提高系統應用的靈活性。可將已知良好晶粒(KGD)貼合到晶圓級中介層以提供良好的系統良率。可在晶粒與晶圓級中介層之間使用金屬-金屬接合(例如,Cu-Cu接合)來形成用於高密度訊號的精細節距接觸墊和/或具有低電阻的尺寸較大的接觸墊以在高速訊號方面以更低的功率獲得更好的良率。可將多個系統模組聚合到一個晶圓級系統中,以簡化系統架構來降低成本。為了為不同應用提供設計靈活性,可將具有例如邏輯、記憶體、輸入/輸出(I/O)、電源管理積體電路(PMIC)、或積體被動元件(IPD)等不同功能的晶粒積體在晶圓級系統上。可將機械連接件模組或光學連接件模組與晶圓級中介層積體在一起以實現晶圓對晶圓系統內連。
根據實施例,一種半導體元件包括:第一多個晶粒,所述第一多個晶粒位於晶圓上;第一重佈線結構,位於所述第一多個晶粒之上,所述第一重佈線結構包括第一多個導電特徵,所述第一多個晶粒中的每一晶粒在所述第一重佈線結構的底側上透過多個金屬-金屬接合而接合到所述第一多個導電特徵中的相應的多個導電特徵,所述第一多個晶粒中的第一晶粒與所述第一多個晶粒中的第二晶粒相鄰,所述第一晶粒透過第一電內連線經由所述第一重佈線結構電連接到所述第二晶粒,且所述第一多個晶粒中的第三晶粒透過所述第一多個晶粒中的另一晶粒而與所述第一晶粒隔開,所述第三晶粒透過第二電內連線經由所述第一重佈線結構電連接到所述第一晶粒;以及第二多個晶粒,所述第二多個晶粒位於所述第一重佈線結構的與所述底側相對的頂側上,所述第二多個晶粒中的每一晶粒在所述頂側上透過多個金屬-金屬接合而接合到所述第一重佈線結構中的所述第一多個導電特徵中的相應的多個導電特徵。在實施例中,所述第一多個晶粒被第一包封體包封。在實施例中,所述第二多個晶粒被第二包封體包封。在實施例中,所述第一重佈線結構包括位於所述第一多個晶粒中的所述第一晶粒與所述第二多個晶粒中的第四晶粒之間的第三電內連線。在實施例中,所述半導體元件還包括第三多個晶粒,所述第三多個晶粒位於所述第一多個晶粒的後側上,所述第一多個晶粒中的每一晶粒包括相應的基底穿孔,所述第三多個晶粒中的每一晶粒被金屬-金屬接合到所述第一多個晶粒中的相應的晶粒,且所述第三多個晶粒中的每一晶粒與所述第一多個晶粒中的所述相應的晶粒的相應的基底穿孔實體耦合及電耦合。在實施例中,所述半導體元件還包括第四多個晶粒,所述第四多個晶粒位於所述第二多個晶粒的與所述第一重佈線結構相對的側上,所述第四多個晶粒中的每一晶粒位於所述第二多個晶粒中的相應的晶粒上,所述第二多個晶粒中的每一晶粒包括相應的基底穿孔,所述第四多個晶粒中的每一晶粒被金屬-金屬接合到所述第二多個晶粒中的所述相應的晶粒且與所述第二多個晶粒中的所述相應的晶粒的所述相應的基底穿孔實體耦合及電耦合。在實施例中,所述半導體元件還包括:模塑化合物,包封所述第一多個晶粒、所述第一重佈線結構、所述第二多個晶粒及所述晶圓;以及第二重佈線結構,位於所述模塑化合物、所述第一多個晶粒、所述第一重佈線結構、所述第二多個晶粒及所述晶圓之上,其中所述第二重佈線結構包括第二多個導電特徵及多個介電層。在實施例中,所述半導體元件還包括所述第二多個晶粒中的每一晶粒在所述第二重佈線結構的底側上透過多個金屬-金屬接合而接合到所述第二多個導電特徵中的相應的多個導電特徵。在實施例中,所述半導體元件還包括:介電質穿孔(TDV),位於所述第一重佈線結構的與所述底側相對的頂側上,所述介電質穿孔透過金屬-金屬接合而接合到所述第一重佈線結構中的所述第一多個導電特徵中的一個導電特徵;以及導電墊,位於所述介電質穿孔上,所述導電墊與所述第一重佈線結構相對。在實施例中,所述介電質穿孔透過第四電內連線經由所述第一重佈線結構電連接到所述第二多個晶粒中的相鄰的晶粒。在實施例中,所述半導體元件還包括外部連接件,所述外部連接件透過導電連接件電耦合及實體耦合到所述導電墊。
根據另一實施例,一種半導體元件包括:第一多個晶粒,所述第一多個晶粒被包封體包封;重佈線結構,位於所述第一多個晶粒之上,所述第一多個晶粒中的每一晶粒電連接到所述重佈線結構的相應的多個導電特徵,所述第一多個晶粒中的第一晶粒夾置在所述第一多個晶粒中的第二晶粒與所述第一多個晶粒中的第三晶粒之間,所述第一晶粒透過第一電內連線經由所述重佈線結構電連接到所述第二晶粒,且所述第二晶粒透過第二電內連線經由所述重佈線結構電連接到所述第三晶粒;以及中介層,位於所述重佈線結構之上,所述中介層在頂表面上具有第一多個導電墊,所述中介層具有延伸穿過所述中介層的多個基底穿孔(TSV),所述第一多個導電墊中的每一導電墊透過所述多個基底穿孔中的相應的基底穿孔電連接到所述重佈線結構中的相應的多個導電特徵。在實施例中,所述第一多個晶粒中的每一晶粒電連接到所述重佈線結構的相應的多個導電特徵還包括相應的多個Cu-Cu接合。在實施例中,所述半導體元件還包括位於所述中介層上的連接件,所述連接件電耦合到所述第一多個導電墊中的至少一個導電墊。在實施例中,半導體元件還包括第二多個晶粒,所述第二多個晶粒是與所述重佈線結構相對地位於所述第一多個晶粒下方。在實施例中,所述第一多個晶粒中的每一晶粒包括基底穿孔(TSV),所述基底穿孔各自耦合到所述第二多個晶粒中的相應的晶粒。
根據再一實施例,一種形成半導體元件的方法包括:在基底上形成第一內連線;將第一多個晶粒在第一表面上耦合到所述第一內連線,所述第一多個晶粒中的每一晶粒透過多個金屬-金屬接合而耦合到所述第一內連線;使用第一包封體包封所述第一多個晶粒;將晶圓貼合到所述第一多個晶粒的頂表面及所述第一包封體的頂表面;在所述第一內連線的與所述第一表面相對的第二表面上形成多個介電質穿孔(TDV);將第二多個晶粒在所述第二表面上耦合到所述第一內連線,所述第二多個晶粒中的每一晶粒包括基底穿孔(TSV);使用第二包封體包封所述第二多個晶粒;在所述多個介電質穿孔中的每一介電質穿孔的相應的頂表面及所述基底穿孔各自的相應的頂表面上形成相應的多個導電墊;在所述第二多個晶粒及所述第二包封體之上形成保護層;以及在所述保護層中形成多個開口以暴露出所述相應的多個導電墊中的每一導電墊的頂表面。在實施例中,所述方法還包括在所述相應的多個導電墊上形成相應的多個導電連接件。在實施例中,所述方法還包括將外部連接件耦合到所述相應的多個導電連接件中的至少一個導電連接件。在實施例中,所述相應的多個導電墊包含Al。
以上概述了若干實施例的特徵,以使熟習此項技術者可更佳地理解本揭露的各態樣。熟習此項技術者應理解,他們可容易地使用本揭露作為設計或修改其他製程及結構的基礎來施行與本文中所介紹的實施例相同的目的及/或達成與本文中所介紹的實施例相同的優點。熟習此項技術者亦應認識到,此種等效構造並不背離本揭露的精神及範圍,而且他們可在不背離本揭露的精神及範圍的條件下對其作出各種改變、代替及變更。
50、50A、50B、50C:積體電路晶粒 51:基底穿孔 52:半導體基底 54:內連線結構 56:晶粒連接件 58、114、118、122、126、130:介電層 100、500:系統晶圓總成/晶圓級總成 106:基底 110、152、410、510:包封體 112、512:重佈線結構/晶圓級中介層 112’、112’’、 512’:附加重佈線結構 116、120、124、128、132:金屬化圖案 136:介電質穿孔/穿孔 137、138、140、538、540:電內連線 142:膠帶 150:虛擬晶圓 154、554:接觸墊/導電墊 156、556:鈍化層 158、558:外部連接件 160、560、450:導電連接件 162、562:接墊 200、300、600:系統晶圓總成 400:積體扇出型封裝體 422:前側重佈線結構 438:凸塊下金屬 550:中介層/基底 552:導通孔/基底穿孔
結合附圖閱讀以下詳細說明,會最佳地理解本揭露的各個態樣。應注意,根據本行業中的標準慣例,各種特徵並非按比例繪製。事實上,為使論述清晰起見,可任意增大或減小各種特徵的尺寸。 圖1示出根據一些實施例的積體電路晶粒的剖視圖。 圖2到圖12A示出根據一些實施例在形成系統晶圓(system-on-wafer)總成的製程期間的中間步驟的剖視圖。 圖12B示出根據一些實施例的系統晶圓總成的剖視圖。 圖12C示出根據一些實施例的另一系統晶圓總成的平面圖。 圖12D示出根據一些實施例的另一系統晶圓總成的平面圖。 圖13到圖20示出根據一些實施例在形成再一系統晶圓總成的製程期間的中間步驟的剖視圖。 圖21示出根據一些實施例的再一系統晶圓總成的剖視圖。 圖22示出根據一些實施例的積體扇出型封裝體(integrated fan-out package)的剖視圖。 圖23到圖32A示出根據一些實施例在形成再一系統晶圓總成的製程期間的中間步驟的剖視圖。 圖32B示出根據一些實施例的再一系統晶圓總成的剖視圖。
50A、50B、50C:積體電路晶粒
51:基底穿孔
100:系統晶圓總成/晶圓級總成
110、152:包封體
112:重佈線結構/晶圓級中介層
136:介電質穿孔/穿孔
142:膠帶
150:虛擬晶圓
154:接觸墊/導電墊
156:鈍化層

Claims (1)

  1. 一種半導體元件,包括: 第一多個晶粒,所述第一多個晶粒位於晶圓上; 第一重佈線結構,位於所述第一多個晶粒之上,所述第一重佈線結構包括第一多個導電特徵,所述第一多個晶粒中的每一晶粒在所述第一重佈線結構的底側上透過多個金屬-金屬接合而接合到所述第一多個導電特徵中的相應的多個導電特徵,所述第一多個晶粒中的第一晶粒與所述第一多個晶粒中的第二晶粒相鄰,所述第一晶粒透過第一電內連線經由所述第一重佈線結構電連接到所述第二晶粒,且所述第一多個晶粒中的第三晶粒透過所述第一多個晶粒中的另一晶粒而與所述第一晶粒隔開,所述第三晶粒透過第二電內連線經由所述第一重佈線結構電連接到所述第一晶粒;以及 第二多個晶粒,所述第二多個晶粒位於所述第一重佈線結構的與所述底側相對的頂側上,所述第二多個晶粒中的每一晶粒在所述頂側上透過多個金屬-金屬接合而接合到所述第一重佈線結構中的所述第一多個導電特徵中的相應的多個導電特徵。
TW110126941A 2021-04-28 2021-07-22 半導體元件以及其形成方法 TW202243169A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/242,704 2021-04-28
US17/242,704 US20220352082A1 (en) 2021-04-28 2021-04-28 Semiconductor Package and Method

Publications (1)

Publication Number Publication Date
TW202243169A true TW202243169A (zh) 2022-11-01

Family

ID=82862631

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110126941A TW202243169A (zh) 2021-04-28 2021-07-22 半導體元件以及其形成方法

Country Status (3)

Country Link
US (2) US20220352082A1 (zh)
CN (1) CN114937664A (zh)
TW (1) TW202243169A (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11854944B2 (en) * 2021-03-26 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages and methods for forming the same

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7576435B2 (en) * 2007-04-27 2009-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. Low-cost and ultra-fine integrated circuit packaging technique
US8618654B2 (en) * 2010-07-20 2013-12-31 Marvell World Trade Ltd. Structures embedded within core material and methods of manufacturing thereof
US8535989B2 (en) * 2010-04-02 2013-09-17 Intel Corporation Embedded semiconductive chips in reconstituted wafers, and systems containing same
US8975726B2 (en) * 2012-10-11 2015-03-10 Taiwan Semiconductor Manufacturing Company, Ltd. POP structures and methods of forming the same
US9735131B2 (en) * 2015-11-10 2017-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-stack package-on-package structures
US10037974B2 (en) * 2016-03-08 2018-07-31 Advanced Semiconductor Engineering, Inc. Semiconductor device package and method of manufacturing the same
US9748167B1 (en) * 2016-07-25 2017-08-29 United Microelectronics Corp. Silicon interposer, semiconductor package using the same, and fabrication method thereof
US9859245B1 (en) * 2016-09-19 2018-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package structure with bump and method for forming the same
US10319683B2 (en) * 2017-02-08 2019-06-11 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-stacked package-on-package structures
US20210175178A1 (en) * 2019-12-05 2021-06-10 Qualcomm Incorporated Package comprising a double-sided redistribution portion
US20220415572A1 (en) * 2021-06-25 2022-12-29 Intel Corporation Capacitor formed with coupled dies

Also Published As

Publication number Publication date
CN114937664A (zh) 2022-08-23
US20230378080A1 (en) 2023-11-23
US20220352082A1 (en) 2022-11-03

Similar Documents

Publication Publication Date Title
US11443995B2 (en) Integrated circuit package and method
TWI746306B (zh) 封裝結構及其形成方法
CN111508920A (zh) 封装装置
US11854921B2 (en) Integrated circuit package and method
TW201916304A (zh) 半導體封裝
TWI753623B (zh) 半導體封裝件及製造方法
CN112687670B (zh) 集成电路结构及其形成方法
KR102564124B1 (ko) 집적 회로 패키지 및 그 형성 방법
TWI785524B (zh) 半導體封裝體及其製造方法
US11735576B2 (en) Integrated circuit package and method
US20230378080A1 (en) Semiconductor Package and Method
TW202141709A (zh) 半導體封裝體及其製造方法
US20230402403A1 (en) Semiconductor package and manufacturing method of semiconductor package
TW202038396A (zh) 積體電路封裝體及其製造方法
TWI776646B (zh) 積體電路封裝體及其形成方法
TWI735353B (zh) 積體電路封裝及其製作方法
TW202240804A (zh) 半導體晶粒的封裝結構及其形成方法
US11664315B2 (en) Structure with interconnection die and method of making same