KR101515275B1 - 3dic 적층 디바이스 및 제조 방법 - Google Patents

3dic 적층 디바이스 및 제조 방법 Download PDF

Info

Publication number
KR101515275B1
KR101515275B1 KR1020130003844A KR20130003844A KR101515275B1 KR 101515275 B1 KR101515275 B1 KR 101515275B1 KR 1020130003844 A KR1020130003844 A KR 1020130003844A KR 20130003844 A KR20130003844 A KR 20130003844A KR 101515275 B1 KR101515275 B1 KR 101515275B1
Authority
KR
South Korea
Prior art keywords
semiconductor die
die
semiconductor
encapsulant
external connectors
Prior art date
Application number
KR1020130003844A
Other languages
English (en)
Other versions
KR20140001085A (ko
Inventor
징쳉 린
첸화 여
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20140001085A publication Critical patent/KR20140001085A/ko
Application granted granted Critical
Publication of KR101515275B1 publication Critical patent/KR101515275B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/12Mountings, e.g. non-detachable insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0652Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next and on each other, i.e. mixed assemblies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/561Batch processing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/563Encapsulation of active face of flip-chip device, e.g. underfilling or underencapsulation of flip-chip, encapsulation preform on chip or mounting substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3157Partial encapsulation or coating
    • H01L23/3171Partial encapsulation or coating the coating being directly applied to the semiconductor body, e.g. passivation layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49811Additional leads joined to the metallisation on the insulating substrate, e.g. pins, bumps, wires, flat leads
    • H01L23/49816Spherical bumps on the substrate for external connection, e.g. ball grid arrays [BGA]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5389Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates the chips being integrally enclosed by the interconnect and support structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/14Structure, shape, material or disposition of the bump connectors prior to the connecting process of a plurality of bump connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L24/80 - H01L24/90
    • H01L24/92Specific sequence of method steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/93Batch processes
    • H01L24/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L24/96Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being encapsulated in a common layer, e.g. neo-wafer or pseudo-wafer, said common layer being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/568Temporary substrate used as encapsulation process aid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0237Disposition of the redistribution layers
    • H01L2224/02372Disposition of the redistribution layers connecting to a via connection in the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0237Disposition of the redistribution layers
    • H01L2224/02379Fan-out arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/0401Bonding areas specifically adapted for bump connectors, e.g. under bump metallisation [UBM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/04105Bonding areas formed on an encapsulation of the semiconductor or solid-state body, e.g. bonding areas on chip-scale packages
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05569Disposition the external layer being disposed on a redistribution layer on the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05571Disposition the external layer being disposed in a recess of the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05571Disposition the external layer being disposed in a recess of the surface
    • H01L2224/05572Disposition the external layer being disposed in a recess of the surface the external layer extending out of an opening
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05655Nickel [Ni] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05663Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05666Titanium [Ti] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/11001Involving a temporary auxiliary member not forming part of the manufacturing apparatus, e.g. removable or sacrificial coating, film or substrate
    • H01L2224/11002Involving a temporary auxiliary member not forming part of the manufacturing apparatus, e.g. removable or sacrificial coating, film or substrate for supporting the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/113Manufacturing methods by local deposition of the material of the bump connector
    • H01L2224/1131Manufacturing methods by local deposition of the material of the bump connector in liquid form
    • H01L2224/1132Screen printing, i.e. using a stencil
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/113Manufacturing methods by local deposition of the material of the bump connector
    • H01L2224/1133Manufacturing methods by local deposition of the material of the bump connector in solid form
    • H01L2224/11334Manufacturing methods by local deposition of the material of the bump connector in solid form using preformed bumps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/113Manufacturing methods by local deposition of the material of the bump connector
    • H01L2224/1133Manufacturing methods by local deposition of the material of the bump connector in solid form
    • H01L2224/1134Stud bumping, i.e. using a wire-bonding apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/114Manufacturing methods by blanket deposition of the material of the bump connector
    • H01L2224/11444Manufacturing methods by blanket deposition of the material of the bump connector in gaseous form
    • H01L2224/1145Physical vapour deposition [PVD], e.g. evaporation, or sputtering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/114Manufacturing methods by blanket deposition of the material of the bump connector
    • H01L2224/1146Plating
    • H01L2224/11462Electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/118Post-treatment of the bump connector
    • H01L2224/11848Thermal treatments, e.g. annealing, controlled cooling
    • H01L2224/11849Reflowing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/12105Bump connectors formed on an encapsulation of the semiconductor or solid-state body, e.g. bumps on chip-scale packages
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/1302Disposition
    • H01L2224/13023Disposition the whole bump connector protruding from the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/1302Disposition
    • H01L2224/13024Disposition the bump connector being disposed on a redistribution layer on the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13075Plural core members
    • H01L2224/1308Plural core members being stacked
    • H01L2224/13082Two-layer arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13147Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/14Structure, shape, material or disposition of the bump connectors prior to the connecting process of a plurality of bump connectors
    • H01L2224/141Disposition
    • H01L2224/1412Layout
    • H01L2224/1413Square or rectangular array
    • H01L2224/14134Square or rectangular array covering only portions of the surface to be connected
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/14Structure, shape, material or disposition of the bump connectors prior to the connecting process of a plurality of bump connectors
    • H01L2224/141Disposition
    • H01L2224/1412Layout
    • H01L2224/1414Circular array, i.e. array with radial symmetry
    • H01L2224/14143Circular array, i.e. array with radial symmetry with a staggered arrangement, e.g. depopulated array
    • H01L2224/14144Circular array, i.e. array with radial symmetry with a staggered arrangement, e.g. depopulated array covering only portions of the surface to be connected
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/14Structure, shape, material or disposition of the bump connectors prior to the connecting process of a plurality of bump connectors
    • H01L2224/141Disposition
    • H01L2224/1412Layout
    • H01L2224/1415Mirror array, i.e. array having only a reflection symmetry, i.e. bilateral symmetry
    • H01L2224/14154Mirror array, i.e. array having only a reflection symmetry, i.e. bilateral symmetry covering only portions of the surface to be connected
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/14Structure, shape, material or disposition of the bump connectors prior to the connecting process of a plurality of bump connectors
    • H01L2224/141Disposition
    • H01L2224/1412Layout
    • H01L2224/1416Random layout, i.e. layout with no symmetry
    • H01L2224/14164Random layout, i.e. layout with no symmetry covering only portions of the surface to be connected
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/14Structure, shape, material or disposition of the bump connectors prior to the connecting process of a plurality of bump connectors
    • H01L2224/141Disposition
    • H01L2224/1418Disposition being disposed on at least two different sides of the body, e.g. dual array
    • H01L2224/14181On opposite sides of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/17Structure, shape, material or disposition of the bump connectors after the connecting process of a plurality of bump connectors
    • H01L2224/171Disposition
    • H01L2224/1718Disposition being disposed on at least two different sides of the body, e.g. dual array
    • H01L2224/17181On opposite sides of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • H01L2224/29001Core members of the layer connector
    • H01L2224/29099Material
    • H01L2224/2919Material with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73201Location after the connecting process on the same surface
    • H01L2224/73203Bump and layer connectors
    • H01L2224/73204Bump and layer connectors the bump connector being embedded into the layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/81001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector involving a temporary auxiliary member not forming part of the bonding apparatus
    • H01L2224/81005Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector involving a temporary auxiliary member not forming part of the bonding apparatus being a temporary or sacrificial substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/8119Arrangement of the bump connectors prior to mounting
    • H01L2224/81191Arrangement of the bump connectors prior to mounting wherein the bump connectors are disposed only on the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/818Bonding techniques
    • H01L2224/81801Soldering or alloying
    • H01L2224/81815Reflow soldering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/818Bonding techniques
    • H01L2224/81894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/81895Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically conductive surfaces, e.g. copper-copper direct bonding, surface activated bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/831Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector the layer connector being supplied to the parts to be connected in the bonding apparatus
    • H01L2224/83104Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector the layer connector being supplied to the parts to be connected in the bonding apparatus by applying pressure, e.g. by injection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
    • H01L2224/92Specific sequence of method steps
    • H01L2224/9202Forming additional connectors after the connecting process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L2224/96Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being encapsulated in a common layer, e.g. neo-wafer or pseudo-wafer, said common layer being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L2224/97Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being connected to a common substrate, e.g. interposer, said common substrate being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06513Bump or bump-like direct electrical connections between devices, e.g. flip-chip connection, solder bumps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06517Bump or bump-like direct electrical connections from device to substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06524Electrical connections formed on device or on substrate, e.g. a deposited or grown layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06527Special adaptation of electrical connections, e.g. rewiring, engineering changes, pressure contacts, layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06541Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06555Geometry of the stack, e.g. form of the devices, geometry to facilitate stacking
    • H01L2225/06562Geometry of the stack, e.g. form of the devices, geometry to facilitate stacking at least one device in the stack being rotated or offset
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06555Geometry of the stack, e.g. form of the devices, geometry to facilitate stacking
    • H01L2225/06568Geometry of the stack, e.g. form of the devices, geometry to facilitate stacking the devices decreasing in size, e.g. pyramidical stack
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3135Double encapsulation or coating and encapsulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/03Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/11Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/156Material
    • H01L2924/15786Material with a principal constituent of the material being a non metallic, non metalloid inorganic material
    • H01L2924/15787Ceramics, e.g. crystalline carbides, nitrides or oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/181Encapsulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/181Encapsulation
    • H01L2924/1815Shape
    • H01L2924/1816Exposing the passive side of the semiconductor or solid-state body
    • H01L2924/18162Exposing the passive side of the semiconductor or solid-state body of a chip with build-up interconnect
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/35Mechanical effects
    • H01L2924/351Thermal stress

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

반도체 디바이스들을 삼차원으로 적층하기 위한 방법 및 시스템이 제공된다. 실시예에서 두 개 이상의 반도체 다이들은 캐리어에 부착되고 캡슐화된다. 두 개 이상의 반도체 다이들의 연결부들은 노출되고, 두 개 이상의 반도체 다이들은 시닝되어 반대측면상에서 연결부들을 형성할 수 있다. 그 후 추가적인 반도체 다이들은 오프셋 또는 오버행 위치로 배치될 수 있다.

Description

3DIC 적층 디바이스 및 제조 방법{3DIC STACKING DEVICE AND METHOD OF MANUFACTURE}
본 출원은 "3DIC Stacking Device and Method of Manufacture"이라는 명칭으로 2012년 6월 27일에 출원된 미국 가특허 출원 제61/665,123호의 우선권을 청구하며, 이 가특허 출원은 참조로서 본 명세서 내에 병합된다.
집적 회로(IC)의 발명때문에, 반도체 산업은 다양한 전자 컴포넌트들(즉, 트랜지스터, 다이오드, 저항기, 캐패시터 등)의 집적 밀도에서의 끊임없는 향상으로 인해 급격한 성장을 경험해 왔다. 대부분, 이러한 집적 밀도에서의 향상은 최소 피처 크기의 반복된 감축으로부터 유발되었으며, 이것은 주어진 면적내로 보다 많은 컴포넌트들이 집적되도록 해준다.
집적된 컴포넌트들에 의해 점유된 용적은 본질적으로 반도체 웨이퍼의 표면상에 대한 것이라는 점에서 이러한 집적도 향상은 본질적으로 성질상 이차원(2D)적인 것이다. 비록 리소그래피에서의 극적인 향상은 2D IC 형성에서의 상당한 향상을 불러일으켰지만, 이차원에서 달성될 수 있는 밀도에 대해서는 물리적 한계들이 존재한다. 이러한 한계들 중 하나는 이러한 컴포넌트들을 제조하는데 필요한 최소 크기이다. 또한, 하나의 칩내에 보다 많은 디바이스들이 투입될 때에는, 보다 복잡한 설계들이 요구된다.
회로 밀도를 한층 더 증가시키려는 시도로, 삼차원(3D) IC가 연구되어 왔다. 3D IC의 일반적인 형성 공정에서는, 두 개의 다이들이 함께 접합되고 전기적 연결부들이 기판상의 접촉 패드들과 각각의 다이 사이에서 형성된다. 예를 들어, 한가지 시도는 두 개의 다이들을 서로 위아래로 접합시키는 것을 포함한다. 그런 후 적층된 다이들은 캐리어 기판에 접합되고 배선 접합은 각각의 다이상의 접촉 패드들을 캐리어 기판상의 접촉 패드들에 전기적으로 결합시킨다.
이하에서는 본 발명개시의 실시예들의 실시 및 이용을 자세하게 설명한다. 그러나, 본 실시예들은 폭넓게 다양한 특정 환경들에서 구체화될 수 있는 많은 적용가능한 발명적 개념들을 제공한다는 것을 알아야 한다. 설명하는 특정한 실시예들은 본 실시예들을 실시하고 이용하는 특정한 방법들에 대한 단순한 예시에 불과하며, 본 발명개시의 범위를 한정시키려는 것은 아니다.
예시적인 실시예들을 상세하게 다루기 전에, 실시예들의 양태들 및 그 유리한 특징들을 개괄적으로 다룰 것이다. 아래에서 설명될 바와 같이, 여기서 개시된 실시예들은 최상단 다이 오버행(overhang) 문제와 관련된 문제들을 개선시키는 방법 및 구조물을 제공한다. 예를 들어, Co(CoS)(chip on (chip on substrate))는 낮은 수율과 비교적 높은 비용을 경험할 수 있다. (CoW)oS((Chip on wafer) on substrate) 기술들은 오버행하는 최상단 다이에 대해서는 실용적이지 않다. (CoC)oS((chip on chip) on substrate)는 Co(CoS)보다 높은 비용을 경험하고 (CoW)oS보다 낮은 수율을 경험한다.
실시예에 따르면, 제1 캐리어 웨이퍼 상에 하나 이상의 바닥부 다이들을 배치시키는 단계 및 하나 이상의 바닥부 다이들상의 전기적 접촉부들이 노출되도록 하면서 하나 이상의 바닥부 다이들 사이에 제1 몰딩 화합물을 형성하는 단계를 포함한 디바이스를 형성하기 위한 방법이 제공된다. 하나 이상의 바닥부 다이들과 제1 몰딩 화합물은 제2 캐리어 웨이퍼에 부착되고, 하나 이상의 바닥부 다이들을 관통하여 형성된 쓰루 비아를 노출시키도록 하나 이상의 바닥부 다이들은 시닝된다. 쓰루 비아들에 대한 전기적 접촉부들이 하나 이상의 바닥부 다이들의 후면을 따라 형성되며, 하나 이상의 최상단 다이들은 하나 이상의 바닥부 다이들에 부착된다.
또 다른 실시예에 따르면, 제1 외부 접촉부들을 포함한 제1 반도체 다이를 캐리어에 부착하는 단계, 및 제2 외부 접촉부들을 포함한 제2 반도체 다이를 캐리어에 부착하는 단계를 포함한 반도체 디바이스를 제조하는 방법이 제공된다. 제1 반도체 다이와 제2 반도체 다이는 봉지재로 캡슐화되고, 봉지재의 일부분은 제거되어 제1 외부 접촉부들과 제2 외부 접촉부들을 노출시킨다. 제1 반도체 다이 내의 제1 쓰루 기판 비아들 및 제2 반도체 다이 내의 제2 쓰루 기판 비아들을 형성하기 위해 제1 반도체 다이와 제2 반도체 다이는 시닝된다. 제3 반도체 다이가 제1 쓰루 기판 비아들에 전기적으로 연결되고 제4 반도체 다이가 제2 쓰루 기판 비아들에 전기적으로 연결된다.
또다른 실시예에 따르면, 제1 봉지재에 의해 캡슐화된 제1 반도체 다이를 포함한 반도체 디바이스가 제공된다. 적어도 하나의 쓰루 기판 비아는 제1 반도체 다이의 적어도 일부분을 관통하여 연장하고 제1 반도체 다이의 제1 측면상에서 노출되며, 제1 외부 커넥터들은 제1 반도체 다이의 제2 측면상에 위치한다. 제3 반도체 다이는 적어도 하나의 쓰루 기판 비아와 전기적으로 연결되어 있고, 제3 반도체 다이는 봉지재 위에서 연장한다.
일반적인 관점에서, 도시된 실시예들은 최상단 다이 오버행 또는 바닥부 다이(w/TV 다이)보다 큰 최상단 다이를 허용하는 CoW 공정을 제공한다. 실시예들은 또한 CoWoS 공정에 대한 솔루션을 제공할 수 있고 보다 낮은 조립 비용을 얻기 위해 잠재적으로 기판을 스킵할 수 있다. 실시예들은 또한 BGA 기술들을 활용함으로써 보다 낮은 폼 팩터를 나타낼 수 있다.
본 발명과, 본 발명의 장점들의 보다 완벽한 이해를 위해, 이제부터 첨부 도면들을 참조하면서 이하의 상세한 설명에 대해 설명을 한다.
도 1 내지 도 10d는 실시예에 따른 반도체 디바이스들을 연결시키기 위한 제조 공정을 나타낸다.
도 11 내지 도 13d는 실시예에 따른 반도체 디바이스들을 연결시키기 위한 제조 공정의 대안적인 실시예를 나타낸다.
여러 도면들에서의 대응하는 번호들 및 심볼들은 이와 다르게 언급되지 않는 한 일반적으로 대응하는 부분들을 가리킨다. 실시예들의 관련된 양태들을 명확하게 설명하기 위해 도면들이 작도되어 있으며, 도면들은 반드시 실척도로 도시되어 있지는 않다.
이제 도 1 내지 도 10b를 참조하면, 제1 실시예가 제공된다. 도 1은 제1 접착제(103)가 도포되어 있는 제1 캐리어 웨이퍼(101)를 나타낸다. 제1 캐리어 웨이퍼(101)는, 예컨대, 유리, 실리콘 산화물, 알루미늄 산화물 등을 포함할 수 있고, 약 12밀(mil)보다 큰 두께를 가질 수 있다. 대안적으로, 제1 캐리어 웨이퍼(101)는 적절한 캐리어 테이프를 포함할 수 있다. 캐리어 테이프가 활용되는 경우, 캐리어 테이프는 통상적으로 알려진 블루 테이프일 수 있다.
제1 접착제(103)는 제1 캐리어 웨이퍼(101)를 제1 반도체 다이(201) 및 제2 반도체 다이(203)(이것들은 도 1에서는 도시되어 있지 않지만 도 2와 관련하여 아래에서 설명되고 도시된다)와 같은 다른 디바이스들에 접합시키기 위해 이용될 수 있다. 실시예에서, 접착제는 열적 릴리즈 막(thermal release film)일 수 있다. 대안적으로, 제1 접착제(103)는 UV 광에 노출될 때 자신의 접착 특성을 손실하는 자외선(UV) 아교일 수 있다. 임의의 적절한 접착제가 활용될 수 있으며, 이러한 접착제들 모두는 본 실시예들의 범위내에 완전히 포함되는 것으로 한다.
도 2는 제1 접착제로 제1 캐리어 웨이퍼(101)에 부착된 제1 반도체 다이(201)(또는 제1 바닥부 다이)와 제2 반도체 다이(203)(또는 제2 바닥부 다이)를 나타낸다. 제1 반도체 다이(201)와 제2 반도체 다이(203) 모두는 기판(205), 쓰루 기판 비아(through substrate via; TSV) 개구들(207), 능동 디바이스들(209), 금속층들(211), 접촉 패드들(213), 제1 패시베이션층들(215), 및 제1 외부 커넥터들(217)을 포함할 수 있다. 하지만, 제1 반도체 다이(201)와 제2 반도체 다이(203)가 유사한 피처들을 갖는 것으로서 도시되어 있지만, 이것은 예시에 불과하며 본 실시예들을 한정시키려는 의도가 있는 것은 아니며, 따라서 제1 반도체 다이(201)와 제2 반도체 다이(203)에 대해 의도된 희망하는 기능적 능력들을 충족시키기 위해 제1 반도체 다이(201)와 제2 반도체 다이(203)는 유사한 구조들을 갖거나 또는 상이한 구조들을 가질 수 있다.
추가적으로, 도 2에서는 단일의 제1 반도체 다이(201)와 단일의 제2 반도체 다이(203)가 도시되고 있지만, 이것은 예시에 불과할 뿐이며, 본 실시예들을 한정시키려는 의도가 있는 것은 아니다. 이보다는, 단일의 제1 반도체 다이(201)는 최종적으로 내부에서 형성되어 함께 적층된 쓰루 기판 비아(TSV; 도 7과 관련하여 아래에서 자세하게 논의됨)들을 가질 하나 이상의 제1 반도체 다이들(201)을 나타낼 수 있다. 마찬가지로, 단일의 제2 반도체 다이(203)는 최종적으로 내부에서 형성되어 함께 적층된 TSV들을 가질 하나 이상의 제2 반도체 다이들(203)을 나타낼 수 있다. 임의의 적절한 갯수의 제1 반도체 다이들(201)과 제2 반도체 다이들(203)이 대안적으로 활용될 수 있으며, 이러한 모든 조합들은 본 실시예들의 범위내에 완전히 포함되는 것으로 한다.
기판들(205)은 도핑 또는 비도핑된 벌크 실리콘, 또는 SOI(silicon-on-insulator) 기판의 활성층을 포함할 수 있고 제1 측면(202)과 제2 측면(204)을 가질 수 있다. 일반적으로, SOI 기판은 실리콘, 게르마늄, 실리콘 게르마늄, SOI, SGOI(silicon germanium on insulator), 또는 이들의 조합과 같은 반도체 물질층을 포함한다. 이용될 수 있는 다른 기판들은 다층화된 기판들, 구배 기판들, 또는 하이브리드 배향 기판들을 포함한다.
쓰루 기판 비아(TSV) 개구들(207)이 기판들(205)의 제1 측면(202) 내에 형성될 수 있다. TSV 개구들(207)은 적절한 포토레지스트(미도시됨)를 도포하여 현상하고, 노출된 기판(205)을 희망하는 깊이까지 제거함으로써 형성될 수 있다. TSV 개구들(207)은 적어도 기판들(205) 내에 및/또는 그 위에 형성된 능동 디바이스들(209)보다 더 멀리 기판들(205) 내로 확장하도록 형성될 수 있고, 기판들(205)의 최종적인 희망하는 높이보다 큰 깊이까지 확장할 수 있다. 따라서, 이러한 깊이는 제1 반도체 다이(201)와 제2 반도체 다이(203)의 총체적인 설계들에 의존적이지만, 이 깊이는, 기판들(205)상의 능동 디바이스들(209)로부터 약 100㎛의 깊이와 같이, 기판들(205)상의 능동 디바이스들(209)로부터 약 20㎛와 약 200㎛ 사이에 있을 수 있다.
TSV 개구들(207)이 기판들(205) 내에서 형성되면, TSV 개구들(207)은 라이너(도 2에서는 독자적으로 도시되어 있지 않음)에 대해 라이닝(line)될 수 있다. 라이너는, 예컨대 TEOS(tetraethylorthosilicate) 또는 실리콘 질화물로부터 형성된 산화물일 수 있지만, 임의의 적절한 유전체 물질이 대안적으로 이용될 수 있다. 라이너는 플라즈마 강화된 화학적 기상 증착(plasma enhanced chemical vapor deposition; PECVD) 공정을 이용하여 형성될 수 있지만, 물리적 기상 증착 또는 열 공정과 같은 다른 적절한 공정들이 대안적으로 이용될 수 있다. 추가적으로, 라이너는 약 1㎛와 같이, 약 0.1㎛와 약 5㎛ 사이의 두께로 형성될 수 있다.
라이너가 TSV 개구들(207)의 측벽들과 바닥부를 따라 형성되면, 배리어층(독자적으로 도시되어 있지 않음)이 형성될 수 있고 TSV 개구들(207)의 나머지는 제1 도전성 물질(219)로 충전될 수 있다. 제1 도전성 물질(219)은 구리를 포함할 수 있지만, 알루미늄, 합금들, 도핑된 폴리실리콘, 이들의 조합 등과 같은 다른 적절한 물질들이 대안적으로 활용될 수 있다. 제1 도전성 물질(219)은 구리를 시드층(미도시됨)상에서 전기도금하고, TSV 개구들(207)을 충전 및 과충전시킴으로써 형성될 수 있다. TSV 개구들(207)이 충전되면, TSV 개구들(207)의 외부에 있는 과잉 라이너, 배리어층, 시드층, 및 제1 도전성 물질(219)은 화학적 기계적 폴리싱(chemical mechanical polishing; CMP)과 같은 평탄화 공정을 통해 제거될 수 있으나, 임의의 적절한 제거 공정이 이용될 수 있다.
도 2에서 능동 디바이스들(209)은 각각의 기판들(205)상에서 단일의 트랜지스터로서 나타난다. 하지만, 본 발명분야의 당업자는 제1 반도체 다이(201)와 제2 반도체 다이(203)에 대한 설계의 희망하는 구조적 및 기능적 요건들을 생성하기 위해 캐패시터, 저항기, 인덕터 등과 같은 다양한 폭의 수동 디바이스들 및 능동 디바이스들이 이용될 수 있다는 것을 알 것이다. 능동 디바이스들(209)은 임의의 적절한 방법들을 이용하여 기판들(205)의 제1 측면(202) 내에서 또는 이와 달리 제1 측면(202)상에서 형성될 수 있다.
금속층들(211)은 기판들(205)의 제1 측면(202) 및 능동 디바이스들(209) 위에서 형성되며, 다양한 능동 디바이스들(209)을 연결시켜서 기능적 회로를 형성하도록 설계된다. 도 2에서 금속층들(211)은 단일층의 유전체 및 상호연결부들로서 도시되지만, 금속층들(211)은 교호하는 유전체 및 도전성 물질층들로 형성되고, 이것은 (증착, 다마신, 듀얼 다마신 등과 같은) 임의의 적절한 공정을 통해 형성될 수 있다. 실시예에서는 적어도 하나의 층간 유전체층(interlayer dielectric layer; ILD)에 의해 기판들(205)로부터 분리된 네 개의 금속층들이 존재할 수 있지만, 금속층들(211)의 정확한 갯수는 제1 반도체 다이(201) 및 제2 반도체 다이(203)의 설계에 따라 좌우된다.
접촉 패드들(213)이 금속층들(211)과 전기적 접촉을 이루면서 금속층들(211) 위에서 형성될 수 있다. 접촉 패드들(213)은 알루미늄을 포함할 수 있지만, 구리와 같은 다른 물질들이 대안적으로 이용될 수 있다. 접촉 패드들(213)은 스퍼터링과 같은 증착 공정을 이용하여 물질층(미도시됨)을 형성함으로써 형성될 수 있고, 그런 후 이 물질층의 일부분들은 (포토리소그래피 마스킹 및 에칭과 같은) 적절한 공정을 통해 제거되어 접촉 패드들(213)을 형성할 수 있다. 하지만, 접촉 패드들(213)을 형성하기 위해 임의의 다른 적절한 공정이 활용될 수 있다. 접촉 패드들(213)은 약 1.45㎛와 같이, 약 0.5㎛과 약 4㎛ 사이의 두께를 갖도록 형성될 수 있다.
제1 패시베이션층들(215)은 기판들(205)상의 금속층들(211)과 접촉 패드들(213) 위에서 형성될 수 있다. 제1 패시베이션층들(215)은 실리콘 산화물, 실리콘 질화물, 탄소도핑된 산화물과 같은 저 k(low-k) 유전체, 탄소도핑된 다공성 실리콘 이산화물과 같은 극저 k(extremely low k) 유전체, 이들의 조합 등과 같은 하나 이상의 적절한 유전체 물질들로 이루어질 수 있다. 제1 패시베이션층들(215)은 화학적 기상 증착(CVD)과 같은 공정을 통해 형성될 수 있지만, 임의의 적절한 공정이 활용될 수 있으며, 약 9.25KÅ와 같이, 약 0.5㎛와 약 5㎛ 사이의 두께를 가질 수 있다.
추가적인 보호를 제공하기 위해 제2 패시베이션층들(1002)(명료성을 위해 도 2에서는 독자적으로 도시되어 있지 않지만, 아래의 도 10b와 관련한 확대도에서는 도시됨)이 제1 패시베이션층들(215) 위에 형성될 수 있다. 실시예에서, 제2 패시베이션층들(1002)은 폴리이미드와 같은 폴리머로부터 형성될 수 있거나, 또는 대안적으로 제1 패시베이션층들(215)과 유사한 물질(예컨대, 실리콘 산화물, 실리콘 질화물, 저 k 유전체, 극저 k 유전체, 이들의 조합 등)로 형성될 수 있다. 제2 패시베이션층들(1002)은 약 5㎛와 같이, 약 2㎛와 약 15㎛ 사이의 두께를 갖도록 형성될 수 있다.
인쇄 회로 보드 또는 예컨대 플립칩 배열의 다른 반도체 다이들과 같은 외부 디바이스들(도 2에서는 미도시됨)과 접촉 패드들(213) 사이에 접촉용 도전성 영역들을 제공하기 위해 제1 외부 커넥터들(217)이 형성될 수 있다. 제1 외부 커넥터들(217)은 또한 화학적 기계적 폴리싱(CMP)과 같은 평탄화 공정에서의 버퍼로서 활용될 수 있다. 실시예에서, 제1 외부 커넥터들(217)은 도전성 기둥들일 수 있고, 초기에 제1 패시베이션층들(215) 및 제2 패시베이션층들(1002) 위에서 약 10㎛와 같이, 약 5㎛와 약 20㎛ 사이의 두께까지 포토레지스트(미도시됨)를 형성함으로써 형성될 수 있다. 포토레지스트는 제2 패시베이션 층들(1002)과 제1 패시베이션 층들(215)의 일부분들(이 노출된 부분들을 통해 도전성 기둥들은 연장할 것이다)을 노출시키도록 패턴화된다. 포토레지스트가 패턴화되면, 그 후 포토레지스트는 제2 패시베이션 층들(1002)과 제1 패시베이션 층들(215)의 희망하는 부분들을 제거하기 위한 마스크로서 이용될 수 있고, 이로써 도전성 기둥들이 접촉할 아래의 접촉 패드들(213)의 부분들을 노출시킨다.
접촉 패드들(213)이 노출되면, 제1 UBM 층들(1003)(도 2에서는 독자적으로 도시되어 있지 않지만, 아래의 도 10b와 관련한 확대도에서는 도시됨)이 접촉 패드(105)와 전기적 접촉을 이루면서 형성될 수 있다. 제1 UBM 층들(1003)은 티타늄층, 또는 니켈층과 같은, 도전성 물질층을 포함할 수 있다. 제1 UBM 층들(1003)은 다중 서브층들(미도시됨)을 포함할 수 있다. 본 발명분야의 당업자는, 크롬/크롬 구리 합금/구리/금의 배열, 티타늄/티타늄 텅스텐/구리의 배열, 또는 구리/니켈/금의 배열과 같은, 제1 UBM 층들(1003)의 형성에 적절한 수 많은 적절한 물질 및 층 배열이 존재한다는 것을 알 것이다. 제1 UBM 층들(1003)을 위해 이용될 수 있는 임의의 적절한 물질들 또는 층들은 본 실시예들의 범위 내에 완전히 포함되는 것으로 한다. 제1 UBM 층들(1003)은 희망하는 물질들에 따라, 스퍼터링, 증착, 또는 PECVD 공정들과 같은 공정들을 이용하여 생성될 수 있다. 제1 UBM 층들(1003)은 약 5㎛와 같이, 약 0.7㎛와 약 10㎛ 사이의 두께를 갖도록 형성될 수 있다.
제1 UBM 층들(1003)이 형성된 후, 도전성 기둥들은 제1 패시베이션층들(215), 제2 패시베이션층들(1002), 및 포토레지스트 모두의 개구들 내에서 형성될 수 있다. 도전성 기둥들은 구리와 같은 도전성 물질로부터 형성될 수 있지만, 니켈, 금, 또는 금속 합금, 이들의 조합 등과 같은 다른 도전성 물질들이 또한 이용될 수 있다. 추가적으로, 도전성 기둥들은 전기도금과 같은 공정을 이용하여 형성될 수 있는데, 이 때 전류는 도전성 기둥들이 형성되기를 희망하는 접촉 패드들(213)의 도전부분들에 흐르고, 접촉 패드들(213)은 용액에 침지된다. 용액과 전류는 개구들 내에서 예컨대 구리를 침전시켜서 포토레지스트, 제1 패시베이션층들(215), 및 제2 패시베이션층들(1002)의 개구들을 충전 및/또는 과충전시키고, 이로써 도전성 기둥들을 형성한다. 그런 후 개구들 외부에 있는 과잉 도전성 물질은 예컨대 화학적 기계적 폴리싱(CMP)을 이용하여 제거될 수 있다.
도전성 기둥들이 형성된 후, 포토레지스트는 애싱(ashing)과 같은 공정을 통해 제거될 수 있고, 이로써 포토레지스트의 온도는 포토레지스트가 분해되고 제거될 수 있을 때 까지 증가한다. 포토레지스트의 제거 후, 도전성 기둥들은 제1 패시베이션층들(215) 및 제2 패시베이션층들(1002)로부터 약 40㎛와 같이, 약 5㎛와 약 50㎛ 사이의 제1 거리만큼 멀리 연장한다. 택일적 사항으로서, 배리어층(미도시됨)이 예컨대 무전해 도금에 의해 도전성 기둥들 위에서 형성될 수 있고, 배리어층은 니켈, 바나듐(V), 크롬(Cr), 및 이들의 조합으로 형성될 수 있다.
하지만, 본 발명분야의 당업자라면, 도전성 기둥들을 형성하기 위한 상술한 공정은 단순히 하나의 설명예에 불과하며, 실시예들을 바로 그 공정으로 제한시키는 것을 의미하지 않는다는 것을 알 것이다. 이보다는, 설명한 공정은 단지 예시적인 것으로서 의도된 것이며, 제1 외부 커넥터들(217)을 형성하기 위한 임의의 적절한 공정이 대안적으로 활용될 수 있다. 예를 들어, 제1 패시베이션층들(215)과 제2 패시베이션층들(1002)을 최종적인 두께보다 큰 두께로 형성하는 것, 제1 패시베이션층들(215)과 제2 패시베이션층들(1002)의 개구 내에 도전성 기둥들을 형성하는 것, 및 그 후 도전성 기둥들이 제1 패시베이션층들(215)과 제2 패시베이션층들(1002)로부터 멀리 연장하도록 제1 패시베이션층들(215)과 제2 패시베이션층들(1002)의 상단부를 제거하는 것이 또한 활용될 수 있다. 적절한 모든 공정들이 본 실시예들의 범위 내에 완전히 포함되는 것으로 한다.
택일적 사항으로서, 제1 외부 커넥터들(217)을 보호하기 위해 보호층(221)이 제1 외부 커넥터들(217) 위에서 형성될 수 있다. 실시예에서 보호층(221)은 폴리머층과 같은 보호층일 수 있지만, 임의의 적절한 물질이 대안적으로 활용될 수 있다. 보호층(221)은 약 8㎛와 같이, 약 5㎛과 약 15㎛ 사이의 두께를 갖도록 형성될 수 있다.
도 3은 제1 반도체 다이(201)와 제2 반도체 다이(203)가 제1 캐리어 웨이퍼(101)에 부착된 상태로 남아있도록 하면서 제1 반도체 다이(201)와 제2 반도체 다이(203)를 캡슐화하기 위한 제1 몰딩 공정을 나타낸다. 실시예에서, 제1 반도체 다이(201)와 제2 반도체 다이(203)는 예컨대 몰딩 디바이스(미도시됨)를 이용하여 캡슐화될 수 있다. 예를 들어, 제1 반도체 다이(201), 제2 반도체 다이(203), 및 제1 캐리어 웨이퍼(101)는 몰딩 디바이스의 공동(cavity) 내에 배치될 수 있고, 이러한 공동은 밀봉될 수 있다. 봉지재(encapsulant)(301)는 공동이 밀봉되기 전에 공동 내에 위치할 수 있거나 또는 이와 달리 주입 포트를 통해 공동 내로 주입될 수 있다. 실시예에서, 봉지재(301)는 폴리이미드, PPS, PEEK, PES, 내열성 수정 수지, 이들의 조합 등과 같은 몰딩 화합물 수지일 수 있다.
봉지재(301)가 제1 반도체 다이(201)와 제2 반도체 다이(203)를 캡슐화하도록 봉지재(301)가 공동 내로 배치되면, 봉지재(301)를 최적의 보호를 위해 굳게하도록 봉지재(301)는 경화될 수 있다. 정확한 경화 공정은 봉지재(301)용으로 선택된 특정 물질에 적어도 부분적으로 의존하지만, 몰딩 화합물이 봉지재(301)로서 선택된 실시예에서, 경화는 봉지재(301)를 약 600초와 같이, 약 60초 내지 약 3000초 동안에, 약 125℃와 같이, 약 100℃와 약 130℃ 사이까지 가열시키는 것과 같은 공정을 통해 일어날 수 있다. 추가적으로, 경화 공정을 보다 잘 제어하기 위해 개시자들 및/또는 촉매들이 봉지재(301) 내에 포함될 수 있다.
하지만, 본 발명분야의 당업자라면, 상술한 경화 공정은 단순히 예시적인 공정에 불과하며, 이것은 본 실시예들을 제한시키려는 의미는 아니라는 것임을 알 것이다. 대안적으로 봉지재(301)를 상온에서도 경화시킬 수 있도록 해주는 방사선조사와 같은 다른 경화 공정들이 이용될 수 있다. 임의의 적절한 경화 공정이 이용될 수 있으며, 이러한 공정들 모두는 여기서 논의된 실시예들의 범위 내에 완전히 포함되는 것으로 한다.
도 4는 제1 외부 커넥터들(217)을 노출시키기 위한 봉지재(301)의 제거를 나타낸다. 실시예에서 봉지재(301)의 제거는 예컨대 화학적 기계적 폴리싱(CMP) 공정을 이용하여 수행될 수 있고, 여기서는 봉지재(301)와 상호작용을 해서 제1 외부 커넥터들(217)이 노출될 때 까지 봉지재(301)를 그라인딩하여 제거하도록 하기 위해 연마재와 에천트가 봉지재(301)에 도포되고 폴리싱된다. 대안적으로, 봉지재(301)를 제거하고 봉지재(301)를 제1 외부 커넥터들(217)과 함께 평탄화하기 위해 하나 이상의 에칭 공정들이 활용될 수 있다.
도 5는 제1 반도체 다이(201)와 제2 반도체 다이(203)로부터의 제1 캐리어 웨이퍼(101)의 제거를 나타낸다. 제1 캐리어 웨이퍼(101)의 제거는 활용되는 제1 접착제(103)의 유형에 적어도 부분적으로 좌우되지만, 제1 접착제(103)가 열적 릴리즈 막인 실시예에서, 제1 접착제(103)는 제1 반도체 다이(201)와 제2 반도체 다이(203)로부터 제1 캐리어 웨이퍼(101)를 떼어내기 위해 약 200℃보다 큰 온도까지 가열될 수 있다. 제1 접착제(103)가 UV 아교인 경우 제1 접착제(103)를 UV 광으로 조사시키는 것과 같은, 제1 캐리어 웨이퍼(101)를 제거하기 위한 임의의 다른 적절한 방법이 대안적으로 활용될 수 있다.
도 6은 제2 접착제(603)를 활용하여 봉지재(301)와 제1 외부 커넥터들(217)을 제2 캐리어 웨이퍼(601)에 부착시키는 것을 나타낸다. 실시예에서 제2 캐리어 웨이퍼(601)와 제2 접착제(603)는 각각 유리 캐리어 웨이퍼와 열적 릴리즈 막과 같은 것인, 제1 캐리어 웨이퍼(101)와 제1 접착제(103)와 유사할 수 있다. 하지만, 이와 달리 제2 캐리어 웨이퍼(601)와 제2 접착제(603)는 제1 캐리어 웨이퍼(101)와 제1 접착제(103)와 상이할 수 있다.
도 7은 TSV 개구들(207)을 노출시키고 TSV들(701)을 형성하기 위한 기판들(205)의 제2 측면(204)의 일부분 및 봉지재(301)의 제거를 나타낸다. 실시예에서, 기판들(205)의 제2 측면(204)의 일부분 및 봉지재(301) 모두를 제거하고 또한 기판들(205)의 제2 측면(204) 및 봉지재(301)를 평탄화하기 위해 기판들(205)의 제2 측면(204) 및 봉지재(301)는 예컨대 CMP 및 그라인딩 공정들을 이용하여 제거될 수 있다. 대안적으로, 봉지재(301)를 제거하고 TSV 개구들(207)을 노출시켜서 TSV(701)를 형성하기 위해 하나 이상의 에칭 공정들 또는 다른 제거 공정들이 이용될 수 있다.
도 8은 기판(205)의 제2 측면(204)상에서의 제1 재분배층(RDL)(801) 및 제2 외부 커넥터들(803)의 형성을 나타낸다. 제1 RDL(801)은 알루미늄, 구리, 텅스텐, 티타늄, 및 이들의 조합과 같은 금속들로 형성된 두 개의 도전층들을 포함할 수 있다. 제1 RDL(801)은 화학적 기상 증착을 통해 금속층들을 증착하고 그런 후 희망하지 않는 부분들을 에칭하여 제1 RDL(801)을 남겨둠으로써 형성될 수 있다. 제1 RDL(801)은 약 5㎛와 같이, 약 2㎛와 약 30㎛ 사이의 두께를 가질 수 있다. 하지만, 잘 알려진 다마신 공정과 같은, 다른 공정 및 물질들이 제1 RDL(801)을 형성하기 위해 대안적으로 이용될 수 있다.
택일적으로서, 실시예에서, 제1 RDL(801)은 제1 반도체 다이(201) 및 제2 반도체 다이(203) 위 뿐만이 아니라, 봉지재(301) 위에서 형성될 수 있다. 제1 RDL(801)을 봉지재(301) 위에 형성함으로써, 제1 반도체 다이(201) 및 제2 반도체 다이(203)를 위한 팬 아웃(fan-out) 영역은 제1 반도체 다이(201) 및 제2 반도체 다이(203)의 경계들을 넘어 연장할 수 있는데, 이것은 또한 입력/출력(I/O) 카운트들에서의 증가를 가능하게 해준다.
제2 외부 커넥터들(803)은 마이크로범프들 또는 제어된 붕괴형 칩 연결부(C4) 범프들과 같은 접촉 범프들일 수 있고, 주석과 같은 물질, 또는 은 또는 구리와 같은 다른 적절한 물질들을 포함할 수 있다. 제2 외부 커넥터들(803)이 주석 솔더 범프들인 실시예에서, 제2 외부 커넥터들(803)은 전기도금, 증착, 프린팅, 솔더 전사, 볼 배치 등과 같은 임의의 적절한 방법을 통해 약 100㎛의 바람직한 두께로 주석층을 초기에 형성함으로써 형성될 수 있다. 주석층이 구조물상에서 형성되면, 물질을 원하는 범프 형상으로 쉐이핑하기 위해 리플로우가 수행된다.
도 9a는 제3 반도체 다이(901)(또는 제3 최상단 다이)와 제4 반도체 다이(903)(또는 제4 최상단 다이)가 제2 반도체 다이(203)와 제1 반도체 다이(201)에 각각 부착된 것을 나타낸다. 실시예에서, 제3 반도체 다이(901) 및 제4 반도체 다이(903)는 제1 반도체 다이(201) 및 제2 반도체 다이(203)와 유사한 능동 디바이스들, 금속층들, 및 접촉 패드들(이것들 모두는 명확성을 위해 도시되지는 않는다)을 포함할 수 있지만, 이것들은 이와 다른 구조물들을 또한 포함할 수 있고 자신들이 부착되어 있는 반도체 다이들과 상이하거나 또는 상보적인 기능들을 수행할 수 있다.
실시예에서, 제3 반도체 다이(901)는 아래에 있는 제2 반도체 다이(203)보다 클 수 있다. 예를 들어, 제2 반도체 다이(203)가 약 8㎜와 같은, 약 3㎜와 약 14㎜ 사이의 제1 길이(l1)를 가질 수 있는 실시예에서, 제3 반도체 다이(901)는 약 10㎜와 같은, 약 1㎜와 약 20㎜ 사이의 제2 길이(l2)를 가질 수 있다. 제3 반도체 다이(901)는, 제2 반도체 다이(203)보다 큰 치수들을 가짐으로써 제2 반도체 다이(203)를 오버행(overhang)할 수 있다. 하지만, 제2 반도체 다이(203) 및 제3 반도체 다이(901)에 대한 지지 및 연결성을 제공하기 위해 봉지재(301)와 RDL(801)이 활용될 수 있다.
하지만, 도 9a에서 도시된 바와 같이 제2 길이(l2)가 제1 길이(l1)보다 큰 것이 하나의 실시예인데, 본 발명분야의 당업자라면 이러한 설명은 단지 예시에 불과하며 본 실시예를 한정하려는 의도는 없다는 것을 알 것이다. 다른 실시예들에서, 제2 길이(l2)는 제1 길이보다 크거나, 이보다 작거나, 또는 제1 길이(l1)와 동등할 수 있다. 제1 길이(l1)와 제2 길이(l2)의 모든 크기들 및 치수들은 본 실시예들의 범위 내에 완전히 포함되는 것으로 한다.
실시예에서, 제일먼저 제3 반도체 다이(901)를 제2 반도체 다이(203)에 정렬시키고 제4 반도체 다이(903)를 제1 반도체 다이(201)에 정렬시킴으로써 제3 반도체 다이(901) 및 제4 반도체 다이(903)는 제2 반도체 다이(203) 및 제1 반도체 다이(201)에 접합될 수 있다. 다이들이 정렬되면, 리플로우가 수행되어 제2 외부 커넥터들(803)의 물질은 리플로우되고 다이들은 함께 접합될 수 있다. 하지만, 제1 반도체 다이(201), 제2 반도체 다이(203), 제3 반도체 다이(901) 및 제4 반도체 다이(903)를 접합시키기 위해, 구리-구리 접합과 같은, 임의의 적절한 접합 방법이 대안적으로 활용될 수 있다.
택일적으로, 도 9a는 또한 제3 반도체 다이(901)와 제4 반도체 다이(903)의 캡슐화를 나타낸다. 실시예에서, 제3 반도체 다이(901)와 제4 반도체 다이(903)를 캡슐화하기 위해 제2 몰딩 공정이 이용될 수 있으며, 제2 몰딩 공정은 제1 반도체 다이(201)와 제2 반도체 다이(203)를 캡슐화하기 위한 제1 몰딩 공정과 유사할 수 있다. 예를 들어, 봉지재(301)는 도 3과 관련하여 위에서 설명한 바와 같이, 제1 반도체 다이(201), 제2 반도체 다이(203), 제3 반도체 다이(901), 및 제4 반도체 다이(903)와 함께 몰딩 챔버내에 배치될 수 있다. 하지만, 제2 몰딩 공정은 제1 몰딩 공정과 유사할 수 있지만, 대안적으로 제2 몰딩 공정은 본 실시예들의 범위 내에 남아있으면서 상이한 물질들 및 상이한 공정들을 이용할 수 있다.
제3 반도체 다이(901)와 제4 반도체 다이(903)를 캡슐화함으로써, 제1 RDL(801)은 두 개의 봉지재(301) 세트들 사이에 위치할 수 있다. 이러한 위치는, 제1 반도체 다이(201), 제2 반도체 다이(203), 제3 반도체 다이(901) 및 제4 반도체 다이(903) 사이에 직접 위치하지 않은 제1 RDL(801)의 일부분들에 대한 지지를 제공하는 것을 도와준다. 보다 나은 보호를 제공함으로써, 장래의 제1 RDL(801)의 악화는 감소될 수 있거나 또는 제거될 수 있다.
또한 택일적으로, 제3 반도체 다이(901)와 제4 반도체 다이(903)를 캡슐화하기 위해 활용된 봉지재(301)는 제3 반도체 다이(901) 및 제4 반도체 다이(903)를 노출시키도록 제3 반도체 다이(901) 및 제4 반도체 다이(903)와 함께 평탄화될 수 있다. 실시예에서 제3 반도체 다이(901)와 제4 반도체 다이(903)가 노출될 때 까지, 봉지재(301)는, 예컨대 봉지재(301)와 반응해서 봉지재(301)를 그라인딩하여 제거하기 위한 CMP 공정을 이용하여 평탄화되고 제거될 수 있다.
추가적으로, 도 9a에서는 단일의 제3 반도체 다이(901)와 단일의 제4 반도체 다이(903)가 도시되고 있지만, 이것은 예시예 불과할 뿐이며, 본 실시예들을 한정시키려는 의도가 있는 것은 아니다. 대안적인 실시예들에서 도 9a에서 도시된 단일의 제3 반도체 다이(901)는 제2 반도체 다이(203)에 전기적으로 연결될 것이 요망되는 다수의 반도체 다이들을 나타낼 수 있다. 마찬가지로, 9a에서 도시된 단일의 제4 반도체 다이(903)는 제1 반도체 다이(201)에 전기적으로 연결될 것이 요망되는 다수의 반도체 다이들을 나타낼 수 있다. 제3 반도체 다이(901)와 제4 반도체 다이(903)의 임의의 갯수 조합이 대안적으로 활용될 수 있으며, 이러한 모든 조합들은 본 실시예들의 범위내에 완전히 포함되는 것으로 한다.
도 9b는 제3 반도체 다이(901)와 제4 반도체 다이(903)의 대안적인 배치를 나타낸다. 이 실시예에서, 제3 반도체 다이(901)와 제4 반도체 다이(903)는, 제1 반도체 다이(201)와 제2 반도체 다이(203)를 단순히 오버행하는 것 대신에, 이들이 각자의 다이들로부터 오프셋되도록 배치된다. 실시예에서, 제3 반도체 다이(901)와 제4 반도체 다이(903)는 약 1.5㎜와 같은, 약 100㎛와 약 3㎜ 사이의 제1 거리(l1) 만큼 오프셋될 수 있다.
택일적으로, 언더필 물질(905)이 제1 반도체 다이(201), 제2 반도체 다이(203), 제3 반도체 다이(901) 및 제4 반도체 다이(903) 사이의 공간에 주입되거나 또는 이와 다른 방법으로 이 공간에서 형성될 수 있다. 언더필 물질(905)은, 예컨대, 제1 반도체 다이(201), 제2 반도체 다이(203), 제3 반도체 다이(901) 및 제4 반도체 다이(903) 사이에 분배되고 그 후 경화되어 굳게되는 액체 에폭시를 포함할 수 있다. 이 언더필 물질(905)은 제3 외부 커넥터들(805)에서 크랙들이 형성되는 것을 방지하기 위해 이용될 수 있으며, 이러한 크랙들은 일반적으로 열 응력에 의해 유발된다.
대안적으로, 제2 외부 커넥터들(803) 내에서 크랙들이 발생하는 것을 방지하는 것을 도와주기 위해 제1 반도체 다이(201), 제2 반도체 다이(203), 제3 반도체 다이(901) 및 제4 반도체 다이(903) 사이에 변형가능한 겔이나 또는 실리콘 고무가 형성될 수 있다. 이러한 겔이나 또는 실리콘 고무는, 제1 반도체 다이(201), 제2 반도체 다이(203), 제3 반도체 다이(901) 및 제4 반도체 다이(903) 사이에 변형가능한 겔이나 또는 고무를 주입하거나 또는 이와 다른 방법으로 이 사이에 배치시킴으로써 형성될 수 있다. 변형가능한 겔이나 또는 실리콘 고무는 또한 후속 공정 동안에 응력 제거를 제공할 수 있다.
도 10a는 제2 캐리어 웨이퍼(601)와 제2 접착제(603)의 제거, 및 제3 외부 커넥터들(1001)과 제1 외부 커넥터들(217)의 형성을 나타낸다. 제2 접착제(603)가 열적 릴리즈 막인 실시예에서, 제2 접착제(603)와 제2 캐리어 웨이퍼(601)는 제2 캐리어 웨이퍼(601)가 손쉽게 제거가능하도록 제2 접착제(603)의 온도를 약 200℃보다 높게까지 증가시킴으로써 제거될 수 있다. 대안적으로, 제2 접착제(603)가 UV 아교인 실시예에서, 제2 캐리어 웨이퍼(601)와 제2 접착제(603)를 제거하기 위해 제2 접착제(603)는 UV 광으로 조사될 수 있다.
제2 캐리어 웨이퍼(601)와 제2 접착제(603)가 제거되면, 제3 외부 커넥터들(1001)이 제1 외부 커넥터들(217)과 접촉하면서 형성될 수있다. 실시예에서, 제3 외부 커넥터들(1001)은 마이크로범프들 또는 제어된 붕괴형 칩 연결부(C4) 범프들과 같은 접촉 범프들일 수 있고, 주석과 같은 물질, 또는 은 또는 구리와 같은 다른 적절한 물질들을 포함할 수 있다. 제3 외부 커넥터들(1001)이 주석 솔더 범프들인 실시예에서, 제3 외부 커넥터들(1001)은 전기도금, 증착, 프린팅, 솔더 전사, 볼 배치 등과 같은 임의의 적절한 방법을 통해 약 100㎛의 바람직한 두께로 주석층을 초기에 형성함으로써 형성될 수 있다. 주석층이 구조물상에서 형성되면, 물질을 원하는 범프 형상으로 쉐이핑하기 위해 리플로우가 수행되는 것이 바람직하다.
도 10a는 또한 제2 기판(1022)으로의 제1 반도체 다이(201)와 제2 반도체 다이(203)의 연결을 나타낸다. 제2 기판(1022)은, 제1 반도체 다이(201)와 제2 반도체 다이(203)를 지지하고 보호하기 위해 활용될 수 있는 동시에 또한 외부 디바이스들(미도시됨)로의 제1 반도체 다이(201)와 제2 반도체 다이(203)상의 제3 외부 커넥터들(1001)간의 연결을 제공하기 위해 이용될 수 있다. 실시예에서, 제2 기판(1022)은 인쇄 회로 보드일 수 있고, BT(bismaleimide triazine), FR-4 등과 같은 폴리머 물질의 다중 주석층들(또는 라미네이트들)의 스택으로서 형성된 적층 기판일 수 있다. 하지만, 유기 기판, 세라믹 기판 등과 같은 임의의 다른 적절한 기판이 대안적으로 활용될 수 있고, 제1 반도체 다이(201)와 제2 반도체 다이(203)에 대한 지지 및 연결성을 제공하는 이러한 모든 기판들은 본 실시예들의 범위 내에 완전히 포함되는 것으로 한다.
도 10b는 점선(1020)에 의해 에워싸여진 도 10a의 일부분의 보다 상세한 확대된 모습을 나타낸다. 살펴볼 수 있는 바와 같이, 제1 외부 커넥터들(217)은 봉지재(301)를 거쳐서 제1 UBM 층들(1003)로부터 멀리 연장한다. 따라서, 봉지재(301)는 제1 외부 커넥터들(217)에 대한 추가적인 지지 및 보호를 제공하는 동시에 또한 제1 반도체 다이(201)의 나머지에 대한 지지 및 보호를 제공한다.
도 10c와 도 10d는 제1 외부 커넥터들(217)을 보호하기 위해 택일적인 보호층(221)이 이용될 수 있는 실시예들을 나타낸다. 도 10c는 예컨대 CMP 공정과 같은 평탄화 공정을 이용하여, 보호층(221)이 제1 외부 커넥터들(217)의 윗면과 평면을 이루도록 형성될 수 있는 하나의 실시예를 나타낸다. 도 10d는 보호층(221)이 제1 외부 커넥터들(217)의 일부분을 보호하지만 제1 외부 커넥터들(217)의 윗면까지 완전히 연장하지 않는 대안적인 실시예를 나타낸다.
여기서 설명한 실시예를 활용함으로써, 칩 온 웨이퍼 공정 또는 칩 온 웨이퍼 온 기판 공정은 최상단 다이(예컨대, 제3 반도체 다이(901) 또는 제4 반도체 다이(903))가 바닥부 다이(예컨대, 제1 반도체 다이(201) 또는 제2 반도체 다이(203))를 오버행하거나 또는 이것보다 큰 치수를 갖도록 해준다. 이 실시예들은 또한 제1 반도체 다이(201), 제2 반도체 다이(203), 제3 반도체 다이(901) 및 제4 반도체 다이(903)를 연결시키기 위해 볼 그리드 어레이들을 이용함으로써 폼 팩터 감소를 가능하게 해주며, 제1 반도체 다이(201)와 제2 반도체 다이(203)가 (인쇄 회로 보드와 같은) 또다른 기판에 부착되기 전에 제3 반도체 다이(901)와 제4 반도체 다이(903)에 연결될 수 있기 때문에, 보다 큰 처리 유연성을 가능하게 해준다. 이러한 유연성은 인쇄 회로 보드로의 부착이 제거되거나 또는 재배열됨으로써 잠재적으로 어셈블리 비용을 낮출 수 있다는 것을 의미한다.
도 11은 제2 RDL(1101)이 제1 반도체 다이(201)와 제2 반도체 다이(203)의 제1 측면(202) 위에서 형성되는 또다른 실시예를 나타낸다. 실시예에서, 제2 RDL(1101)은 봉지재(301)가 제거되어 제1 외부 커넥터들(217)을 노출시킨 후 제1 캐리어(101)가 제거되기 전에 형성될 수 있다. 제2 RDL(1101)은 도 8과 관련하여 상술한 제1 RDL(801)과 유사한 물질들을 이용하여 이와 유사한 형식으로 형성될 수 있다. 예를 들어, 제2 RDL(1101)은 알루미늄, 구리, 텅스텐, 티타늄, 및 이들의 조합과 같은 금속들로 형성된 두 개의 도전층들을 포함할 수 있고, 화학적 기상 증착 및 패턴화 공정을 이용하여 형성될 수 있다. 하지만, 이와 달리 제2 RDL(1101)은 제1 RDL(801)과는 상이한 물질들과 이와 상이한 공정들을 이용하여 형성될 수 있다.
도 12는 제1 캐리어(101)가 제거되고, 제2 캐리어 웨이퍼(601)가 예컨대 제2 접착제(603)를 이용하여 제2 RDL(110)에 부착되고, 제1 반도체 다이(201)와 제2 반도체 다이(203)가 시닝되어 TSV들(701)을 형성하고, 제1 RDL(801)과 제2 외부 커넥터들(803)이 제1 반도체 다이(201)와 제2 반도체 다이(203)의 제2 측면(204) 위에서 형성되며, 제3 반도체 다이(901)와 제4 반도체 다이(903)가 제2 반도체 다이(203)와 제1 반도체 다이(201)에 접합된 후의 결과적인 구조물을 나타낸다.
도 13a와 도 13b는 제2 RDL(1101)로부터의 제2 캐리어 웨이퍼(601)와 제2 접착제(603)의 제거, 및 제2 RDL(1101)과 연결된 제5 외부 커넥터들(1307)의 형성을 나타내며, 도 13b는 점선 박스(1320)에 의해 경계가 표시된 도 13a의 영역의 보다 상세한 확대된 모습을 나타낸다. 제2 접착제(603)가 열적 릴리즈 막인 실시예에서, 제2 캐리어 웨이퍼(601)는, 제2 캐리어 웨이퍼(601)가 제거될 수 있을 때 까지 제2 접착제(603)의 온도를 증가시킴으로써 제거될 수 있다.
제2 캐리어 웨이퍼(601)가 제거되면, 제2 RDL(1101)에 대한 보호를 제공하기 위해 제3 패시베이션층(1301)이 제2 RDL(1101) 위에서 형성될 수 있다. 제3 패시베이션층(1301)은 실리콘 산화물, 실리콘 질화물, 탄소도핑된 산화물과 같은 저 k(low-k) 유전체, 탄소도핑된 다공성 실리콘 이산화물과 같은 극저 k(extremely low k) 유전체, 이들의 조합 등과 같은 하나 이상의 적절한 유전체 물질들로 이루어질 수 있다. 제3 패시베이션층(1301)은 화학적 기상 증착(CVD)과 같은 공정을 통해 형성될 수 있지만, 임의의 적절한 공정이 활용될 수 있으며, 약 9.25KÅ와 같이, 약 0.5㎛와 약 5㎛ 사이의 두께를 가질 수 있다.
추가적인 보호를 제공하기 위해 제4 패시베이션층(1303)이 제3 패시베이션층(1301) 위에서 형성될 수 있다. 실시예에서, 제4 패시베이션층(1303)은 폴리이미드와 같은 폴리머로부터 형성될 수 있거나, 또는 대안적으로 제3 패시베이션층(1301)과 유사한 물질(예컨대, 실리콘 산화물, 실리콘 질화물, 저 k 유전체, 극저 k 유전체, 이들의 조합 등)로 형성될 수 있다. 제4 패시베이션층(1303)은 약 5㎛와 같이, 약 2㎛와 약 15㎛ 사이의 두께를 갖도록 형성될 수 있다.
제4 패시베이션층(1303)이 형성된 후, 제2 RDL(1101)의 일부분들을 노출시키기 위해 제3 패시베이션층(1301)과 제4 패시베이션층(1303)이 예컨대 포토리소그래피 마스킹 및 에칭 공정을 이용하여 패턴화될 수 있다. 제2 RDL(1101)이 노출되면, 제2 UBM 층들(1305)이 제2 RDL(1101)과 전기적 접촉을 이루면서 형성될 수 있다. 제2 UBM 층들(1305)은 티타늄층, 또는 니켈층과 같은, 도전성 물질층을 포함할 수 있다. 제2 UBM 층들(1305)은 다중 서브층들(미도시됨)을 포함할 수 있다. 본 발명분야의 당업자는, 크롬/크롬 구리 합금/구리/금의 배열, 티타늄/티타늄 텅스텐/구리의 배열, 또는 구리/니켈/금의 배열과 같은, 제2 UBM 층들(1305)의 형성에 적절한 수 많은 적절한 물질 및 층들의 배열들이 존재한다는 것을 알 것이다. 제2 UBM 층들(1305)을 위해 이용될 수 있는 임의의 적절한 물질들 또는 물질층들은 본 실시예들의 범위 내에 완전히 포함되는 것으로 한다. 제2 UBM 층들(1305)은 희망하는 물질들에 따라, 스퍼터링, 증착, 또는 PECVD 공정들과 같은 공정들을 이용하여 생성될 수 있다. 제2 UBM 층들(1305)은 약 5㎛와 같이, 약 0.7㎛와 약 10㎛ 사이의 두께를 갖도록 형성될 수 있다.
제2 UBM 층들(1305)이 형성되면, 제5 외부 커넥터들(1307)이 제2 RDL(1101)과 전기적 연결을 이루면서 형성될 수 있다. 제5 외부 커넥터들(1307)은 마이크로범프들 또는 제어된 붕괴형 칩 연결부(C4) 범프들과 같은 접촉 범프들일 수 있고, 주석과 같은 물질, 또는 은 또는 구리와 같은 다른 적절한 물질들을 포함할 수 있다. 제5 외부 커넥터들(1307)이 주석 솔더 범프들인 실시예에서, 제5 외부 커넥터들(1307)은 전기도금, 증착, 프린팅, 솔더 전사, 볼 배치 등과 같은 임의의 적절한 방법을 통해 약 100㎛의 바람직한 두께로 주석층을 초기에 형성함으로써 형성될 수 있다. 주석층이 구조물상에서 형성되면, 원하는 범프 형상으로 물질을 쉐이핑하기 위해 리플로우가 수행되는 것이 바람직하다.
도 13c와 도 13d는 제1 외부 커넥터들(217)을 보호하기 위해 택일적인 보호층(221)이 이용될 수 있는 실시예들을 나타낸다. 도 13c는 예컨대 CMP 공정과 같은 평탄화 공정을 이용하여, 보호층(221)이 제1 외부 커넥터들(217)의 윗면과 평면을 이루도록 형성될 수 있는 하나의 실시예를 나타낸다. 도 13d는 보호층(221)이 제1 외부 커넥터들(217)의 일부분을 보호하지만 제1 외부 커넥터들(217)의 윗면까지 완전히 연장하지 않는 대안적인 실시예를 나타낸다.
택일적으로, 도 13a와 도 13b에서는 도시되어 있지 않지만, 본 실시예에서는 제3 반도체 다이(901)와 제4 반도체 다이(903)도 캡슐화될 수 있다. 실시예에서, 제3 반도체 다이(901)와 제4 반도체 다이(903)는 (도 3과 관련하여 상술한 바와 같이) 제1 반도체 다이(201)와 제2 반도체 다이(203)가 캡슐화된 것과 유사한 방식으로 캡슐화될 수 있다. 하지만, 제3 반도체 다이(901)와 제4 반도체 다이(903)를 캡슐화하기 위해 대안적인 봉지재 또는 상이한 방법이 활용될 수 있다.
도 11 내지 도 13b와 관련하여 상술한 실시예들을 활용함으로써, 재분배층들(예컨대, 제1 RDL(801) 및 제2 RDL(1101))이 제1 반도체 다이(201)와 제2 반도체 다이(203)의 양측면들상에서 형성될 수 있다. 이것은 연결부들의 제어 및 배치에서의 희망하는 바에 따른 보다 큰 팬 아웃 및 보다 큰 유연성을 가능하게 해줌에 따라, 배치 및 공간에서 보다 큰 효율성을 가능하게 해준다.
택일적으로, 도 1 내지 도 13d와 관련하여 상술한 실시예들 각각 이후, 본 실시예들은, 예컨대 제1 반도체 다이(201)와 제4 반도체 다이(903)를 포함한 제1 패키지가 예컨대 제2 반도체 다이(203)와 제3 반도체 다이(901)를 포함한 제2 패키지로부터 분리될 수 있는 단품화 공정(개별적으로 도시되지는 않음)을 더 포함할 수 있다. 단품화 공정은 예컨대 다이아몬드 코팅된 소잉 블레이드(saw blade)로 제1 패키지와 제2 패키지 사이의 스크라이브 영역을 슬라이싱함으로써 수행될 수 있지만, 제2 패키지로부터 제1 패키지를 분리하기 위한 일련의 하나 이상의 에칭들과 같은, 임의의 적절한 대안적인 분리 방법이 대안적으로 활용될 수 있다.
본 발명개시 및 그 장점들을 자세하게 설명하였지만, 여기에 다양한 변경, 대체, 및 변동이 첨부된 청구범위들에 의해 정의된 본 실시예들의 범위 및 사상을 벗어나지 않고서 행해질 수 있다는 것을 이해해야 한다. 또한, 본 출원의 범위는 본 명세서에서 설명된 물질, 수단, 방법, 및 단계의 공정, 머신, 제조, 조성의 특정한 실시예들로 한정되는 것을 의도하지 않는다. 본 발명분야의 당업자라면 여기서 설명된 대응하는 실시예들과 실질적으로 동일한 기능을 수행하거나 또는 이와 실질적으로 동일한 결과를 달성하는, 현존하거나 후에 개발될 물질, 수단, 방법, 또는 단계의 공정, 머신, 제조, 조성이 본 발명개시에 따라 이용될 수 있다는 것을 본 발명개시로부터 손쉽게 알 것이다. 따라서, 첨부된 청구항들은 이와 같은 물질, 수단, 방법, 또는 단계의 공정, 머신, 제조, 조성을 청구항의 범위내에 포함하는 것으로 한다.

Claims (10)

  1. 디바이스를 형성하기 위한 방법에 있어서,
    제1 캐리어 웨이퍼 상에 하나 이상의 바닥부 다이를 배치하는 단계;
    상기 하나 이상의 바닥부 다이의 전면(frontside)상의 제1 전기적 접촉부들이 노출되도록, 상기 하나 이상의 바닥부 다이의 사이와, 상기 하나 이상의 바닥부 다이의 전면 위와, 상기 제1 전기적 접촉부들 사이에 제1 몰딩 화합물을 형성하는 단계;
    상기 하나 이상의 바닥부 다이와 상기 제1 몰딩 화합물을 제2 캐리어 웨이퍼에 부착하는 단계;
    상기 하나 이상의 바닥부 다이를 시닝(thinning)하여 상기 하나 이상의 바닥부 다이를 관통하여 형성된 쓰루 비아들을 노출시키는 단계;
    상기 쓰루 비아들에 대한 제2 전기적 접촉부들을 상기 하나 이상의 바닥부 다이의 후면(backside)을 따라 형성하는 단계; 및
    상기 하나 이상의 바닥부 다이에 하나 이상의 최상단 다이를 부착하는 단계
    를 포함하는, 디바이스 형성 방법.
  2. 제1항에 있어서, 상기 하나 이상의 바닥부 다이 위에 재분배층을 형성하는 단계를 더 포함하는, 디바이스 형성 방법.
  3. 제1항에 있어서, 상기 하나 이상의 최상단 다이 위에 제2 몰딩 화합물을 형성하는 단계를 더 포함하는, 디바이스 형성 방법.
  4. 반도체 디바이스를 제조하는 방법에 있어서,
    제1 외부 접촉부들을 포함한 제1 반도체 다이 - 상기 제1 외부 접촉부들은 상기 제1 반도체 다이의 전면상에 형성됨 - 를 캐리어에 부착하는 단계;
    제2 외부 접촉부들을 포함한 제2 반도체 다이 - 상기 제2 외부 접촉부들은 상기 제2 반도체 다이의 전면상에 형성됨 - 를 상기 캐리어에 부착하는 단계;
    상기 제1 반도체 다이와 상기 제2 반도체 다이를 봉지재(encapsulant)로 캡슐화(encapsulating)하는 단계;
    상기 봉지재의 일부분을 제거하여 상기 봉지재의 나머지 부분이 상기 제1 및 제2 반도체 다이의 사이와, 상기 제1 및 제2 반도체 다이의 전면 위와, 상기 제1 및 제2 외부 접촉부들 사이에 형성되도록 함으로써, 상기 제1 외부 접촉부들과 상기 제2 외부 접촉부들을 노출시키는 단계;
    상기 제1 반도체 다이 내의 제1 쓰루 기판 비아들 및 상기 제2 반도체 다이 내의 제2 쓰루 기판 비아들을 형성하기 위해 상기 제1 반도체 다이와 상기 제2 반도체 다이를 시닝하는 단계; 및
    상기 제1 쓰루 기판 비아들에 제3 반도체 다이를 전기적으로 연결하고 상기 제2 쓰루 기판 비아들에 제4 반도체 다이를 전기적으로 연결하는 단계
    를 포함하는, 반도체 디바이스 제조 방법.
  5. 제4항에 있어서, 상기 제3 반도체 다이와 상기 제4 반도체 다이를 캡슐화하는 단계를 더 포함하는, 반도체 디바이스 제조 방법.
  6. 제4항에 있어서, 상기 제1 반도체 다이와 상기 제2 반도체 다이를 캡슐화한 이후에 상기 제1 외부 접촉부들상에 제3 외부 접촉부를 형성하는 단계를 더 포함하는, 반도체 디바이스 제조 방법.
  7. 반도체 디바이스에 있어서,
    제1 봉지재에 의해 캡슐화된 제1 반도체 다이;
    상기 제1 반도체 다이의 적어도 일부분을 관통하여 연장하고 상기 제1 반도체 다이의 제1 측면상에서 노출된 적어도 하나의 쓰루 기판 비아;
    상기 제1 반도체 다이의 제2 측면상에 위치한 제1 외부 커넥터들 - 상기 제1 외부 커넥터들이 노출되도록 상기 제1 반도체 다이의 제2 측면 위와, 상기 제1 외부 커넥터들의 사이에 상기 제1 봉지재가 형성됨 - ; 및
    상기 적어도 하나의 쓰루 기판 비아와 전기적으로 연결된 제3 반도체 다이
    를 포함하며, 상기 제3 반도체 다이는 상기 제1 봉지재 위에서 연장하는 것인, 반도체 디바이스.
  8. 제7항에 있어서,
    상기 제1 봉지재에 의해 캡슐화된 제2 반도체 다이;
    상기 제2 반도체 다이와 전기적으로 연결된 제4 반도체 다이
    를 더 포함하며, 상기 제4 반도체 다이는 상기 제1 봉지재 위에서 연장하는 것인, 반도체 디바이스.
  9. 제7항에 있어서, 상기 제1 외부 커넥터들과 전기적으로 연결된 제1 재분배층을 더 포함하며, 상기 제1 재분배층은 상기 제1 봉지재 위에서 연장하는 것인, 반도체 디바이스.
  10. 제9항에 있어서, 상기 적어도 하나의 쓰루 기판 비아와 전기적으로 연결된 제2 재분배층을 더 포함하며, 상기 제2 재분배층은 상기 제1 봉지재 위에서 연장하는 것인, 반도체 디바이스.
KR1020130003844A 2012-06-27 2013-01-14 3dic 적층 디바이스 및 제조 방법 KR101515275B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201261665123P 2012-06-27 2012-06-27
US61/665,123 2012-06-27
US13/619,877 2012-09-14
US13/619,877 US9443783B2 (en) 2012-06-27 2012-09-14 3DIC stacking device and method of manufacture

Publications (2)

Publication Number Publication Date
KR20140001085A KR20140001085A (ko) 2014-01-06
KR101515275B1 true KR101515275B1 (ko) 2015-04-24

Family

ID=49777268

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020130003844A KR101515275B1 (ko) 2012-06-27 2013-01-14 3dic 적층 디바이스 및 제조 방법

Country Status (4)

Country Link
US (2) US9443783B2 (ko)
KR (1) KR101515275B1 (ko)
CN (1) CN103515305B (ko)
TW (1) TWI528471B (ko)

Families Citing this family (498)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9368460B2 (en) 2013-03-15 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Fan-out interconnect structure and method for forming same
US8877554B2 (en) 2013-03-15 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Packaged semiconductor devices, methods of packaging semiconductor devices, and PoP devices
US9087821B2 (en) 2013-07-16 2015-07-21 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid bonding with through substrate via (TSV)
US9331038B2 (en) 2013-08-29 2016-05-03 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor interconnect structure
US9406588B2 (en) 2013-11-11 2016-08-02 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package and manufacturing method thereof
CN104064551B (zh) 2014-06-05 2018-01-16 华为技术有限公司 一种芯片堆叠封装结构和电子设备
US9385110B2 (en) 2014-06-18 2016-07-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10056352B2 (en) 2014-07-11 2018-08-21 Intel IP Corporation High density chip-to-chip connection
US9831154B2 (en) 2014-07-14 2017-11-28 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacuting method of the same
US9343385B2 (en) * 2014-07-30 2016-05-17 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device comprising a chip substrate, a mold, and a buffer layer
US9431351B2 (en) 2014-10-17 2016-08-30 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package and manufacturing method of the same
US11018099B2 (en) 2014-11-26 2021-05-25 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure having a conductive bump with a plurality of bump segments
US9659863B2 (en) 2014-12-01 2017-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices, multi-die packages, and methods of manufacture thereof
DE102014119620A1 (de) 2014-12-23 2016-06-23 Infineon Technologies Ag Verfahren zum Herstellen einer Halbleiterkomponente und Halbleiterkomponente
US9502272B2 (en) 2014-12-29 2016-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Devices and methods of packaging semiconductor devices
US10319701B2 (en) 2015-01-07 2019-06-11 Taiwan Semiconductor Manufacturing Company, Ltd. Bonded 3D integrated circuit (3DIC) structure
US9601410B2 (en) 2015-01-07 2017-03-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
JP2016140003A (ja) * 2015-01-29 2016-08-04 アズビル株式会社 フィールド機器
US9633958B2 (en) 2015-01-30 2017-04-25 Taiwan Semiconductor Manufacturing Company, Ltd. Bonding pad surface damage reduction in a formation of digital pattern generator
US10163709B2 (en) 2015-02-13 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10497660B2 (en) 2015-02-26 2019-12-03 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structures, packaged semiconductor devices, and methods of packaging semiconductor devices
US9786519B2 (en) 2015-04-13 2017-10-10 Taiwan Semiconductor Manufacturing Company, Ltd. Packaged semiconductor devices and methods of packaging semiconductor devices
KR102008854B1 (ko) 2015-04-14 2019-08-08 후아웨이 테크놀러지 컴퍼니 리미티드
US9748212B2 (en) 2015-04-30 2017-08-29 Taiwan Semiconductor Manufacturing Company, Ltd. Shadow pad for post-passivation interconnect structures
US10340258B2 (en) 2015-04-30 2019-07-02 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structures, packaged semiconductor devices, and methods of packaging semiconductor devices
US9969614B2 (en) 2015-05-29 2018-05-15 Taiwan Semiconductor Manufacturing Company, Ltd. MEMS packages and methods of manufacture thereof
US9520385B1 (en) 2015-06-29 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method for forming same
US10170444B2 (en) 2015-06-30 2019-01-01 Taiwan Semiconductor Manufacturing Company, Ltd. Packages for semiconductor devices, packaged semiconductor devices, and methods of packaging semiconductor devices
US20170012028A1 (en) * 2015-07-09 2017-01-12 Inotera Memories, Inc. Recoverable device for memory base product
US9536865B1 (en) 2015-07-23 2017-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnection joints having variable volumes in package structures and methods of formation thereof
US9570431B1 (en) 2015-07-28 2017-02-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor wafer for integrated packages
US9570410B1 (en) 2015-07-31 2017-02-14 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming connector pad structures, interconnect structures, and structures thereof
US9691695B2 (en) 2015-08-31 2017-06-27 Taiwan Semiconductor Manufacturing Company, Ltd. Monolithic 3D integration inter-tier vias insertion scheme and associated layout structure
US10644229B2 (en) 2015-09-18 2020-05-05 Taiwan Semiconductor Manufacturing Company, Ltd. Magnetoresistive random access memory cell and fabricating the same
US10178363B2 (en) * 2015-10-02 2019-01-08 Invensas Corporation HD color imaging using monochromatic CMOS image sensors integrated in 3D package
US9773768B2 (en) 2015-10-09 2017-09-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure of three-dimensional chip stacking
US10269682B2 (en) 2015-10-09 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Cooling devices, packaged semiconductor devices, and methods of packaging semiconductor devices
US10043761B2 (en) 2015-10-19 2018-08-07 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and manufacturing method thereof
US9659878B2 (en) 2015-10-20 2017-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer level shielding in multi-stacked fan out packages and methods of forming same
US10163856B2 (en) 2015-10-30 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked integrated circuit structure and method of forming
US9691723B2 (en) 2015-10-30 2017-06-27 Taiwan Semiconductor Manufacturing Company, Ltd. Connector formation methods and packaged semiconductor devices
US9735131B2 (en) 2015-11-10 2017-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-stack package-on-package structures
US9911623B2 (en) 2015-12-15 2018-03-06 Taiwan Semiconductor Manufacturing Company, Ltd. Via connection to a partially filled trench
US9972603B2 (en) 2015-12-29 2018-05-15 Taiwan Semiconductor Manufacturing Co., Ltd. Seal-ring structure for stacking integrated circuits
US9741694B2 (en) 2015-12-31 2017-08-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and method of manufacturing the same
US9589941B1 (en) 2016-01-15 2017-03-07 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-chip package system and methods of forming the same
US9773757B2 (en) 2016-01-19 2017-09-26 Taiwan Semiconductor Manufacturing Company, Ltd. Devices, packaged semiconductor devices, and semiconductor device packaging methods
US9741669B2 (en) 2016-01-26 2017-08-22 Taiwan Semiconductor Manufacturing Company, Ltd. Forming large chips through stitching
US10050018B2 (en) 2016-02-26 2018-08-14 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC structure and methods of forming
US9842829B2 (en) 2016-04-29 2017-12-12 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package structure and method for forming the same
US9859258B2 (en) 2016-05-17 2018-01-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US10147704B2 (en) 2016-05-17 2018-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacturing thereof
US10283479B2 (en) 2016-05-20 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Package structures and methods of forming the same
US9748206B1 (en) 2016-05-26 2017-08-29 Taiwan Semiconductor Manufacturing Co., Ltd. Three-dimensional stacking structure and manufacturing method thereof
US9793246B1 (en) 2016-05-31 2017-10-17 Taiwan Semiconductor Manufacturing Co., Ltd. Pop devices and methods of forming the same
US9881903B2 (en) 2016-05-31 2018-01-30 Taiwan Semiconductor Manufacturing Company, Ltd. Package-on-package structure with epoxy flux residue
US9875982B2 (en) 2016-06-01 2018-01-23 Taiwan Semiconductor Manufacturing Company Ltd Semiconductor device and manufacturing method thereof
US10050024B2 (en) 2016-06-17 2018-08-14 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package and manufacturing method of the same
US10475769B2 (en) 2016-06-23 2019-11-12 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package and manufacturing method of the same
US10854579B2 (en) 2016-06-23 2020-12-01 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package structure
US10229901B2 (en) 2016-06-27 2019-03-12 Taiwan Semiconductor Manufacturing Company, Ltd. Immersion interconnections for semiconductor devices and methods of manufacture thereof
US10115675B2 (en) 2016-06-28 2018-10-30 Taiwan Semiconductor Manufacturing Co., Ltd. Packaged semiconductor device and method of fabricating a packaged semiconductor device
US9941186B2 (en) 2016-06-30 2018-04-10 Taiwan Semiconductor Manufacturing Company Ltd. Method for manufacturing semiconductor structure
US10685911B2 (en) 2016-06-30 2020-06-16 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package and manufacturing method of the same
US10163805B2 (en) 2016-07-01 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and method for forming the same
US9966360B2 (en) 2016-07-05 2018-05-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and manufacturing method thereof
US9893046B2 (en) 2016-07-08 2018-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Thinning process using metal-assisted chemical etching
US11469215B2 (en) 2016-07-13 2022-10-11 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package structure with molding layer and method for forming the same
US9875972B1 (en) 2016-07-14 2018-01-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US9870975B1 (en) 2016-07-14 2018-01-16 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package with thermal dissipation structure and method for forming the same
US10269732B2 (en) 2016-07-20 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Info package with integrated antennas or inductors
US10332841B2 (en) 2016-07-20 2019-06-25 Taiwan Semiconductor Manufacturing Company, Ltd. System on integrated chips and methods of forming the same
US10157885B2 (en) 2016-07-29 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure having magnetic bonding between substrates
US10720360B2 (en) 2016-07-29 2020-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor die singulation and structures formed thereby
US10120971B2 (en) 2016-08-30 2018-11-06 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated fan-out package and layout method thereof
US10535632B2 (en) 2016-09-02 2020-01-14 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package structure and method of manufacturing the same
US10049981B2 (en) 2016-09-08 2018-08-14 Taiwan Semiconductor Manufacturing Company Ltd. Through via structure, semiconductor device and manufacturing method thereof
US10290609B2 (en) 2016-10-13 2019-05-14 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and manufacturing method of the same
US10153222B2 (en) 2016-11-14 2018-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. Package structures and methods of forming the same
US10529690B2 (en) 2016-11-14 2020-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Package structures and methods of forming the same
US11527454B2 (en) 2016-11-14 2022-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Package structures and methods of forming the same
US10141253B2 (en) 2016-11-14 2018-11-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10170429B2 (en) 2016-11-28 2019-01-01 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming package structure including intermetallic compound
US10290590B2 (en) 2016-11-29 2019-05-14 Taiwan Semiconductor Manufacturing Company Ltd. Stacked semiconductor device and method of manufacturing the same
US10825780B2 (en) 2016-11-29 2020-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with electromagnetic interference protection and method of manufacture
US10153320B2 (en) 2016-11-29 2018-12-11 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and method of forming the same
US10153218B2 (en) 2016-11-29 2018-12-11 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
US10269637B2 (en) 2016-12-02 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and fabricating method thereof
US10163750B2 (en) 2016-12-05 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure for heat dissipation
CN111968958B (zh) 2016-12-30 2022-08-19 华为技术有限公司 一种封装芯片及基于封装芯片的信号传输方法
US10535597B2 (en) 2017-01-13 2020-01-14 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
US10741537B2 (en) 2017-01-18 2020-08-11 Taiwan Semiconductor Manufacturing Coompany Ltd. Semiconductor structure and manufacturing method thereof
US10629545B2 (en) 2017-03-09 2020-04-21 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device
US10790240B2 (en) 2017-03-17 2020-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. Metal line design for hybrid-bonding application
US11304290B2 (en) 2017-04-07 2022-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structures and methods
US10854568B2 (en) 2017-04-07 2020-12-01 Taiwan Semiconductor Manufacturing Company, Ltd. Packages with Si-substrate-free interposer and method forming same
US10522449B2 (en) 2017-04-10 2019-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Packages with Si-substrate-free interposer and method forming same
DE102017123449B4 (de) 2017-04-10 2023-12-28 Taiwan Semiconductor Manufacturing Co. Ltd. Gehäuse mit Si-substratfreiem Zwischenstück und Ausbildungsverfahren
US10014218B1 (en) 2017-04-20 2018-07-03 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor device structure with bumps
US9929128B1 (en) 2017-04-20 2018-03-27 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package structure with adhesive layer
US10319690B2 (en) 2017-04-28 2019-06-11 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
US10163627B2 (en) 2017-05-18 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method for fabricating the same
US10468345B2 (en) 2017-05-19 2019-11-05 Taiwan Semiconductor Manufacturing Company Ltd. 3D IC decoupling capacitor structure and method for manufacturing the same
US10879194B2 (en) 2017-05-25 2020-12-29 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device package and method of manufacturing the same
US10290584B2 (en) 2017-05-31 2019-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. Conductive vias in semiconductor packages and methods of forming same
US10510722B2 (en) 2017-06-20 2019-12-17 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and method for manufacturing the same
US10304800B2 (en) 2017-06-23 2019-05-28 Taiwan Semiconductor Manufacturing Company Ltd. Packaging with substrates connected by conductive bumps
US10535680B2 (en) 2017-06-29 2020-01-14 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit structure and method with hybrid orientation for FinFET
US10483187B2 (en) 2017-06-30 2019-11-19 Taiwan Semiconductor Manufacturing Company, Ltd. Heat spreading device and method
US10283428B2 (en) 2017-06-30 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and method manufacturing the same
US11121050B2 (en) 2017-06-30 2021-09-14 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacture of a semiconductor device
US10727198B2 (en) 2017-06-30 2020-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and method manufacturing the same
DE102018106434B4 (de) 2017-06-30 2023-05-25 Taiwan Semiconductor Manufacturing Company, Ltd. Halbleiter-Bauelement und Verfahren zu dessen Herstellung
US10276528B2 (en) 2017-07-18 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semicondcutor device and manufacturing method thereof
US10535591B2 (en) 2017-08-10 2020-01-14 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and method of manufacturing the same
US10510691B2 (en) * 2017-08-14 2019-12-17 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
US10074618B1 (en) 2017-08-14 2018-09-11 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
US10461022B2 (en) 2017-08-21 2019-10-29 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package structure and manufacturing method thereof
US10510718B2 (en) 2017-08-28 2019-12-17 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
US10340242B2 (en) 2017-08-28 2019-07-02 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and method of manufacturing the same
US10665521B2 (en) 2017-08-29 2020-05-26 Taiwan Semiconductor Manufacturing Co., Ltd. Planar passivation layers
US10290610B2 (en) 2017-08-29 2019-05-14 Taiwan Semiconductor Manufacturing Co., Ltd. PoP device and method of forming the same
US10157867B1 (en) 2017-08-31 2018-12-18 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure and method
US10461014B2 (en) 2017-08-31 2019-10-29 Taiwan Semiconductor Manufacturing Company, Ltd. Heat spreading device and method
US10510603B2 (en) 2017-08-31 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Conductive vias in semiconductor packages and methods of forming same
US10468307B2 (en) 2017-09-18 2019-11-05 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
US10515888B2 (en) 2017-09-18 2019-12-24 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and method for manufacturing the same
US10290571B2 (en) 2017-09-18 2019-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. Packages with si-substrate-free interposer and method forming same
US10692826B2 (en) 2017-09-27 2020-06-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and method for forming the same
DE102018107014A1 (de) 2017-09-28 2019-03-28 Taiwan Semiconductor Manufacturing Co. Ltd. Bandsperrfilterstrukturen und Verfahren zum Ausbilden und Betreiben derselben
US11394359B2 (en) 2017-09-28 2022-07-19 Taiwan Semiconductor Manufacturing Company, Ltd. Band stop filter structure and method of forming
US10157892B1 (en) 2017-09-28 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor packages and methods of forming the same
US10483936B2 (en) 2017-09-28 2019-11-19 Taiwan Semiconductor Manufacturing Company, Ltd. Band stop filter structures and methods of forming and operating same
US10497690B2 (en) 2017-09-28 2019-12-03 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package, method for forming semiconductor package, and method for forming semiconductor assembly
US11101209B2 (en) 2017-09-29 2021-08-24 Taiwan Semiconductor Manufacturing Company, Ltd. Redistribution structures in semiconductor packages and methods of forming same
US10861761B2 (en) 2017-09-29 2020-12-08 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor packaged wafer and method for forming the same
US10818624B2 (en) 2017-10-24 2020-10-27 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method for manufacturing the same
US10163825B1 (en) * 2017-10-26 2018-12-25 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
US10763239B2 (en) 2017-10-27 2020-09-01 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-chip wafer level packages and methods of forming the same
US10276543B1 (en) 2017-10-27 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semicondcutor device package and method of forming semicondcutor device package
US10665560B2 (en) 2017-10-27 2020-05-26 Taiwan Semiconductor Manufacturing Company Ltd. Optical semiconductor package and method for manufacturing the same
US10879214B2 (en) 2017-11-01 2020-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Die stack structure and method of fabricating the same
US11251157B2 (en) 2017-11-01 2022-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. Die stack structure with hybrid bonding structure and method of fabricating the same and package
US10665582B2 (en) 2017-11-01 2020-05-26 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing semiconductor package structure
US10672737B2 (en) 2017-11-05 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Three-dimensional integrated circuit structure and method of manufacturing the same
US10636715B2 (en) 2017-11-06 2020-04-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and method of fabricating the same
US10170441B1 (en) 2017-11-07 2019-01-01 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
US20190148325A1 (en) * 2017-11-10 2019-05-16 Advanced Semiconductor Engineering, Inc. Electronic device and method for manufacturing the same
US10586763B2 (en) 2017-11-15 2020-03-10 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
DE102018111389A1 (de) 2017-11-15 2019-05-16 Taiwan Semiconductor Manufacturing Company, Ltd. Halbleitervorrichtung und Herstellungsverfahren
US10522436B2 (en) 2017-11-15 2019-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Planarization of semiconductor packages and structures resulting therefrom
US10679947B2 (en) 2017-11-21 2020-06-09 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package and manufacturing method thereof
US10763296B2 (en) 2017-11-22 2020-09-01 Taiwan Semiconductor Manufacturing Co., Ltd. Biometric sensor and methods thereof
US10797005B2 (en) 2017-11-27 2020-10-06 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package and method for manufacturing the same
US10910321B2 (en) 2017-11-29 2021-02-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of making the same
US10510634B2 (en) 2017-11-30 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method
US10312201B1 (en) 2017-11-30 2019-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Seal ring for hybrid-bond
US10371893B2 (en) 2017-11-30 2019-08-06 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid interconnect device and method
US10811377B2 (en) 2017-12-14 2020-10-20 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure with a barrier layer and method for forming the same
US10573573B2 (en) 2018-03-20 2020-02-25 Taiwan Semiconductor Manufacturing Co., Ltd. Package and package-on-package structure having elliptical conductive columns
US11152295B2 (en) 2018-04-13 2021-10-19 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package structure and method for manufacturing the same
US10483226B2 (en) 2018-04-20 2019-11-19 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of forming the same
US10546845B2 (en) 2018-04-20 2020-01-28 Taiwan Semiconductor Manufacturing Co., Ltd. Package on package structure
US10672681B2 (en) 2018-04-30 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor packages
US10790254B2 (en) 2018-05-09 2020-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package structure
US10468379B1 (en) 2018-05-15 2019-11-05 Taiwan Semiconductor Manufacturing Co., Ltd. 3DIC structure and method of manufacturing the same
US10475762B1 (en) 2018-05-17 2019-11-12 Taiwan Semiconductor Manufacturing Co., Ltd. 3DIC structure and method of manufacturing the same
US10510629B2 (en) 2018-05-18 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit package and method of forming same
US10515869B1 (en) 2018-05-29 2019-12-24 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package structure having a multi-thermal interface material structure
US10748831B2 (en) 2018-05-30 2020-08-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor packages having thermal through vias (TTV)
US10685937B2 (en) 2018-06-15 2020-06-16 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit package having dummy structures and method of forming same
US10867943B2 (en) 2018-06-15 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Die structure, die stack structure and method of fabricating the same
US10978373B2 (en) 2018-06-19 2021-04-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device methods of manufacture
US10879183B2 (en) 2018-06-22 2020-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US10504852B1 (en) 2018-06-25 2019-12-10 Taiwan Semiconductor Manufacturing Co., Ltd. Three-dimensional integrated circuit structures
US10847492B2 (en) 2018-06-25 2020-11-24 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method for the same
US10504873B1 (en) 2018-06-25 2019-12-10 Taiwan Semiconductor Manufacturing Co., Ltd. 3DIC structure with protective structure and method of fabricating the same and package
US10916488B2 (en) 2018-06-29 2021-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package having thermal conductive pattern surrounding the semiconductor die
US11728334B2 (en) 2018-06-29 2023-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Three-dimensional integrated circuit structures and method of forming the same
US10867962B2 (en) 2018-06-29 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Packaging process and manufacturing method
US10672674B2 (en) 2018-06-29 2020-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming semiconductor device package having testing pads on a topmost die
US11075133B2 (en) 2018-06-29 2021-07-27 Taiwan Semiconductor Manufacturing Company, Ltd. Underfill structure for semiconductor packages and methods of forming the same
US10854552B2 (en) * 2018-06-29 2020-12-01 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US11114433B2 (en) 2018-07-15 2021-09-07 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC structure and method of fabricating the same
US10950554B2 (en) 2018-07-16 2021-03-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages with electromagnetic interference shielding layer and methods of forming the same
US11139282B2 (en) 2018-07-26 2021-10-05 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package structure and method for manufacturing the same
US10867903B2 (en) 2018-07-27 2020-12-15 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package and method of forming the same
US11424197B2 (en) 2018-07-27 2022-08-23 Taiwan Semiconductor Manufacturing Company, Ltd. Package, package structure with redistributing circuits and antenna elements and method of manufacturing the same
US10811316B2 (en) 2018-08-13 2020-10-20 Taiwan Semiconductor Manufacturing Company Ltd. Method and system of forming integrated circuit
US11056459B2 (en) 2018-08-14 2021-07-06 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package structure and method for forming the same
US10700030B2 (en) 2018-08-14 2020-06-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package having varying conductive pad sizes
US11031344B2 (en) 2018-08-28 2021-06-08 Taiwan Semiconductor Manufacturing Company, Ltd. Package having redistribution layer structure with protective layer and method of fabricating the same
US11171090B2 (en) 2018-08-30 2021-11-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US10879161B2 (en) 2018-08-31 2020-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages having a seed layer structure protruding from an edge of metal structure
US11309294B2 (en) 2018-09-05 2022-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated fan-out packages and methods of forming the same
US10914895B2 (en) 2018-09-18 2021-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and manufacturing method thereof
US10796990B2 (en) 2018-09-19 2020-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure, package structure, and manufacturing method thereof
US10797031B2 (en) 2018-09-20 2020-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package
US10734348B2 (en) 2018-09-21 2020-08-04 Taiwan Semiconductor Manufacturing Company, Ltd. Bonded semiconductor devices and methods of forming the same
US10504824B1 (en) 2018-09-21 2019-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit package and method
US10700041B2 (en) * 2018-09-21 2020-06-30 Facebook Technologies, Llc Stacking of three-dimensional circuits including through-silicon-vias
US11172142B2 (en) 2018-09-25 2021-11-09 Taiwan Semiconductor Manufacturing Co., Ltd. Image sensor for sensing LED light with reduced flickering
US11563167B2 (en) 2018-09-26 2023-01-24 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and method for an MRAM device with a multi-layer top electrode
DE102019117917B4 (de) 2018-09-27 2023-07-06 Taiwan Semiconductor Manufacturing Co., Ltd. Bondingstrukturen in halbleiter-packages und verfahren zu ihrer herstellung
US10790162B2 (en) 2018-09-27 2020-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit package and method
US10867890B2 (en) 2018-09-27 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Mutli-chip package with encapsulated conductor via
US11062975B2 (en) 2018-09-27 2021-07-13 Taiwan Semiconductor Manufacturing Company, Ltd. Package structures
US10867955B2 (en) 2018-09-27 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure having adhesive layer surrounded dam structure
US10658348B2 (en) 2018-09-27 2020-05-19 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices having a plurality of first and second conductive strips
US11201122B2 (en) 2018-09-27 2021-12-14 Taiwan Semiconductor Manufacturing Co., Ltd. Method of fabricating semiconductor device with reduced warpage and better trench filling performance
US11393771B2 (en) 2018-09-27 2022-07-19 Taiwan Semiconductor Manufacturing Company, Ltd. Bonding structures in semiconductor packaged device and method of forming same
DE102018130035B4 (de) 2018-09-28 2020-09-03 Taiwan Semiconductor Manufacturing Co., Ltd. Package und verfahren
US11081392B2 (en) 2018-09-28 2021-08-03 Taiwan Semiconductor Manufacturing Co., Ltd. Dicing method for stacked semiconductor devices
US10867879B2 (en) 2018-09-28 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit package and method
DE102019101999B4 (de) 2018-09-28 2021-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. Halbleitervorrichtung mit mehreren polaritätsgruppen
US11164754B2 (en) 2018-09-28 2021-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Fan-out packages and methods of forming the same
US11037952B2 (en) 2018-09-28 2021-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Peripheral circuitry under array memory device and method of fabricating thereof
US10861841B2 (en) 2018-09-28 2020-12-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with multiple polarity groups
US10804230B2 (en) 2018-10-17 2020-10-13 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package and method of manufacturing the same
US11031381B2 (en) 2018-10-30 2021-06-08 Taiwan Semiconductor Manufacturing Company, Ltd. Optical transceiver and manufacturing method thereof
US10656351B1 (en) 2018-10-30 2020-05-19 Taiwan Semiconductor Manufacturing Co., Ltd Package structure for optical fiber and method for forming the same
US10840197B2 (en) 2018-10-30 2020-11-17 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and manufacturing method thereof
US10796976B2 (en) 2018-10-31 2020-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of forming the same
US11164825B2 (en) 2018-10-31 2021-11-02 Taiwan Semiconductor Manufacturing Co., Ltd. CoWos interposer with selectable/programmable capacitance arrays
US11088109B2 (en) 2018-11-21 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Packages with multi-thermal interface materials and methods of fabricating the same
US20200168527A1 (en) * 2018-11-28 2020-05-28 Taiwan Semiconductor Manfacturing Co., Ltd. Soic chip architecture
US11289424B2 (en) 2018-11-29 2022-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Package and method of manufacturing the same
US11139223B2 (en) 2018-11-29 2021-10-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US11328936B2 (en) 2018-12-21 2022-05-10 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of package structure with underfill
CN109729639B (zh) * 2018-12-24 2020-11-20 奥特斯科技(重庆)有限公司 在无芯基板上包括柱体的部件承载件
US11183487B2 (en) 2018-12-26 2021-11-23 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit package and method
US11094625B2 (en) 2019-01-02 2021-08-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package with improved interposer structure
US11101214B2 (en) 2019-01-02 2021-08-24 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure with dam structure and method for forming the same
US10811390B2 (en) 2019-01-21 2020-10-20 Taiwan Semiconductor Manufacturing Company, Ltd. Die stack structure and method of fabricating the same and package
US11088110B2 (en) 2019-01-28 2021-08-10 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device, circuit board structure and manufacturing method thereof
US10818651B2 (en) 2019-01-29 2020-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure
US11121052B2 (en) 2019-01-31 2021-09-14 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated fan-out device, 3D-IC system, and method
US10867963B2 (en) 2019-03-14 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Die stack structure and method of fabricating the same
US11728278B2 (en) 2019-03-25 2023-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Board substrates, three-dimensional integrated circuit structures and methods of forming the same
US11139249B2 (en) 2019-04-01 2021-10-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of forming the same
US11152330B2 (en) 2019-04-16 2021-10-19 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package structure and method for forming the same
US11094811B2 (en) 2019-04-19 2021-08-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10923421B2 (en) 2019-04-23 2021-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of manufacturing the same
US11088086B2 (en) 2019-04-26 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package structure and method for forming the same
US10923438B2 (en) 2019-04-26 2021-02-16 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and method for forming the same
US11088068B2 (en) 2019-04-29 2021-08-10 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages and methods of manufacturing the same
US11562982B2 (en) 2019-04-29 2023-01-24 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit packages and methods of forming the same
US10840190B1 (en) 2019-05-16 2020-11-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and manufacturing method thereof
US11024616B2 (en) 2019-05-16 2021-06-01 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of manufacturing the same
US10937772B2 (en) 2019-05-29 2021-03-02 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package and method for manufacturing the same
US10886245B2 (en) 2019-05-30 2021-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure, 3DIC structure and method of fabricating the same
US10790164B1 (en) 2019-06-13 2020-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming package structure
US10879138B1 (en) 2019-06-14 2020-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packaging structure including interconnection to probe pad with probe mark and method of manufacturing the same
US10998293B2 (en) 2019-06-14 2021-05-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating semiconductor structure
US10937736B2 (en) 2019-06-14 2021-03-02 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid integrated circuit package and method
US11145623B2 (en) 2019-06-14 2021-10-12 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit packages and methods of forming the same
US10867982B1 (en) 2019-06-14 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid integrated circuit package and method
US11380620B2 (en) 2019-06-14 2022-07-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package including cavity-mounted device
US11387177B2 (en) 2019-06-17 2022-07-12 Taiwan Semiconductor Manufacturing Company Ltd. Package structure and method for forming the same
US11164848B2 (en) 2019-06-20 2021-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method manufacturing the same
US11837526B2 (en) 2019-06-24 2023-12-05 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package structure and method for manufacturing the same
US11114413B2 (en) 2019-06-27 2021-09-07 Taiwan Semiconductor Manufacturing Company, Ltd. Stacking structure, package structure and method of fabricating the same
US11088079B2 (en) 2019-06-27 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure having line connected via portions
US11088108B2 (en) 2019-06-27 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package structure including ring-like structure and method for forming the same
US11056438B2 (en) 2019-06-27 2021-07-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages and method of forming the same
US11101240B2 (en) 2019-06-28 2021-08-24 Taiwan Semiconductor Manufacturing Company, Ltd. Isolation bonding film for semiconductor packages and methods of forming the same
US11841803B2 (en) 2019-06-28 2023-12-12 Advanced Micro Devices, Inc. GPU chiplets using high bandwidth crosslinks
US10879192B1 (en) 2019-07-17 2020-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and manufacturing method thereof
US11239225B2 (en) 2019-07-17 2022-02-01 Taiwan Semiconductor Manufacturing Company, Ltd. Three-dimensional integrated circuit structures and methods of manufacturing the same
US11063019B2 (en) 2019-07-17 2021-07-13 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure, chip structure and method of fabricating the same
US11239135B2 (en) 2019-07-18 2022-02-01 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of manufacturing the same
US11049802B2 (en) 2019-07-18 2021-06-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US11587818B2 (en) 2019-07-18 2023-02-21 Taiwan Semiconductor Manufacturing Company, Ltd. Chuck design and method for wafer
US11728238B2 (en) 2019-07-29 2023-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package with heat dissipation films and manufacturing method thereof
US11569172B2 (en) 2019-08-08 2023-01-31 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and methods of manufacture
US11443981B2 (en) 2019-08-16 2022-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Bonding method of package components and bonding apparatus
US11018070B2 (en) 2019-08-22 2021-05-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor die, manufacturing method thereof, and semiconductor package
US11062968B2 (en) 2019-08-22 2021-07-13 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and method for forming the same
US11094635B2 (en) 2019-08-22 2021-08-17 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and method for forming the same
US11094613B2 (en) 2019-08-22 2021-08-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and manufacturing method thereof
US11069608B2 (en) 2019-08-22 2021-07-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and manufacturing method thereof
US11380653B2 (en) 2019-08-27 2022-07-05 Taiwan Semiconductor Manufacturing Company, Ltd. Die stack structure and manufacturing method thereof
US11257791B2 (en) 2019-08-28 2022-02-22 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked die structure and method of fabricating the same
US11309243B2 (en) 2019-08-28 2022-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Package having different metal densities in different regions and manufacturing method thereof
US11164824B2 (en) 2019-08-28 2021-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of fabricating the same
US11145633B2 (en) 2019-08-28 2021-10-12 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11227812B2 (en) 2019-08-28 2022-01-18 Taiwan Semiconductor Manufacturing Company, Ltd. Package and manufacturing method thereof
US11387164B2 (en) 2019-08-28 2022-07-12 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US11373981B2 (en) 2019-08-28 2022-06-28 Taiwan Semiconductor Manufacturing Company, Ltd. Package and manufacturing method thereof
US11532580B2 (en) 2019-08-29 2022-12-20 Taiwan Semiconductor Manufacturing Company Ltd. Interconnect structure, semiconductor structure including interconnect structure and method for forming the same
US11854967B2 (en) 2019-08-29 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages
US11393805B2 (en) 2019-08-29 2022-07-19 Taiwan Semiconductor Manufacturing Company, Ltd. 3D semiconductor packages
US11398444B2 (en) 2019-08-29 2022-07-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages having conductive pillars with inclined surfaces and methods of forming the same
US11264343B2 (en) 2019-08-30 2022-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Bond pad structure for semiconductor device and method of forming same
US11443993B2 (en) 2019-09-09 2022-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package structure with cavity in interposer
CN112466861A (zh) 2019-09-09 2021-03-09 台湾积体电路制造股份有限公司 封装结构及其形成方法
US11282759B2 (en) 2019-09-09 2022-03-22 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package structure having warpage control and method of forming the same
US11610864B2 (en) 2019-09-09 2023-03-21 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package structure and method of forming the same
US11063008B2 (en) 2019-09-16 2021-07-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and manufacturing method thereof
US10886147B1 (en) 2019-09-16 2021-01-05 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and method for forming the same
US11081447B2 (en) 2019-09-17 2021-08-03 Taiwan Semiconductor Manufacturing Co., Ltd. Graphene-assisted low-resistance interconnect structures and methods of formation thereof
US11183482B2 (en) 2019-09-17 2021-11-23 Taiwan Semiconductor Manufacturing Company, Ltd. Shift control method in manufacture of semiconductor device
US11164855B2 (en) 2019-09-17 2021-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure with a heat dissipating element and method of manufacturing the same
US11088041B2 (en) 2019-09-17 2021-08-10 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages with shortened talking path
US11063022B2 (en) 2019-09-17 2021-07-13 Taiwan Semiconductor Manufacturing Company, Ltd. Package and manufacturing method of reconstructed wafer
US11410948B2 (en) 2019-09-25 2022-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and manufacturing method thereof
US11841541B2 (en) 2019-09-26 2023-12-12 Taiwan Semiconductor Manufacturing Company, Ltd. Package assembly and manufacturing method thereof
US11289399B2 (en) 2019-09-26 2022-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and manufacturing method thereof
US11355428B2 (en) 2019-09-27 2022-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package
US11824040B2 (en) 2019-09-27 2023-11-21 Taiwan Semiconductor Manufacturing Company, Ltd. Package component, electronic device and manufacturing method thereof
US11507527B2 (en) 2019-09-27 2022-11-22 Advanced Micro Devices, Inc. Active bridge chiplet with integrated cache
US11282779B2 (en) 2019-09-27 2022-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and fabricating method thereof
US20210098419A1 (en) * 2019-09-27 2021-04-01 Advanced Micro Devices, Inc. Fabricating active-bridge-coupled gpu chiplets
US11503711B2 (en) 2019-09-27 2022-11-15 Taiwan Semiconductor Manufacturing Co., Ltd. Method for inserting dummy capacitor structures
DE102020108481B4 (de) 2019-09-27 2023-07-06 Taiwan Semiconductor Manufacturing Company, Ltd. Halbleiter-Die-Package und Herstellungsverfahren
US11289398B2 (en) 2019-09-27 2022-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and manufacturing method thereof
US11476201B2 (en) 2019-09-27 2022-10-18 Taiwan Semiconductor Manufacturing Company. Ltd. Package-on-package device
US11450641B2 (en) 2019-09-27 2022-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating package structure
US11362064B2 (en) 2019-09-28 2022-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package with shared barrier layer in redistribution and via
US10879206B1 (en) 2019-10-16 2020-12-29 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method for forming the same
US10847429B1 (en) 2019-10-17 2020-11-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method of detecting photoresist scum, method of forming semiconductor package and photoresist scum detection apparatus
US11107779B2 (en) 2019-10-17 2021-08-31 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11315860B2 (en) 2019-10-17 2022-04-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing process thereof
US11145614B2 (en) 2019-10-18 2021-10-12 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US11569156B2 (en) 2019-10-27 2023-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device, electronic device including the same, and manufacturing method thereof
US11404342B2 (en) 2019-10-29 2022-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure comprising buffer layer for reducing thermal stress and method of forming the same
US11621244B2 (en) 2019-11-15 2023-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit package and method
US11133304B2 (en) 2019-11-27 2021-09-28 Taiwan Semiconductor Manufacturing Co., Ltd. Packaging scheme involving metal-insulator-metal capacitor
US11232622B2 (en) 2019-11-27 2022-01-25 Advanced Micro Devices, Inc. Data flow in a distributed graphics processing unit architecture
US11309226B2 (en) 2019-12-18 2022-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Three-dimensional integrated circuit structures and methods of forming the same
US11302600B2 (en) 2019-12-18 2022-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US11862594B2 (en) 2019-12-18 2024-01-02 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure with solder resist underlayer for warpage control and method of manufacturing the same
US11145562B2 (en) 2019-12-19 2021-10-12 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of manufacturing the same
US11450580B2 (en) 2019-12-24 2022-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method of fabricating the same
US11551999B2 (en) 2019-12-25 2023-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. Memory device and manufacturing method thereof
CN113035788A (zh) 2019-12-25 2021-06-25 台湾积体电路制造股份有限公司 封装结构及其制作方法
US11545438B2 (en) 2019-12-25 2023-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages and methods of forming the same
US11450654B2 (en) 2019-12-25 2022-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of fabricating the same
US11664300B2 (en) 2019-12-26 2023-05-30 Taiwan Semiconductor Manufacturing Co., Ltd. Fan-out packages and methods of forming the same
US11791275B2 (en) 2019-12-27 2023-10-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacturing
US11482461B2 (en) 2019-12-31 2022-10-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and method for making the same
US11728233B2 (en) 2020-01-10 2023-08-15 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package structure with ring structure and method for forming the same
US11424219B2 (en) 2020-01-16 2022-08-23 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of fabricating the same
US11094682B2 (en) 2020-01-16 2021-08-17 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of fabricating the same
US11462418B2 (en) 2020-01-17 2022-10-04 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit package and method
US11616026B2 (en) 2020-01-17 2023-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US11817325B2 (en) 2020-01-17 2023-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of manufacturing a semiconductor package
US11239134B2 (en) 2020-01-17 2022-02-01 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of fabricating the same
US11239193B2 (en) 2020-01-17 2022-02-01 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit package and method
US11201106B2 (en) 2020-01-24 2021-12-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with conductors embedded in a substrate
US11315862B2 (en) 2020-01-31 2022-04-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and manufacturing method thereof
US11372160B2 (en) 2020-01-31 2022-06-28 Taiwan Semiconductor Manufacturing Company, Ltd. Package, optical device, and manufacturing method of package
US11417629B2 (en) 2020-02-11 2022-08-16 Taiwan Semiconductor Manufacturing Company, Ltd. Three-dimensional stacking structure and manufacturing method thereof
US11557568B2 (en) 2020-02-26 2023-01-17 Taiwan Semiconductor Manufacturing Company. Ltd. Package and manufacturing method thereof
US11362065B2 (en) 2020-02-26 2022-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Package and manufacturing method thereof
US11417539B2 (en) 2020-02-27 2022-08-16 Taiwan Semiconductor Manufacturing Co., Ltd. Bump structure and method of making the same
US11215753B2 (en) 2020-02-27 2022-01-04 Taiwan Semiconductor Manufacturing Company, Ltd. Photonic semiconductor device and method
US11495573B2 (en) 2020-03-02 2022-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and manufacturing method thereof
US11574857B2 (en) 2020-03-23 2023-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11373946B2 (en) 2020-03-26 2022-06-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11362066B2 (en) 2020-03-26 2022-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and manufacturing method thereof
US11244939B2 (en) 2020-03-26 2022-02-08 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of forming the same
US11410932B2 (en) 2020-03-30 2022-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacturing the same
US11495506B2 (en) 2020-03-30 2022-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package with separate electric and thermal paths
DE102020119971B4 (de) * 2020-03-30 2022-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleiterstruktur mit Chip-on-Wafer-Struktur mit Chiplet-Interposer und Verfahren zum Bilden derselben
US11380611B2 (en) 2020-03-30 2022-07-05 Taiwan Semiconductor Manufacturing Co., Ltd. Chip-on-wafer structure with chiplet interposer
US11347001B2 (en) 2020-04-01 2022-05-31 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method of fabricating the same
US11315855B2 (en) 2020-04-01 2022-04-26 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure with photonic die and method
US11302683B2 (en) 2020-04-01 2022-04-12 Taiwan Semiconductor Manufacturing Co., Ltd. Optical signal processing package structure
US11276670B2 (en) 2020-04-17 2022-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method of semiconductor device
US11342413B2 (en) 2020-04-24 2022-05-24 Taiwan Semiconductor Manufacturing Co., Ltd. Selective liner on backside via and method thereof
US11495559B2 (en) 2020-04-27 2022-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits
US11948930B2 (en) * 2020-04-29 2024-04-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and method of manufacturing the same
US11929261B2 (en) 2020-05-01 2024-03-12 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and method of manufacturing the same
US11222859B2 (en) 2020-05-05 2022-01-11 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with bonding pad and method for forming the same
US11670692B2 (en) 2020-05-13 2023-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Gate-all-around devices having self-aligned capping between channel and backside power rail
US11609391B2 (en) 2020-05-19 2023-03-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11996409B2 (en) 2020-05-20 2024-05-28 Taiwan Semiconductor Manufacturing Co., Ltd. Stacking CMOS structure
US11664350B2 (en) 2020-05-20 2023-05-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacture
US11728254B2 (en) 2020-05-22 2023-08-15 Taiwan Semiconductor Manufacturing Co., Ltd. Giga interposer integration through chip-on-wafer-on-substrate
US11694939B2 (en) 2020-05-22 2023-07-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package, integrated optical communication system
US11404404B2 (en) 2020-05-27 2022-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure having photonic die and electronic die
US11515274B2 (en) 2020-05-28 2022-11-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11233035B2 (en) 2020-05-28 2022-01-25 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of manufacturing the same
US11393763B2 (en) 2020-05-28 2022-07-19 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated fan-out (info) package structure and method
US11502015B2 (en) 2020-05-28 2022-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
DE102020130962A1 (de) 2020-05-29 2021-12-02 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleitervorrichtung und herstellungsverfahren
US11443987B2 (en) 2020-05-29 2022-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices with backside air gap dielectric
US11894318B2 (en) 2020-05-29 2024-02-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacture
US11450615B2 (en) 2020-06-12 2022-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of fabricating the same
US11715755B2 (en) 2020-06-15 2023-08-01 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and method for forming integrated high density MIM capacitor
US11631736B2 (en) 2020-06-15 2023-04-18 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial source/drain feature with enlarged lower section interfacing with backside via
US11296065B2 (en) 2020-06-15 2022-04-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor packages and methods of forming same
US11552074B2 (en) 2020-06-15 2023-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. Package structures and methods of fabricating the same
US11581281B2 (en) 2020-06-26 2023-02-14 Taiwan Semiconductor Manufacturing Co., Ltd. Packaged semiconductor device and method of forming thereof
US11309242B2 (en) 2020-06-29 2022-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Package component, semiconductor package and manufacturing method thereof
US11552054B2 (en) 2020-06-29 2023-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of manufacturing the same
US11348874B2 (en) 2020-07-08 2022-05-31 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages and forming methods thereof
US11502056B2 (en) 2020-07-08 2022-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Joint structure in semiconductor package and manufacturing method thereof
US11450612B2 (en) 2020-07-09 2022-09-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and methods of manufacturing the same
US11335666B2 (en) 2020-07-09 2022-05-17 Taiwan Semiconductor Manufacturing Company, Ltd. Memory device and manufacturing method thereof
US11587894B2 (en) 2020-07-09 2023-02-21 Taiwan Semiconductor Manufacturing Company, Ltd. Package and method of fabricating the same
US11222867B1 (en) 2020-07-09 2022-01-11 Taiwan Semiconductor Manufacturing Company, Ltd. Package and manufacturing method thereof
US11233005B1 (en) 2020-07-10 2022-01-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manufacturing an anchor-shaped backside via
US11705378B2 (en) 2020-07-20 2023-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages and methods of forming the same
US11239136B1 (en) 2020-07-28 2022-02-01 Taiwan Semiconductor Manufacturing Company, Ltd. Adhesive and thermal interface material on a plurality of dies covered by a lid
US11482649B2 (en) 2020-07-29 2022-10-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method of semiconductor package
US11355454B2 (en) 2020-07-30 2022-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and manufacturing method thereof
US11990443B2 (en) 2020-08-17 2024-05-21 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor die package and method of manufacture
US11778918B2 (en) 2020-08-20 2023-10-03 Taiwan Semiconductor Manufacturing Co., Ltd. Magnetic memory cell with low-resistive electrode via and method of forming same
US11532582B2 (en) 2020-08-25 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device package and method of manufacture
US11450626B2 (en) 2020-08-25 2022-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package
US11469197B2 (en) 2020-08-26 2022-10-11 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit package and method
TWI778406B (zh) * 2020-08-26 2022-09-21 矽品精密工業股份有限公司 電子封裝件及其製法
US11482594B2 (en) 2020-08-27 2022-10-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices with backside power rail and method thereof
US11454888B2 (en) 2020-09-15 2022-09-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacture
US11309291B2 (en) 2020-09-20 2022-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Die stack structure and manufacturing method thereof
US11868047B2 (en) 2020-09-21 2024-01-09 Taiwan Semiconductor Manufacturing Co., Ltd. Polymer layer in semiconductor device and method of manufacture
US11721603B2 (en) 2020-10-15 2023-08-08 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated fan out method utilizing a filler-free insulating material
US11600562B2 (en) 2020-10-21 2023-03-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages and method of manufacturing the same
US11521905B2 (en) 2020-10-21 2022-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of manufacturing the same
US11658119B2 (en) 2020-10-27 2023-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Backside signal interconnection
US11940662B2 (en) 2020-10-27 2024-03-26 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method for forming the same
US11521893B2 (en) 2020-10-30 2022-12-06 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method for forming the same
US11437332B2 (en) 2020-10-30 2022-09-06 Taiwan Semiconductor Manufacturing Company Ltd. Package structure and method of manufacturing the same
US11637072B2 (en) 2020-11-06 2023-04-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and method of manufacturing the same
US11362009B2 (en) 2020-11-13 2022-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of fabricating the same
US11830746B2 (en) 2021-01-05 2023-11-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacture
US11710712B2 (en) 2021-01-05 2023-07-25 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and manufacturing method of the same
US11587887B2 (en) 2021-01-14 2023-02-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US11804468B2 (en) 2021-01-15 2023-10-31 Taiwan Semiconductor Manufacturing Company, Ltd. Manufacturing method of semiconductor package using jig
US11742322B2 (en) 2021-01-20 2023-08-29 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated fan-out package having stress release structure
US11600592B2 (en) 2021-01-21 2023-03-07 Taiwan Semiconductor Manufacturing Company, Ltd. Package
US11682602B2 (en) 2021-02-04 2023-06-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacture
US11756933B2 (en) 2021-02-12 2023-09-12 Taiwan Semiconductor Manufacturing Co., Ltd. Inactive structure on SoIC
US11996371B2 (en) 2021-02-12 2024-05-28 Taiwan Semiconductor Manufacturing Co., Ltd. Chiplet interposer
US11728327B2 (en) 2021-02-12 2023-08-15 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit package and method
US11699631B2 (en) 2021-02-24 2023-07-11 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US11715723B2 (en) 2021-02-26 2023-08-01 Taiwan Semiconductor Manufacturing Co., Ltd. Wafer on wafer bonding structure
US11764127B2 (en) 2021-02-26 2023-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11817380B2 (en) 2021-02-26 2023-11-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and method of forming same
US11791332B2 (en) 2021-02-26 2023-10-17 Taiwan Semiconductor Manufacturing Co., Ltd. Stacked semiconductor device and method
US11978715B2 (en) 2021-02-26 2024-05-07 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and formation method of chip package with protective lid
US11749643B2 (en) 2021-03-03 2023-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages and methods for forming the same
US11950432B2 (en) 2021-03-05 2024-04-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages and method of manufacturing the same
US11532596B2 (en) 2021-03-05 2022-12-20 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of forming the same
US11854987B2 (en) 2021-03-10 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages with interconnection features in a seal region and methods for forming the same
US11594460B2 (en) 2021-03-11 2023-02-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and method of fabricating the same
US11676942B2 (en) 2021-03-12 2023-06-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method of manufacturing the same
US11756854B2 (en) 2021-03-18 2023-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of fabricating the same
US11728275B2 (en) 2021-03-18 2023-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11705343B2 (en) 2021-03-18 2023-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit package and method of forming thereof
US11823887B2 (en) 2021-03-19 2023-11-21 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of fabricating the same
US11848246B2 (en) 2021-03-24 2023-12-19 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit package and method
US11756924B2 (en) 2021-03-25 2023-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a semiconductor chip having strength adjustment pattern in bonding layer
US11830796B2 (en) 2021-03-25 2023-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. Circuit substrate, package structure and method of manufacturing the same
US11487060B2 (en) 2021-03-25 2022-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with nanostructures aligned with grating coupler and manufacturing method thereof
US11915991B2 (en) 2021-03-26 2024-02-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having first heat spreader and second heat spreader and manufacturing method thereof
US11842946B2 (en) 2021-03-26 2023-12-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package having an encapsulant comprising conductive fillers and method of manufacture
US11798897B2 (en) 2021-03-26 2023-10-24 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and methods of manufacturing the same
US11990351B2 (en) 2021-03-26 2024-05-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11854944B2 (en) * 2021-03-26 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages and methods for forming the same
US11823991B2 (en) 2021-03-26 2023-11-21 Taiwan Semiconductor Manufacturing Company, Ltd. Frames stacked on substrate encircling devices and manufacturing method thereof
US11705384B2 (en) 2021-03-31 2023-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Through vias of semiconductor structure and method of forming thereof
US11784228B2 (en) 2021-04-09 2023-10-10 Taiwan Semiconductor Manufacturing Company, Ltd. Process and structure for source/drain contacts
US11756920B2 (en) 2021-04-09 2023-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and manufacturing method thereof
US11848372B2 (en) 2021-04-21 2023-12-19 Taiwan Semiconductor Manufacturing Co., Ltd. Method and structure for reducing source/drain contact resistance at wafer backside
US11676943B2 (en) 2021-04-23 2023-06-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and manufacturing method thereof
US11764171B2 (en) 2021-04-27 2023-09-19 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit structure and method
US11742323B2 (en) 2021-04-27 2023-08-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method of forming the same
US11764118B2 (en) 2021-04-29 2023-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and formation method of chip package with protective lid
US11804445B2 (en) 2021-04-29 2023-10-31 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming chip package structure
US11973005B2 (en) 2021-05-05 2024-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Coplanar control for film-type thermal interface
US11694941B2 (en) 2021-05-12 2023-07-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor die package with multi-lid structures and method for forming the same
US11984378B2 (en) 2021-05-13 2024-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package structure and method for forming the same
US11901349B2 (en) 2021-05-13 2024-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages and methods for forming the same
US11705381B2 (en) 2021-06-04 2023-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. High efficiency heat dissipation using thermal interface material film
US11594479B2 (en) 2021-06-18 2023-02-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and manufacturing method thereof
US11810847B2 (en) 2021-06-24 2023-11-07 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of fabricating the same
US11756801B2 (en) 2021-07-08 2023-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Stencil structure and method of fabricating package
US11715646B2 (en) 2021-07-16 2023-08-01 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method for forming the same
US11869822B2 (en) 2021-07-23 2024-01-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11823980B2 (en) 2021-07-29 2023-11-21 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and manufacturing method thereof
US11984422B2 (en) 2021-08-06 2024-05-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and method of forming same
US11929293B2 (en) 2021-08-19 2024-03-12 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package with lid structure
US11854928B2 (en) 2021-08-27 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11823981B2 (en) 2021-08-27 2023-11-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11784130B2 (en) 2021-08-27 2023-10-10 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and formation method of package with underfill
US11996345B2 (en) 2021-08-27 2024-05-28 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and manufacturing method thereof
US11978722B2 (en) 2021-08-27 2024-05-07 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and formation method of package containing chip structure with inclined sidewalls
US11935871B2 (en) 2021-08-30 2024-03-19 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and method of fabricating the same
US11594420B1 (en) 2021-08-30 2023-02-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and manufacturing method thereof
US11942451B2 (en) 2021-08-30 2024-03-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method of forming the same
US11676916B2 (en) 2021-08-30 2023-06-13 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and formation method of package with warpage-control element
US11901230B2 (en) 2021-08-30 2024-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11935760B2 (en) 2021-08-30 2024-03-19 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure having thermal dissipation structure therein and manufacturing method thereof
US11901256B2 (en) 2021-08-31 2024-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device, semiconductor package, and methods of manufacturing the same
US11676826B2 (en) 2021-08-31 2023-06-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor die package with ring structure for controlling warpage of a package substrate

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110159639A1 (en) 2009-12-31 2011-06-30 Kuo-Chung Yee Method for Making a Stackable Package

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000208698A (ja) * 1999-01-18 2000-07-28 Toshiba Corp 半導体装置
US6323060B1 (en) 1999-05-05 2001-11-27 Dense-Pac Microsystems, Inc. Stackable flex circuit IC package and method of making same
KR100364635B1 (ko) * 2001-02-09 2002-12-16 삼성전자 주식회사 칩-레벨에 형성된 칩 선택용 패드를 포함하는 칩-레벨3차원 멀티-칩 패키지 및 그 제조 방법
TWI225279B (en) * 2002-03-11 2004-12-11 Hitachi Ltd Semiconductor device and its manufacturing method
JP2007036104A (ja) * 2005-07-29 2007-02-08 Nec Electronics Corp 半導体装置およびその製造方法
JP4828202B2 (ja) * 2005-10-20 2011-11-30 ルネサスエレクトロニクス株式会社 モジュール半導体装置
US7564124B2 (en) * 2006-08-29 2009-07-21 Fairchild Semiconductor Corporation Semiconductor die package including stacked dice and heat sink structures
JP2008140220A (ja) * 2006-12-04 2008-06-19 Nec Corp 半導体装置
US8039303B2 (en) * 2008-06-11 2011-10-18 Stats Chippac, Ltd. Method of forming stress relief layer between die and interconnect structure
US8487444B2 (en) * 2009-03-06 2013-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Three-dimensional system-in-package architecture
JP2010245383A (ja) * 2009-04-08 2010-10-28 Elpida Memory Inc 半導体装置および半導体装置の製造方法
JP5570799B2 (ja) * 2009-12-17 2014-08-13 ピーエスフォー ルクスコ エスエイアールエル 半導体装置及びその製造方法
KR101078740B1 (ko) 2009-12-31 2011-11-02 주식회사 하이닉스반도체 스택 패키지 및 그의 제조방법
JP2011141928A (ja) * 2010-01-07 2011-07-21 Elpida Memory Inc 半導体装置及びその制御方法
US8298863B2 (en) * 2010-04-29 2012-10-30 Texas Instruments Incorporated TCE compensation for package substrates for reduced die warpage assembly
KR20120032254A (ko) * 2010-09-28 2012-04-05 삼성전자주식회사 반도체 적층 패키지 및 이의 제조 방법
US8993377B2 (en) * 2010-09-29 2015-03-31 Stats Chippac, Ltd. Semiconductor device and method of bonding different size semiconductor die at the wafer level
US9064879B2 (en) * 2010-10-14 2015-06-23 Taiwan Semiconductor Manufacturing Company, Ltd. Packaging methods and structures using a die attach film
JP2012142536A (ja) * 2010-12-13 2012-07-26 Elpida Memory Inc 半導体装置及びその製造方法
TWI445155B (zh) * 2011-01-06 2014-07-11 Advanced Semiconductor Eng 堆疊式封裝結構及其製造方法
KR101719636B1 (ko) * 2011-01-28 2017-04-05 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US20120211886A1 (en) * 2011-02-21 2012-08-23 ISC8 Inc. Method for Fabricating a Small Footprint Chip-Scale Package and a Device Made from the Method
US8937309B2 (en) * 2011-08-08 2015-01-20 Micron Technology, Inc. Semiconductor die assemblies, semiconductor devices including same, and methods of fabrication
US20130082383A1 (en) * 2011-10-03 2013-04-04 Texas Instruments Incorporated Electronic assembly having mixed interface including tsv die
US8742591B2 (en) * 2011-12-21 2014-06-03 Stats Chippac, Ltd. Semiconductor device and method of forming insulating layer in notches around conductive TSV for stress relief
US8518796B2 (en) * 2012-01-09 2013-08-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor die connection system and method
US8686570B2 (en) * 2012-01-20 2014-04-01 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-dimensional integrated circuit structures and methods of forming the same

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110159639A1 (en) 2009-12-31 2011-06-30 Kuo-Chung Yee Method for Making a Stackable Package

Also Published As

Publication number Publication date
KR20140001085A (ko) 2014-01-06
US20140001645A1 (en) 2014-01-02
TWI528471B (zh) 2016-04-01
CN103515305B (zh) 2017-05-24
TW201401391A (zh) 2014-01-01
US20170005073A1 (en) 2017-01-05
US10109613B2 (en) 2018-10-23
US9443783B2 (en) 2016-09-13
CN103515305A (zh) 2014-01-15

Similar Documents

Publication Publication Date Title
KR101515275B1 (ko) 3dic 적층 디바이스 및 제조 방법
US10535638B2 (en) Semiconductor device
US10134706B2 (en) Warpage control of semiconductor die package
US11721598B2 (en) Method of forming semiconductor device package having testing pads on an upper die
CN107026092B (zh) 制造指纹扫描器的方法以及半导体装置
US11476201B2 (en) Package-on-package device
US11063019B2 (en) Package structure, chip structure and method of fabricating the same
KR102585621B1 (ko) 집적 회로 패키지 및 방법
US10867966B2 (en) Package structure, package-on-package structure and method of fabricating the same
TWI711145B (zh) 封裝結構及其製造方法
US11101252B2 (en) Package-on-package structure and manufacturing method thereof
US11955433B2 (en) Package-on-package device
US20140183746A1 (en) Zero Stand-Off Bonding System and Method
US20220367466A1 (en) Semiconductor Devices with System on Chip Devices
KR102450735B1 (ko) 반도체 디바이스 및 제조 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20180405

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20190410

Year of fee payment: 5