TWI528471B - 半導體裝置及其製造方法 - Google Patents

半導體裝置及其製造方法 Download PDF

Info

Publication number
TWI528471B
TWI528471B TW102120259A TW102120259A TWI528471B TW I528471 B TWI528471 B TW I528471B TW 102120259 A TW102120259 A TW 102120259A TW 102120259 A TW102120259 A TW 102120259A TW I528471 B TWI528471 B TW I528471B
Authority
TW
Taiwan
Prior art keywords
semiconductor die
semiconductor
layer
die
substrate via
Prior art date
Application number
TW102120259A
Other languages
English (en)
Other versions
TW201401391A (zh
Inventor
林俊成
余振華
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201401391A publication Critical patent/TW201401391A/zh
Application granted granted Critical
Publication of TWI528471B publication Critical patent/TWI528471B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0652Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next and on each other, i.e. mixed assemblies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/12Mountings, e.g. non-detachable insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3157Partial encapsulation or coating
    • H01L23/3171Partial encapsulation or coating the coating being directly applied to the semiconductor body, e.g. passivation layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/561Batch processing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/563Encapsulation of active face of flip-chip device, e.g. underfilling or underencapsulation of flip-chip, encapsulation preform on chip or mounting substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49811Additional leads joined to the metallisation on the insulating substrate, e.g. pins, bumps, wires, flat leads
    • H01L23/49816Spherical bumps on the substrate for external connection, e.g. ball grid arrays [BGA]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5389Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates the chips being integrally enclosed by the interconnect and support structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/14Structure, shape, material or disposition of the bump connectors prior to the connecting process of a plurality of bump connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L24/80 - H01L24/90
    • H01L24/92Specific sequence of method steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/93Batch processes
    • H01L24/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L24/96Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being encapsulated in a common layer, e.g. neo-wafer or pseudo-wafer, said common layer being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/568Temporary substrate used as encapsulation process aid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0237Disposition of the redistribution layers
    • H01L2224/02372Disposition of the redistribution layers connecting to a via connection in the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0237Disposition of the redistribution layers
    • H01L2224/02379Fan-out arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/0401Bonding areas specifically adapted for bump connectors, e.g. under bump metallisation [UBM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/04105Bonding areas formed on an encapsulation of the semiconductor or solid-state body, e.g. bonding areas on chip-scale packages
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05569Disposition the external layer being disposed on a redistribution layer on the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05571Disposition the external layer being disposed in a recess of the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05571Disposition the external layer being disposed in a recess of the surface
    • H01L2224/05572Disposition the external layer being disposed in a recess of the surface the external layer extending out of an opening
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05655Nickel [Ni] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05663Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05666Titanium [Ti] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/11001Involving a temporary auxiliary member not forming part of the manufacturing apparatus, e.g. removable or sacrificial coating, film or substrate
    • H01L2224/11002Involving a temporary auxiliary member not forming part of the manufacturing apparatus, e.g. removable or sacrificial coating, film or substrate for supporting the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/113Manufacturing methods by local deposition of the material of the bump connector
    • H01L2224/1131Manufacturing methods by local deposition of the material of the bump connector in liquid form
    • H01L2224/1132Screen printing, i.e. using a stencil
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/113Manufacturing methods by local deposition of the material of the bump connector
    • H01L2224/1133Manufacturing methods by local deposition of the material of the bump connector in solid form
    • H01L2224/11334Manufacturing methods by local deposition of the material of the bump connector in solid form using preformed bumps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/113Manufacturing methods by local deposition of the material of the bump connector
    • H01L2224/1133Manufacturing methods by local deposition of the material of the bump connector in solid form
    • H01L2224/1134Stud bumping, i.e. using a wire-bonding apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/114Manufacturing methods by blanket deposition of the material of the bump connector
    • H01L2224/11444Manufacturing methods by blanket deposition of the material of the bump connector in gaseous form
    • H01L2224/1145Physical vapour deposition [PVD], e.g. evaporation, or sputtering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/114Manufacturing methods by blanket deposition of the material of the bump connector
    • H01L2224/1146Plating
    • H01L2224/11462Electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/118Post-treatment of the bump connector
    • H01L2224/11848Thermal treatments, e.g. annealing, controlled cooling
    • H01L2224/11849Reflowing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/12105Bump connectors formed on an encapsulation of the semiconductor or solid-state body, e.g. bumps on chip-scale packages
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/1302Disposition
    • H01L2224/13023Disposition the whole bump connector protruding from the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/1302Disposition
    • H01L2224/13024Disposition the bump connector being disposed on a redistribution layer on the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13075Plural core members
    • H01L2224/1308Plural core members being stacked
    • H01L2224/13082Two-layer arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13147Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/14Structure, shape, material or disposition of the bump connectors prior to the connecting process of a plurality of bump connectors
    • H01L2224/141Disposition
    • H01L2224/1412Layout
    • H01L2224/1413Square or rectangular array
    • H01L2224/14134Square or rectangular array covering only portions of the surface to be connected
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/14Structure, shape, material or disposition of the bump connectors prior to the connecting process of a plurality of bump connectors
    • H01L2224/141Disposition
    • H01L2224/1412Layout
    • H01L2224/1414Circular array, i.e. array with radial symmetry
    • H01L2224/14143Circular array, i.e. array with radial symmetry with a staggered arrangement, e.g. depopulated array
    • H01L2224/14144Circular array, i.e. array with radial symmetry with a staggered arrangement, e.g. depopulated array covering only portions of the surface to be connected
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/14Structure, shape, material or disposition of the bump connectors prior to the connecting process of a plurality of bump connectors
    • H01L2224/141Disposition
    • H01L2224/1412Layout
    • H01L2224/1415Mirror array, i.e. array having only a reflection symmetry, i.e. bilateral symmetry
    • H01L2224/14154Mirror array, i.e. array having only a reflection symmetry, i.e. bilateral symmetry covering only portions of the surface to be connected
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/14Structure, shape, material or disposition of the bump connectors prior to the connecting process of a plurality of bump connectors
    • H01L2224/141Disposition
    • H01L2224/1412Layout
    • H01L2224/1416Random layout, i.e. layout with no symmetry
    • H01L2224/14164Random layout, i.e. layout with no symmetry covering only portions of the surface to be connected
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/14Structure, shape, material or disposition of the bump connectors prior to the connecting process of a plurality of bump connectors
    • H01L2224/141Disposition
    • H01L2224/1418Disposition being disposed on at least two different sides of the body, e.g. dual array
    • H01L2224/14181On opposite sides of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/17Structure, shape, material or disposition of the bump connectors after the connecting process of a plurality of bump connectors
    • H01L2224/171Disposition
    • H01L2224/1718Disposition being disposed on at least two different sides of the body, e.g. dual array
    • H01L2224/17181On opposite sides of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • H01L2224/29001Core members of the layer connector
    • H01L2224/29099Material
    • H01L2224/2919Material with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73201Location after the connecting process on the same surface
    • H01L2224/73203Bump and layer connectors
    • H01L2224/73204Bump and layer connectors the bump connector being embedded into the layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/81001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector involving a temporary auxiliary member not forming part of the bonding apparatus
    • H01L2224/81005Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector involving a temporary auxiliary member not forming part of the bonding apparatus being a temporary or sacrificial substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/8119Arrangement of the bump connectors prior to mounting
    • H01L2224/81191Arrangement of the bump connectors prior to mounting wherein the bump connectors are disposed only on the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/818Bonding techniques
    • H01L2224/81801Soldering or alloying
    • H01L2224/81815Reflow soldering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/818Bonding techniques
    • H01L2224/81894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/81895Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically conductive surfaces, e.g. copper-copper direct bonding, surface activated bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/831Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector the layer connector being supplied to the parts to be connected in the bonding apparatus
    • H01L2224/83104Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector the layer connector being supplied to the parts to be connected in the bonding apparatus by applying pressure, e.g. by injection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
    • H01L2224/92Specific sequence of method steps
    • H01L2224/9202Forming additional connectors after the connecting process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L2224/96Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being encapsulated in a common layer, e.g. neo-wafer or pseudo-wafer, said common layer being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L2224/97Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being connected to a common substrate, e.g. interposer, said common substrate being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06513Bump or bump-like direct electrical connections between devices, e.g. flip-chip connection, solder bumps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06517Bump or bump-like direct electrical connections from device to substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06524Electrical connections formed on device or on substrate, e.g. a deposited or grown layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06527Special adaptation of electrical connections, e.g. rewiring, engineering changes, pressure contacts, layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06541Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06555Geometry of the stack, e.g. form of the devices, geometry to facilitate stacking
    • H01L2225/06562Geometry of the stack, e.g. form of the devices, geometry to facilitate stacking at least one device in the stack being rotated or offset
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06555Geometry of the stack, e.g. form of the devices, geometry to facilitate stacking
    • H01L2225/06568Geometry of the stack, e.g. form of the devices, geometry to facilitate stacking the devices decreasing in size, e.g. pyramidical stack
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3135Double encapsulation or coating and encapsulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/03Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/11Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/156Material
    • H01L2924/15786Material with a principal constituent of the material being a non metallic, non metalloid inorganic material
    • H01L2924/15787Ceramics, e.g. crystalline carbides, nitrides or oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/181Encapsulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/181Encapsulation
    • H01L2924/1815Shape
    • H01L2924/1816Exposing the passive side of the semiconductor or solid-state body
    • H01L2924/18162Exposing the passive side of the semiconductor or solid-state body of a chip with build-up interconnect
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/35Mechanical effects
    • H01L2924/351Thermal stress

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

半導體裝置及其製造方法
本發明係有關於一種半導體技術,特別為有關於一種三維半導體裝置及其製造方法。
自積體電路的發明創造以來,由於各個電子部件(即,電晶體、二極體、電阻、電容等等)的集積度(integration density)持續的改進,使半導體業持續不斷的快速成長發展。主要來說,集積度的改進來自於最小特徵尺寸(minimum feature size)不斷縮小而容許更多的部件整合至既有的晶片面積內。
這些集積度的改進實質上是朝二維(two-dimensional,2D)方面的,因為積體部件所佔的體積實際上位於半導體晶圓的表面。儘管微影(lithography)技術的精進為2D積體電路製作帶來相當大的助益,二維空間所能擁有的密度還是有其物理限制。這些限制之一在於製作這些部件所需的最小尺寸。再者,當更多的裝置放入一晶片中,需具有更複雜的電路設計。
為了進一步增加積體電路密度,已開始研究三維(3D)積體電路(three-dimensional integrated circuit,3DIC)。 在典型的3DIC製程中,二個晶粒彼此接合,且在每一晶粒與基底上的接觸墊之間形成電性連接。例如,在彼此上方接合二個晶粒。疊置的晶粒接著與一承載基底(carrier substrate)接合,而接線將每一晶粒上的接觸墊電性耦接至承載基底上的接觸墊。
本發明係提供一種半導體裝置的製造方法,包括在一第一承載晶圓上放置一或多個的底部晶粒。在一或多個的底部晶粒之間形成一第一模塑成型材料,而暴露出位於一或多個的底部晶粒上的複數電性接觸窗。將一或多個的底部晶粒及第一模塑成型材料貼附至一第二承載晶圓。將一或多個的底部晶粒薄化,以暴露出穿透一或多個的底部晶粒的複數通孔電極。沿著一或多個的底部晶粒的一背側,形成連接通孔電極的複數電性連接器。將一或多個的頂部晶粒貼附至一或多個的底部晶粒。
本發明係提供另一種半導體裝置的製造方法,包括將一第一半導體晶粒貼附至一載具,第一半導體晶粒包括複數第一外部接觸窗。將一第二半導體晶粒貼附至載具,第二半導體晶粒包括複數第二外部接觸窗。以一封膠封裝第一半導體晶粒及第二半導體晶粒。去除一部分的封膠,以暴露出第一外部接觸窗及第二外部接觸窗。將第一半導體晶粒及第二半導體晶粒薄化,以暴露出第一半導體晶粒內的複數第一基板通孔電極及第二半導體晶粒內的複數第二基板通孔電極。將一第三半導體晶粒電性連接至第一基板通孔電極,及將一第四半導體晶 粒電性連接至第二基板通孔電極。
本發明係提供一種半導體裝置,包括以一第一封膠封裝的一第一半導體晶粒。至少一個基板通孔電極延伸穿透至少一部分的第一半導體晶粒,且從第一半導體晶粒的一第一側上暴露出。複數第一外部連接器位於第一半導體晶粒的一第二側上。一第三半導體晶粒,與至少一個基板通孔電極電性連接,且延伸至第一封膠上方。
101‧‧‧第一承載晶圓
103‧‧‧第一黏著層
201‧‧‧第一半導體(或底部)晶粒
202‧‧‧第一側
203‧‧‧第二半導體(或底部)晶粒
204‧‧‧第二側
205‧‧‧第一基板
207‧‧‧基板通孔電極開口
209‧‧‧主動裝置
211‧‧‧金屬化層
213‧‧‧接觸墊
215‧‧‧第一鈍化護層
217‧‧‧第一外部連接器/導電柱
219‧‧‧第一導電材料
221‧‧‧保護層
301‧‧‧封膠
601‧‧‧第二承載晶圓
603‧‧‧第二黏著層
701‧‧‧基板通孔電極
801‧‧‧第一重佈線層
803‧‧‧第二外部連接器
901‧‧‧第三半導體(或頂部)晶粒
903‧‧‧第四半導體(或頂部)晶粒
905‧‧‧底膠材料
1001‧‧‧第三外部連接器
1002‧‧‧第二鈍化護層
1003‧‧‧第一凸塊下金屬層
1020、1320‧‧‧虛線
1022‧‧‧第二基板
1101‧‧‧第二重佈線層
1301‧‧‧第三鈍化護層
1303‧‧‧第四鈍化護層
1305‧‧‧第二凸塊下金屬層
1307‧‧‧第四外部連接器
d1‧‧‧距離
l1‧‧‧第一長度
l2‧‧‧第二長度
第1-8、9A-9B、10A-10D圖係繪示出本發明一實施例之連接半導體裝置的製造過程的剖面示意圖。
第11-12、13A-13D圖係繪示出本發明另一實施例之連接半導體裝置的製造過程的剖面示意圖。
以下說明本發明實施例之製作與使用。然而,可輕易了解本發明實施例提供許多合適的發明概念而可實施於廣泛的各種特定背景。所揭示的特定實施例僅僅用於說明以特定方法製作及使用本發明,並非用以侷限本發明的範圍。
在詳細說明實施例之前,將大致說明本發明實施例的概念及其優點與特徵。如以下說明,本發明揭露的實施例提供一種方法及結構,以改善頂部晶粒側向突出(overhang)的問題。舉例來說,一晶片-(晶片-基板)(chip on(chip on substrate),Co(CoS))可能良率低且成本相對較高。一(晶片-晶圓)-基板((chip on wafer)on substrate,(CoW)oS)不會產生頂部 晶粒側向突出的問題。一(晶片-晶片)-基板((chip on chip)on substrate,(CoC)oS)比晶片-(晶片-基板)的成本高,而比(晶片-晶圓)-基板的良率低。
簡言之,本發明實施例提供一種晶片-晶圓的製造方法,以容許頂部晶粒側向突出或頂部晶粒大於底部晶粒(即,具有通孔電極的晶粒(with through via die,w/TV die))。本發明實施例也提供一種晶片-晶圓-基板的製程的改良方法,可避免增加基板下方的組裝成本。透過球柵陣列(ball grid array,BGA)技術,本發明實施例更揭示了一種低形狀因子(form factor)的結構。
請參照第1至10B圖,其提供第一實施例。第1圖係繪示出一第一承載晶圓101,具有塗佈於其上的一第一黏著層103。例如,第一承載晶圓101可包括玻璃、氧化矽、氧化鋁及類似的材料,且其厚度可大約大於12 mils。另外,第一承載晶圓101可包括適合的承載膠帶。承載膠帶可為習知的藍色膠帶。
第一黏著層103可用來黏著第一承載晶圓101與其他裝置(例如,一第一半導體晶粒201及一第二半導體晶粒203(繪示於第2圖,並於後續作說明))。在一實施例中,第一黏著層103可為一熱釋放層。另外,第一黏著層103可為一紫外光膠(ultraviolet glue),其暴露於紫外光時會失去黏性。也可使用任何適合的黏著劑,且所有上述黏著劑皆涵蓋於本發明實施例的範圍內。
第2圖係繪示出透過第一黏著層103而貼附至第 一承載晶圓101的第一半導體(或底部)晶粒201及第二半導體(或底部)晶粒203。第一半導體晶粒201及第二半導體晶粒203皆可包括第一基板205、基板通孔電極(through substrate via,TSV)開口207、主動裝置209、金屬化層211、接觸墊213、第一鈍化護層215及第一外部連接器217。然而,第一半導體晶粒201及第二半導體晶粒203具有類似的特徵部件,其僅作為說明而並非用以侷限本發明實施例的範圍。第一半導體晶粒201及第二半導體晶粒203可具有類似或不同的結構,以符合其所需的功能。
此外,第2圖只繪示出一個第一半導體晶粒201及一個第二半導體晶粒203,其僅作為說明而並非用以侷限本發明實施例的範圍。更確切地說,一個第一半導體晶粒201可代表具有基板通孔電極(將於後續配合第7圖說明)的一個或一個以上的第一半導體晶粒201形成於其中且堆疊在一起。同樣地,一個第二半導體晶粒203可代表具有基板通孔電極的一個或一個以上的第二半導體晶粒203形成於其中且堆疊在一起。可使用任何適合的數量的第一半導體晶粒201及第二半導體晶粒203,且所有上述組合皆涵蓋於本發明實施例的範圍內。
第一基板205可包括塊狀矽、摻雜、未摻雜或絕緣層上覆矽(silicon-on insulator,SOI)基板的一主動層,且具有一第一側202及一第二側204。一般而言,絕緣層上覆矽基板包括一層半導體材料(例如,矽、鍺、矽鍺、絕緣層上覆矽、絕緣層上覆矽鍺(silicon germanium on insulator,SGOI)或其組合)。也可使用其他基板,包括多層結構的基板、漸變(gradient) 基板或混合方向基板。
可在第一基板205的第一側202內形成基板通孔電極開口207。可透過塗佈一適合的光阻(未繪示)並顯影,及去除暴露出的第一基板205至所需深度,形成基板通孔電極開口207。基板通孔電極開口207延伸至第一基板205內且至少超過形成於第一基板205內及/或第一基板205上的主動裝置209,其延伸的深度可大於第一基板205最後所需的高度。因此,延伸的深度取決於第一半導體晶粒201及第二半導體晶粒203的整體設計,從第一基板205上的主動裝置209算起的深度可大約為20微米至200微米的範圍(例如,從第一基板205上的主動裝置209算起的深度大約為100微米)。
當基板通孔電極開口207形成於第一基板205內,基板通孔電極開口207與一襯層(未繪示)對齊。例如,襯層可為由矽酸乙酯(tetraethylorthosilicate,TEOS)或氮化矽所形成的氧化物,然而,也可使用任何適合的介電材料。可透過一電漿增強化學氣相沉積(plasma enhanced chemical vapor deposition oxide,PECVD)製程形成襯層,然而,也可使用其他適合的製程(例如,物理氣相沉積製程或熱處理製程)。此外,襯層的厚度可大約為0.1微米至5微米的範圍(例如,大約為1微米)。
沿著基板通孔電極開口207的側壁及底部形成襯層後,可形成一阻隔層(未繪示)及將第一導電材料219填入剩餘的基板通孔電極開口207。第一導電材料219可包括銅,然而,也可使用其他適合的材料(例如,鋁、合金、摻雜多晶矽、 其組合或類似的材料)。可透過在一晶種(seed)層(未繪示)上電鍍銅,並填入及填滿基板通孔電極開口207,而形成第一導電材料219。當填滿基板通孔電極開口207後,可透過一平坦化製程(例如,化學機械研磨(chemical mechanical polishing,CMP)製程),去除基板通孔電極開口207外多餘的襯層、阻隔層、晶種層及第一導電材料219,然而,也可使用任何適合的去除製程。
第2圖繪示出的主動裝置209為位於每一第一基板205上的單一電晶體。然而,本發明所屬技術領域中具有通常知識者可以理解的是,只要符合第一半導體晶粒201及第二半導體晶粒203的設計所需的結構及功能需求,可使用的主動裝置及被動裝置(例如,電容、電阻、電感及類似的元件)的類型很廣。可使用任何適合的方法,在第一基板205的第一側202內或上形成主動裝置209。
金屬化層211形成於第一基板205的第一側202及主動裝置209上,且連接各種主動裝置209,以形成功能電路系統。雖然第2圖繪示出一層介電層及內連線結構,金屬化層211可由另外的介電材料層及導電材料層所構成,且可透過任何適合的製程(例如,沉積製程、鑲嵌製程、雙鑲嵌製程等等)所形成。在一實施例中,透過至少一層的內層介電層(interlayer dielectric,ILD),將四層金屬化層與第一基板205隔開。然而,金屬化層211的實際數量取決於第一半導體晶粒201及第二半導體晶粒203的設計。
接觸墊213形成於金屬化層211上,且與其電性 接觸。接觸墊213可包括鋁,但也可使用其他材料(例如,銅)。可透過一沉積製程(例如,濺鍍製程)形成一層材料層(未繪示),接著可透過一適合的製程(例如,光微影罩幕製程及蝕刻製程)去除部分的材料層,以形成接觸墊213。然而,也可使用其他適合的製程來形成接觸墊213。接觸墊213的厚度可大約為0.5微米至4微米的範圍(例如,大約為1.45微米)。
第一鈍化護層215可形成於第一基板205上的金屬化層211及接觸墊213上。第一鈍化護層215可由一種或一種以上的適合的介電材料(例如,氧化矽、氮化矽、低介電常數(k)材料(例如,碳摻雜氧化物)、極低介電常數材料(例如,多孔碳摻雜二氧化矽)、其組合或類似的材料)所構成。可透過一製程(例如,化學氣相沉積製程)形成第一鈍化護層215,然而,也可使用任何適合的製程。第一鈍化護層215的厚度可大約為0.5微米至5微米的範圍(例如,0.925微米)。
第二鈍化護層1002(未繪示於第2圖,但繪示於第10B圖)可形成於第一鈍化護層215上,以提供更進一步的保護。在一實施例中,第二鈍化護層1002可由一高分子(例如,聚亞醯胺)所形成,或可由類似於第一鈍化護層215的材料(例如,氧化矽、氮化矽、低介電常數材料、極低介電常數材料、其組合或類似的材料)所形成。第二鈍化護層1002的厚度可大約為2微米至15微米的範圍(例如,大約為5微米)。
可形成第一外部連接器217,以提供連結接觸墊213及外部裝置(未繪示,例如,印刷電路板或其他覆晶式的半導體晶粒)的導電區域。在平坦化製程(例如,化學機械研磨製 程)中,第一外部連接器217也可作為緩衝物。在一實施例中,第一外部連接器217可為導電柱,且可透過以下步驟形成:在第一鈍化護層215及第二鈍化護層1002上先形成厚度大約為5微米至20微米的一光阻(未繪示)。將光阻圖案化,以在導電柱延伸處暴露出部分的第一鈍化護層215及第二鈍化護層1002。接著,在圖案化光阻後,將光阻作為罩幕層,去除部分的第一鈍化護層215及第二鈍化護層1002,進而暴露出下方的部分接觸墊213,以接觸後續形成的導電柱。
當暴露出接觸墊213後,可形成與接觸墊213電性接觸的第一凸塊下金屬(under bump metal,UBM)層1003(未繪示於第2圖,但繪示於第10B圖)。第一凸塊下金屬層1003可包括一層導電材料(例如,鈦層或鎳層)。第一凸塊下金屬層1003可包括多層子層(未繪示)。本發明所屬技術領域中具有通常知識者可以理解的是,許多適合的材料及材料層的配置(例如,鉻/鉻銅合金/銅/金的配置、鈦/鈦鎢/銅的配置或銅/鎳/金的配置)可適用於形成第一凸塊下金屬層1003。任何用於形成第一凸塊下金屬層1003的適合的材料或材料層皆可涵蓋於本發明實施例的範圍內。形成第一凸塊下金屬層1003的製程(例如,濺鍍製程、蒸鍍製程或電漿增強氣相沉積製程),取決於所需的材料。第一凸塊下金屬層1003的厚度可大約為0.03微米至10微米的範圍(例如,大約為5微米)。
在形成第一凸塊下金屬層1003之後,導電柱217可形成於第一鈍化護層215、第二鈍化護層1002及光阻的開口內。導電柱217由一導電材料(例如,銅)所構成,然而,也可 使用其他導電材料(例如,鎳、金、金屬合金、其組合或類似的材料)。此外,可透過一製程(例如,電鍍製程)形成導電柱217,其使電流通過接觸墊213的導電部分至預計形成導電柱的位置,而接觸墊213浸於一溶液中。溶液及電流在開口內沉積(例如,銅),以填入及/或填滿光阻、第一鈍化護層215及第二鈍化護層1002的開口,進而形成導電柱217。接著,去除開口外多餘的導電材料,例如,透過化學機械研磨製程。
在形成導電柱217之後,可透過一製程(例如,灰化製程)去除光阻,其增加光阻的溫度直到光阻分解且可被去除。在去除光阻之後,導電柱217從第一鈍化護層215及第二鈍化護層1002延伸出的距離大約為5微米至50微米的範圍(例如,40微米)。一阻隔層(未繪示)可選擇性地形成於導電柱217上(例如,透過無電鍍製程),其中阻隔層可由鎳、釩、鉻及其組合所構成。
然而,本發明所屬技術領域中具有通常知識者可以理解的是,上述形成導電柱217的製程僅作為說明,而並非用以侷限本發明實施例的實際製程。更確切地說,上述製程僅為說明,可使用任何適合的製程來形成第一外部連接器217。舉例來說,先形成厚度大於其最後厚度的第一鈍化護層215及第二鈍化護層1002,在第一鈍化護層215及第二鈍化護層1002的開口內形成導電柱217,接著去除第一鈍化護層215及第二鈍化護層1002的上部,使得導電柱217從第一鈍化護層215及第二鈍化護層1002延伸而出。任何適合的製程皆涵蓋於本發明實施例的範圍內。
一保護層221可選擇性地形成於第一外部連接器217上,以保護第一外部連接器217。在一實施例中,保護層221可為高分子層,然而,也可使用任何適合的材料。保護層221的厚度可大約為5微米至15微米的範圍(例如,8微米)。
第3圖係繪示出一第一模塑成型(molding)製程,以封裝第一半導體晶粒201及第二半導體晶粒203,使第一半導體晶粒201及第二半導體晶粒203維持固定於第一承載晶圓101。在一實施例中,可使用一模塑成型裝置(未繪示)封裝第一半導體晶粒201及第二半導體晶粒203。舉例來說,可將第一半導體晶粒201、第二半導體晶粒203及第一承載晶圓101放置於模塑成型裝置的一腔室內,且腔室可密封而與外界隔絕。可在腔室密封之前將封膠301放置於腔室內,或可透過一注射口將封膠301注入腔室內。在一實施例中,封膠301可為一模塑成型樹脂材料(例如,高分子、聚苯硫醚(polyphenylene sulfide,PPS)、聚醚醚酮(polyether ether ketone,PEEK)、聚醚碸(polyether sulfone,PES)、其組合或類似的材料)。
當封膠301放置於腔室內後,封膠301封裝第一半導體晶粒201及第二半導體晶粒203,封膠301可固化,以加強封膠301的保護作用。實際的固化製程至少部分取決於作為封膠301的特定材料。在一實施例中,選用模塑成型材料作為封膠301,可透過一製程加以固化,例如,將封膠301加熱至大約100℃至230℃的範圍(例如,大約為150℃),且持續大約60秒至3000秒的範圍(例如,600秒)。此外,封膠301內可包括引發劑(initiator)及/或催化劑(catalyst),以利於控制固 化製程。
然而,本發明所屬技術領域中具有通常知識者可以理解的是,上述固化製程僅作為說明而並非用以侷限本發明實施例的範圍。也可使用其他固化製程,例如,透過輻射或甚至在室溫下將封膠301硬化。可使用任何適合的固化製程,且所有上述製程皆涵蓋於本發明實施例的範圍內。
第4圖係繪示出去除封膠301,以暴露出第一外部連接器217。在一實施例中,可透過化學機械研磨製程去除封膠301,其藉由在封膠301上施加研磨料(abrasive)及蝕刻劑並研磨,以與封膠301產生反應,且研磨封膠301直到暴露出第一外部連接器217。另外,可使用一個或一個以上的蝕刻製程,以去除封膠301,且平坦化封膠301與第一外部連接器217。
第5圖係繪示出從第一半導體晶粒201及第二半導體晶粒203去除第一承載晶圓101。第一承載晶圓101的去除方法至少部分取決於使用的第一黏著層103的類型。在一實施例中,第一黏著層103為一熱釋放層,可加熱第一黏著層103的溫度至大約大於200℃,以使第一承載晶圓101脫離第一半導體晶粒201及第二半導體晶粒203。可使用任何適合的方法去除第一承載晶圓101(例如,當第一黏著層103為紫外光膠時,以紫外光照射第一黏著層103)。
第6圖係繪示出使用一第二黏著層603,將一第二承載晶圓601貼附至封膠301及第一外部連接器217。在一實施例中,第二承載晶圓601及第二黏著層603可分別類似於第一承載晶圓101及第一黏著層103,例如,分別為一玻璃承載 晶圓及一熱釋放層。然而,第二承載晶圓601及第二黏著層603也可不同於第一承載晶圓101及第一黏著層103。
第7圖係繪示出去除封膠301及部分的第一基板205的第二側204,以暴露出基板通孔電極開口207及形成基板通孔電極701。在一實施例中,可透過化學機械研磨製程及研磨(grinding)製程,去除封膠301及部分的第一基板205的第二側204,且平坦化封膠301及第一基板205的第二側204。另外,也可使用一個或一個以上的蝕刻製程或其他去除製程,以去除封膠301及暴露出基板通孔電極開口207,進而形成基板通孔電極701。
第8圖係繪示出在第一基板205的第二側204上形成一第一重佈線層(redistribution layer,RDL)801及第二外部連接器803。第一重佈線層801可包括兩層由金屬(例如,鋁、銅、鎢、鈦及其組合)所構成的導電層,且可透過以下步驟形成:透過化學氣相沉積製程沉積金屬層,接著蝕刻不需要的部分,留下第一重佈線層801。第一重佈線層801的厚度可大約為2微米至30微米的範圍(例如,5微米)。然而,也可使用其他材料及製程(例如,習知的鑲嵌製程)來形成第一重佈線層801。
在一實施例中,第一重佈線層801可選擇性地同時形成於第一半導體晶粒201、第二半導體晶粒203及封膠301上。藉由在封膠301上形成第一重佈線層801,第一半導體晶粒201及第二半導體晶粒203的扇出(fan-out)區域可延伸至第一半導體晶粒201及第二半導體晶粒203的邊界之外,而容許 增加輸入/輸出(input/output,I/O)裝置的數量。
第二外部連接器803可為接觸凸塊(例如,微凸塊(micro bump)或控制塌陷高度晶片連接(controlled collapse chip connection,C4)結構),且可包括一材料(例如,錫)或其他適合的材料(例如,銀或銅)。在一實施例中,第二外部連接器803為焊錫凸塊,且可透過以下步驟形成:先透過任何適合的方法(例如,蒸鍍製程、電鍍製程、印刷製程、焊料轉移(solder transfer)、植球(ball placement)等等),形成較佳厚度大約為100微米的一層錫。當錫層形成於結構上後,進行一回流製程,以將材料塑形為所需的凸塊形狀。
第9A圖係繪示出將一第三半導體晶粒(或第三頂部晶粒)901及一第四半導體晶粒(或第四頂部晶粒)903分別貼附至第二半導體晶粒203及第一半導體晶粒201。在一實施例中,第三半導體晶粒901及第四半導體晶粒903可包括類似於第一半導體晶粒201及第二半導體晶粒203的主動區、金屬化層及接觸墊(為簡化圖式,以上元件皆未繪示)。然而,上述半導體晶粒與其貼附的半導體晶粒也可包括不同的結構,且可執行不同或互補的功能。
在一實施例中,第三半導體晶粒901可大於下方的第二半導體晶粒203。例如,在一實施例中,第二半導體晶粒203的一第一長度l1為大約3 mm至14 mm的範圍(例如,大約為8 mm),第三半導體晶粒901的一第二長度l2為大約1 mm至20 mm的範圍(例如,大約為10 mm)。由於第三半導體晶粒901的尺寸大於第二半導體晶粒203,第三半導體晶粒901 可側向突出第二半導體晶粒203。然而,使用封膠301及第一重佈線層801,可提供第二半導體晶粒203及第三半導體晶粒901的支撐及連接。
然而,本發明所屬技術領域中具有通常知識者可以理解的是,如第9A圖所示,第二長度l2大於第一長度l1僅為一實施例,而並非用以侷限本發明實施例的範圍。在其他實施例中,第二長度l2可大於、小於或等於第一長度l1。所有第一長度l1及第二長度l2的尺寸皆涵蓋於本發明實施例的範圍內。
在一實施例中,可透過先將第三半導體晶粒901對準於第二半導體晶粒203,再將第四半導體晶粒903對準於第一半導體晶粒201,以將第三半導體晶粒901及第四半導體晶粒903分別接合至第二半導體晶粒203及第一半導體晶粒201。當對準後,可進行一回流製程,以回流第二外部連接器803的材料,並將上述半導體晶粒接合在一起。然而,也可使用任何適合的接合方法(例如,銅-銅接合)來接合第一半導體晶粒201、第二半導體晶粒203、第三半導體晶粒901及第四半導體晶粒903。
第9A圖也繪示出可選擇性形成的第三半導體晶粒901及第四半導體晶粒903的封膠301。在一實施例中,可使用一第二模塑成型製程,以封裝第三半導體晶粒901及第四半導體晶粒903,且第二模塑成型製程類似於用以封裝第一半導體晶粒201及第二半導體晶粒203的第一模塑成型製程。例如,如同配合第3圖所述,將封膠301與第一半導體晶粒201、 第二半導體晶粒203、第三半導體晶粒901及第四半導體晶粒903一起放置於模塑成型腔室內。然而,第二模塑成型製程可類似於第一模塑成型製程,也可使用不同的材料及製程,皆涵蓋於本發明實施例的範圍內。
藉由封裝第三半導體晶粒901及第四半導體晶粒903,第一重佈線層801可位於兩組封膠301之間,其有助於支撐未直接位於第一半導體晶粒201、第二半導體晶粒203、第三半導體晶粒901及第四半導體晶粒903之間的部分的第一重佈線層801。藉由提供更好的保護,可降低或消除第一重佈線層801未來的劣化。
同樣地,可選擇性地平坦化用以封裝第三半導體晶粒901及第四半導體晶粒903的封膠301與第三半導體晶粒901及第四半導體晶粒903,以暴露出第三半導體晶粒901及第四半導體晶粒903。在一實施例中,平坦化及去除封膠301的步驟可包括使用化學機械研磨製程,以使封膠301產生反應,且研磨封膠301直到暴露出第三半導體晶粒901及第四半導體晶粒903。
此外,第9A圖只繪示出一個第三半導體晶粒901及一個第四半導體晶粒903,其僅作為說明而並非用以侷限本發明實施例的範圍。在另一實施例中,第9A圖繪示的一個第三半導體晶粒901可代表電性連接於第二半導體晶粒203的多個第三半導體晶粒901。同樣地,第9A圖繪示的一個第四半導體晶粒903可代表電性連接於第一半導體晶粒201的多個第四半導體晶粒903。可使用任何第三半導體晶粒901及第四半 導體晶粒903的數量的組合,且所有上述組合皆涵蓋於本發明實施例的範圍內。
第9B圖係繪示出第三半導體晶粒901及第四半導體晶粒903的另一種配置。在此實施例中,第三半導體晶粒901及第四半導體晶粒903不只側向突出第一半導體晶粒201及第二半導體晶粒203,更分別偏移第一半導體晶粒201及第二半導體晶粒203。在一實施例中,第三半導體晶粒901及第四半導體晶粒903偏移的一距離d1大約為100微米至3毫米的範圍(例如,1.2毫米)。
一底膠材料905可選擇性地注射或形成於第一半導體晶粒201、第二半導體晶粒203、第三半導體晶粒901及第四半導體晶粒903之間。例如,底膠材料905可包括一液態環氧化物,其分布於第一半導體晶粒201、第二半導體晶粒203、第三半導體晶粒901及第四半導體晶粒903之間,並加以固化及硬化。使用底膠材料905,可避免第二外部連接器803內出現典型地由於熱應力所造成的破裂。
另外,變形凝膠或矽橡膠(未繪示)可形成於第一半導體晶粒201、第二半導體晶粒203、第三半導體晶粒901及第四半導體晶粒903之間,以有助於避免第二外部連接器803內發生破裂。可透過在第一半導體晶粒201、第二半導體晶粒203、第三半導體晶粒901及第四半導體晶粒903之間注射或放置凝膠或橡膠,形成變形凝膠或矽橡膠。變形凝膠或矽橡膠也在後續的製程期間提供應力的釋放。
第10A圖係繪示出去除第二承載晶圓601及第二 黏著層603,及形成連接至第一外部連接器217的第三外部連接器1001。在一實施例中,第二黏著層603為熱釋放層,可透過將第二黏著層603的溫度增加至大約大於200℃,使第二承載晶圓601容易去除,以去除第二承載晶圓601及第二黏著層603。另外,在一實施例中,第二黏著層603為紫外光膠,可透過紫外光照射第二黏著層603,以去除第二承載晶圓601及第二黏著層603。
當去除第二承載晶圓601及第二黏著層603後,可形成第三外部連接器1001,與第一外部連接器217接觸。在一實施例中,第三外部連接器1001可為接觸凸塊(例如,控制塌陷高度晶片連接器或微凸塊),且可包括一材料(例如,錫)或其他適合的材料(例如,銀或銅)。在一實施例中,第三外部連接器1001為焊錫凸塊,且可透過以下步驟形成:先透過任何適合的方法(例如,蒸鍍製程、電鍍製程、印刷製程、焊料轉移、植球等等),形成較佳厚度大約為100微米的一層錫。當錫層形成於結構上後,進行一回流製程,以將材料塑形為所需的凸塊形狀。
第10A圖也繪示出將第一半導體晶粒201及第二半導體晶粒203連接至一第二基板1022。使用第二基板1022,可支撐及保護第一半導體晶粒201及第二半導體晶粒203,也可用以提供位於第一半導體晶粒201及第二半導體晶粒203上的第三外部連接器1001與外部裝置(未繪示)的連接。在一實施例中,第二基板1022可為一印刷電路板,也可為堆疊多層的高分子材料(例如,雙馬來醯亞胺三氮雜苯(bismaleimide triazine,BT)樹脂、環氧樹脂玻璃纖維FR-4或類似的材料)薄層所形成的一多層基板。然而,也可使用任何其他適合的基板(例如,有機基板、陶瓷基板或類似的基板),且可提供第一半導體晶粒201及第二半導體晶粒203的支撐及連接的所有上述基板皆涵蓋於本發明實施例的範圍內。
第10B圖係詳細繪示出第10A圖中虛線1020圍繞的部分。如圖所示,第一外部連接器217從第一凸塊下金屬層1003延伸穿過封膠301。因此,封膠301可提供第一外部連接器217額外的支撐及保護,同時也提供第一半導體晶粒201其餘部分的支撐及保護。
第10C及10D圖係繪示出可選擇性地形成保護層221,以保護第一外部連接器217的實施例。第10C圖係繪示出一實施例,可透過平坦化製程(例如,化學機械研磨製程),形成與第一外部連接器217的一上表面共平面的保護層221。第10D圖係繪示出另一實施例,保護層221保護一部分的第一外部連接器217,但未延伸至第一外部連接器217的上表面。
藉由使用上述實施例,在晶片-晶圓製程或(晶片-晶圓)-基板製程中,一頂部晶粒(例如,第三半導體晶粒901或第四半導體晶粒903)可比一底部晶粒(例如,第一半導體晶粒201或第二半導體晶粒203)側向突出或具有較大的尺寸。透過使用球柵陣列結構連接第一半導體晶粒201、第二半導體晶粒203、第三半導體晶粒901及第四半導體晶粒903,上述實施例可容許尺寸的縮小,且也可增加製程的彈性,例如,可在貼附至另一基板(例如,印刷電路板)之前,將第一半導體晶粒201 及第二半導體晶粒203連接於第三半導體晶粒901及第四半導體晶粒903。上述製程彈性表示貼附至印刷電路板的步驟可省略或重新配置,進而可能降低組裝成本。
第11圖係繪示出又另一實施例,一第二重佈線層1101形成於第一半導體晶粒201及第二半導體晶粒203的第一側202上。在一實施例中,可在去除封膠301以暴露出第一外部連接器217之後及在去除第一承載晶圓101之前,形成第二重佈線層1101。可透過類似於配合第8圖所述的第一重佈線層801的形成方法及材料,形成第二重佈線層1101。舉例來說,第二重佈線層1101可包括兩層由金屬(例如,鋁、銅、鎢、鈦及其組合)所構成的導電層,且可透過化學氣相沉積製程及圖案化製程而形成。然而,也可使用不同於第一重佈線層801的材料及製程,形成第二重佈線層1101。
第12圖係繪示出經過以下步驟所得到的結構。在去除第一承載晶圓101之後,透過第二黏著層603,將第二承載晶圓601貼附於第二重佈線層1101。將第一半導體晶粒201及第二半導體晶粒203薄化,以形成基板通孔電極701。在第一半導體晶粒201及第二半導體晶粒203的第二側204上形成第一重佈線層801及第二外部連接器803。將第三半導體晶粒901及第四半導體晶粒903接合於第一半導體晶粒201及第二半導體晶粒203。
第13A至13B圖係繪示出從第二重佈線層1101去除第二承載晶圓601及第二黏著層603,及形成與第二重佈線層1101連接的第四外部連接器1307。第13B圖係詳細繪示出 第13A圖中虛線1320劃分的區域。在一實施例中,第二黏著層603為熱釋放層,可透過增加第二黏著層603的溫度直到可去除第二承載晶圓601,以去除第二承載晶圓601。
去除第二承載晶圓601後,一第三鈍化護層1301可形成於第二重佈線層1101上,以保護第二重佈線層1101。第三鈍化護層1301可由一種或一種以上的適合的介電材料(例如,氧化矽、氮化矽、低介電常數材料(例如,碳摻雜氧化物)、極低介電常數材料(例如,多孔碳摻雜二氧化矽)、其組合或類似的材料)所構成。可透過一製程(例如,化學氣相沉積製程)形成第三鈍化護層1301,然而,也可使用任何適合的製程。第三鈍化護層1301的厚度可大約為0.2微米至18微米的範圍(例如,0.925微米)。
一第四鈍化護層1303可形成於第三鈍化護層1301上,以提供更進一步的保護。在一實施例中,第四鈍化護層1303可由一高分子(例如,聚亞醯胺)所形成,或可由類似於第三鈍化護層1301的材料(例如,氧化矽、氮化矽、低介電常數材料、極低介電常數材料、其組合或類似的材料)所形成。第四鈍化護層1303的厚度可大約為0.2微米至15微米的範圍(例如,大約為5微米)。
在形成第四鈍化護層1303之後,可透過光微影罩幕製程及蝕刻製程,圖案化第三鈍化護層1301及第四鈍化護層1303,以暴露出部分的第二重佈線層1101。當暴露出第二重佈線層1101後,可形成與第二重佈線層1101電性接觸的第二凸塊下金屬層1305。第二凸塊下金屬層1305可包括一層導 電材料(例如,鈦層或鎳層)。第二凸塊下金屬層1305可包括多層子層(未繪示)。本發明所屬技術領域中具有通常知識者可以理解的是,許多適合的材料及材料層的配置(例如,鉻/鉻銅合金/銅/金的配置、鈦/鈦鎢/銅的配置或銅/鎳/金的配置)可適用於形成第二凸塊下金屬層1305。任何用於形成第二凸塊下金屬層1305的適合的材料或材料層皆可涵蓋於本發明實施例的範圍內。形成第二凸塊下金屬層1305的製程(例如,濺鍍製程、蒸鍍製程或電漿增強氣相沉積製程),取決於所需的材料。第二凸塊下金屬層1305的厚度可大約為0.7微米至10微米的範圍(例如,大約為5微米)。
在形成第二凸塊下金屬層1305之後,可形成與第二重佈線層1101電性連接的第四外部連接器1307。第四外部連接器1307可為接觸凸塊(例如,微凸塊或控制塌陷高度晶片連接器),且可包括一材料(例如,錫)或其他適合的材料(例如,銀或銅)。在一實施例中,第四外部連接器1307為焊錫凸塊,且可透過以下步驟形成:先透過任何適合的方法(例如,蒸鍍製程、電鍍製程、印刷製程、焊料轉移、植球等等),形成較佳厚度大約為100微米的一層錫。當錫層形成於結構上後,進行一回流製程,以將材料塑形為所需的凸塊形狀。
第13C及13D圖係繪示出可選擇性地形成保護層221,以保護第一外部連接器217的實施例。第13C圖係繪示出一實施例,可透過平坦化製程(例如,化學機械研磨製程),形成與第一外部連接器217的一上表面共平面的保護層221。第13D圖係繪示出另一實施例,保護層221保護一部分的第一 外部連接器217,但未延伸至第一外部連接器217的上表面。
雖然未繪示於第13A至13B圖,在本實施例中,也可選擇性地封裝第三半導體晶粒901及第四半導體晶粒903。在一實施例中,可透過類似於配合第3圖所述的封裝第一半導體晶粒201及第二半導體晶粒203的方法,封裝第三半導體晶粒901及第四半導體晶粒903。然而,也可使用另外的封膠或不同的方法,封裝第三半導體晶粒901及第四半導體晶粒903。
藉由使用第11至13B圖所述的實施例,在第一半導體晶粒201及第二半導體晶粒203兩側上皆形成重佈線層(例如,第一重佈線層801及第二重佈線層1101),可增加扇出區域及所需的連接之控制及配置的彈性,進而增加配置及空間的效率。
在第1至13D圖所述的每一實施例之後,可更包括選擇性地進行一單體化(singlulation)製程(未繪示),其可將一第一封裝結構(例如,包括第一半導體晶粒201及第四半導體晶粒903)與一第二封裝結構(例如,包括第二半導體晶粒203第三半導體晶粒901)分開。可透過一鑽石鋸刀(diamond coated saw blade),切割第一封裝結構與第二封裝結構之間的一切割區,進行單體化製程,然而,也可使用任何適合的分離方法(例如,透過連續一次或一次以上的蝕刻,以分離第一封裝結構與第二封裝結構)。
配合本發明一實施例之一種半導體裝置的製造方法,包括在一第一承載晶圓上放置一或多個的底部晶粒。在一 或多個的底部晶粒之間形成一第一模塑成型材料,而暴露出位於一或多個的底部晶粒上的複數電性接觸窗。將一或多個的底部晶粒及第一模塑成型材料貼附至一第二承載晶圓。將一或多個的底部晶粒薄化,以暴露出穿透一或多個的底部晶粒的複數通孔電極。沿著一或多個的底部晶粒的一背側,形成連接通孔電極的複數電性連接器。將一或多個的頂部晶粒貼附至一或多個的底部晶粒。
配合本發明另一實施例之一種半導體裝置的製造方法,包括將一第一半導體晶粒貼附至一載具,第一半導體晶粒包括複數第一外部接觸窗。將一第二半導體晶粒貼附至載具,第二半導體晶粒包括複數第二外部接觸窗。以一封膠封裝第一半導體晶粒及第二半導體晶粒。去除一部分的封膠,以暴露出第一外部接觸窗及第二外部接觸窗。將第一半導體晶粒及第二半導體晶粒薄化,以暴露出第一半導體晶粒內的複數第一基板通孔電極及第二半導體晶粒內的複數第二基板通孔電極。將一第三半導體晶粒電性連接至第一基板通孔電極,及將一第四半導體晶粒電性連接至第二基板通孔電極。
配合本發明一實施例之一種半導體裝置,包括以一第一封膠封裝的一第一半導體晶粒。至少一個基板通孔電極延伸穿透至少一部分的第一半導體晶粒,且從第一半導體晶粒的一第一側上暴露出。複數第一外部連接器位於第一半導體晶粒的一第二側上。一第三半導體晶粒,與至少一個基板通孔電極電性連接,且延伸至第一封膠上方。
雖然本發明已以較佳實施例揭露如上,然其並非 用以限定本發明,任何所屬技術領域中具有通常知識者,在不脫離本發明之精神和範圍內,當可作更動、替代與潤飾。再者,本發明之保護範圍並未侷限於說明書內所述特定實施例中的製程、機器、製造、物質組成、裝置、方法及步驟,任何所屬技術領域中具有通常知識者可從本發明揭示內容中理解現行或未來所發展出的製程、機器、製造、物質組成、裝置、方法及步驟,只要可以在此處所述實施例中實施大體相同功能或獲得大體相同結果皆可使用於本發明中。因此,本發明之保護範圍包括上述製程、機器、製造、物質組成、裝置、方法及步驟。
201‧‧‧第一半導體(或底部)晶粒
202‧‧‧第一側
203‧‧‧第二半導體(或底部)晶粒
204‧‧‧第二側
217‧‧‧第一外部連接器
301‧‧‧封膠
701‧‧‧基板通孔電極
801‧‧‧第一重佈線層
803‧‧‧第二外部連接器
901‧‧‧第三半導體(或頂部)晶粒
903‧‧‧第四半導體(或頂部)晶粒
1001‧‧‧第三外部連接器
1020‧‧‧虛線
1022‧‧‧第二基板

Claims (12)

  1. 一種半導體裝置的製造方法,包括:在一第一承載晶圓上放置一或多個的底部晶粒;在該一或多個的底部晶粒之間形成一第一模塑成型材料,而暴露出該一或多個的底部晶粒上的複數電性接觸窗,其中暴露出的該等電性接觸窗位於該一或多個的底部晶粒背向該第一承載晶圓的一側;將該一或多個的底部晶粒及該第一模塑成型材料貼附至一第二承載晶圓;將該一或多個的底部晶粒薄化,以暴露出穿透該一或多個的底部晶粒的複數通孔電極;沿著該一或多個的底部晶粒的一背側,形成連接該等通孔電極的複數電性連接器;以及將一或多個的頂部晶粒貼附至該一或多個的底部晶粒。
  2. 如申請專利範圍第1項所述之半導體裝置的製造方法,其中該第一模塑成型材料覆蓋該一或多個的底部晶粒的一底側,且其中形成該第一模塑成型材料的步驟包括薄化該第一模塑成型材料,以暴露出位於該一或多個的底部晶粒上的該等電性接觸窗。
  3. 如申請專利範圍第1項所述之半導體裝置的製造方法,更包括在該一或多個的底部晶粒上形成一重佈線層,其中該重佈線層延伸至該第一模塑成型材料的上方,且更包括在該一或多個的頂部晶粒上形成一第二模塑成型材料。
  4. 一種半導體裝置的製造方法,包括: 將一第一半導體晶粒貼附至一載具,該第一半導體晶粒包括複數第一外部接觸窗;將一第二半導體晶粒貼附至該載具,該第二半導體晶粒包括複數第二外部接觸窗;以一封膠封裝該第一半導體晶粒及該第二半導體晶粒;去除一部分的該封膠,以暴露出該等第一外部接觸窗及該等第二外部接觸窗;將該第一半導體晶粒及該第二半導體晶粒薄化,以暴露出該第一半導體晶粒內的複數第一基板通孔電極及該第二半導體晶粒內的複數第二基板通孔電極;以及將一第三半導體晶粒電性連接至該等第一基板通孔電極,及將一第四半導體晶粒電性連接至該等第二基板通孔電極。
  5. 如申請專利範圍第4項所述之半導體裝置的製造方法,更包括封裝該第三半導體晶粒及該第四半導體晶粒及在封裝該第一半導體晶粒及該第二半導體晶粒後,在該等第一外部接觸窗上形成複數第三外部接觸窗。
  6. 如申請專利範圍第4項所述之半導體裝置的製造方法,更包括在將該第一半導體晶粒及該第二半導體晶粒薄化之前,形成與該等第一外部接觸窗電性連接的一重佈線層,且更包括在將該第三半導體晶粒電性連接至該等第一基板通孔電極之後,形成與該重佈線層電性連接的複數第三外部接觸窗。
  7. 如申請專利範圍第4項所述之半導體裝置的製造方法,其中 將該第三半導體晶粒電性連接至該等第一基板通孔電極的步驟更包括該第三半導體晶粒自該第一半導體晶粒偏移。
  8. 如申請專利範圍第4項所述之半導體裝置的製造方法,其中在將該第三半導體晶粒電性連接至該等第一基板通孔電極之後,該第三半導體晶粒自該第一半導體晶粒側向突出。
  9. 一種半導體裝置,包括:一第一半導體晶粒,以一第一封膠封裝;至少一個基板通孔電極,延伸穿透至少一部分的該第一半導體晶粒,且從該第一半導體晶粒的一第一側上暴露出;複數第一外部連接器,位於該第一半導體晶粒的一第二側上;一第一重佈線層,與該等第一外部連接器電性連接,且延伸至該第一封膠上方;以及一第三半導體晶粒,與該至少一個基板通孔電極電性連接,且延伸至該第一封膠上方。
  10. 如申請專利範圍第9項所述之半導體裝置,更包括:一第二半導體晶粒,以該第一封膠封裝;以及一第四半導體晶粒,與該第二半導體晶粒電性連接,且延伸至該第一封膠上方,其中透過一第二封膠,封裝該第三半導體晶粒及該第四半導體晶粒。
  11. 如申請專利範圍第10項所述之半導體裝置,更包括一第二重佈線層,與該至少一個基板通孔電極電性連接,且延伸至該第一封膠上方。
  12. 如申請專利範圍第9項所述之半導體裝置,其中該第三半導 體晶粒自該第一半導體晶粒偏移。
TW102120259A 2012-06-27 2013-06-07 半導體裝置及其製造方法 TWI528471B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201261665123P 2012-06-27 2012-06-27
US13/619,877 US9443783B2 (en) 2012-06-27 2012-09-14 3DIC stacking device and method of manufacture

Publications (2)

Publication Number Publication Date
TW201401391A TW201401391A (zh) 2014-01-01
TWI528471B true TWI528471B (zh) 2016-04-01

Family

ID=49777268

Family Applications (1)

Application Number Title Priority Date Filing Date
TW102120259A TWI528471B (zh) 2012-06-27 2013-06-07 半導體裝置及其製造方法

Country Status (4)

Country Link
US (2) US9443783B2 (zh)
KR (1) KR101515275B1 (zh)
CN (1) CN103515305B (zh)
TW (1) TWI528471B (zh)

Families Citing this family (503)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8877554B2 (en) 2013-03-15 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Packaged semiconductor devices, methods of packaging semiconductor devices, and PoP devices
US9368460B2 (en) 2013-03-15 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Fan-out interconnect structure and method for forming same
US9087821B2 (en) 2013-07-16 2015-07-21 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid bonding with through substrate via (TSV)
US9331038B2 (en) 2013-08-29 2016-05-03 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor interconnect structure
US9406588B2 (en) 2013-11-11 2016-08-02 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package and manufacturing method thereof
CN104064551B (zh) 2014-06-05 2018-01-16 华为技术有限公司 一种芯片堆叠封装结构和电子设备
US9385110B2 (en) 2014-06-18 2016-07-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10056352B2 (en) 2014-07-11 2018-08-21 Intel IP Corporation High density chip-to-chip connection
US9831154B2 (en) 2014-07-14 2017-11-28 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacuting method of the same
US9343385B2 (en) * 2014-07-30 2016-05-17 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device comprising a chip substrate, a mold, and a buffer layer
US9431351B2 (en) 2014-10-17 2016-08-30 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package and manufacturing method of the same
US11018099B2 (en) 2014-11-26 2021-05-25 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure having a conductive bump with a plurality of bump segments
US9659863B2 (en) 2014-12-01 2017-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices, multi-die packages, and methods of manufacture thereof
DE102014119620A1 (de) 2014-12-23 2016-06-23 Infineon Technologies Ag Verfahren zum Herstellen einer Halbleiterkomponente und Halbleiterkomponente
US9502272B2 (en) 2014-12-29 2016-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Devices and methods of packaging semiconductor devices
US9601410B2 (en) 2015-01-07 2017-03-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10319701B2 (en) 2015-01-07 2019-06-11 Taiwan Semiconductor Manufacturing Company, Ltd. Bonded 3D integrated circuit (3DIC) structure
JP2016140003A (ja) * 2015-01-29 2016-08-04 アズビル株式会社 フィールド機器
US9633958B2 (en) 2015-01-30 2017-04-25 Taiwan Semiconductor Manufacturing Company, Ltd. Bonding pad surface damage reduction in a formation of digital pattern generator
US10163709B2 (en) 2015-02-13 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10497660B2 (en) 2015-02-26 2019-12-03 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structures, packaged semiconductor devices, and methods of packaging semiconductor devices
US9786519B2 (en) 2015-04-13 2017-10-10 Taiwan Semiconductor Manufacturing Company, Ltd. Packaged semiconductor devices and methods of packaging semiconductor devices
EP3255668A4 (en) 2015-04-14 2018-07-11 Huawei Technologies Co., Ltd. Chip
US10340258B2 (en) 2015-04-30 2019-07-02 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structures, packaged semiconductor devices, and methods of packaging semiconductor devices
US9748212B2 (en) 2015-04-30 2017-08-29 Taiwan Semiconductor Manufacturing Company, Ltd. Shadow pad for post-passivation interconnect structures
US9969614B2 (en) 2015-05-29 2018-05-15 Taiwan Semiconductor Manufacturing Company, Ltd. MEMS packages and methods of manufacture thereof
US9520385B1 (en) 2015-06-29 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method for forming same
US10170444B2 (en) 2015-06-30 2019-01-01 Taiwan Semiconductor Manufacturing Company, Ltd. Packages for semiconductor devices, packaged semiconductor devices, and methods of packaging semiconductor devices
US20170012028A1 (en) * 2015-07-09 2017-01-12 Inotera Memories, Inc. Recoverable device for memory base product
US9536865B1 (en) 2015-07-23 2017-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnection joints having variable volumes in package structures and methods of formation thereof
US9570431B1 (en) 2015-07-28 2017-02-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor wafer for integrated packages
US9570410B1 (en) 2015-07-31 2017-02-14 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming connector pad structures, interconnect structures, and structures thereof
US9691695B2 (en) 2015-08-31 2017-06-27 Taiwan Semiconductor Manufacturing Company, Ltd. Monolithic 3D integration inter-tier vias insertion scheme and associated layout structure
US10644229B2 (en) 2015-09-18 2020-05-05 Taiwan Semiconductor Manufacturing Company, Ltd. Magnetoresistive random access memory cell and fabricating the same
US10178363B2 (en) * 2015-10-02 2019-01-08 Invensas Corporation HD color imaging using monochromatic CMOS image sensors integrated in 3D package
US9773768B2 (en) 2015-10-09 2017-09-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure of three-dimensional chip stacking
US10269682B2 (en) 2015-10-09 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Cooling devices, packaged semiconductor devices, and methods of packaging semiconductor devices
US10043761B2 (en) 2015-10-19 2018-08-07 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and manufacturing method thereof
US9659878B2 (en) 2015-10-20 2017-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer level shielding in multi-stacked fan out packages and methods of forming same
US10163856B2 (en) 2015-10-30 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked integrated circuit structure and method of forming
US9691723B2 (en) 2015-10-30 2017-06-27 Taiwan Semiconductor Manufacturing Company, Ltd. Connector formation methods and packaged semiconductor devices
US9735131B2 (en) 2015-11-10 2017-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-stack package-on-package structures
US9911623B2 (en) 2015-12-15 2018-03-06 Taiwan Semiconductor Manufacturing Company, Ltd. Via connection to a partially filled trench
US9972603B2 (en) 2015-12-29 2018-05-15 Taiwan Semiconductor Manufacturing Co., Ltd. Seal-ring structure for stacking integrated circuits
US9741694B2 (en) 2015-12-31 2017-08-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and method of manufacturing the same
US9589941B1 (en) 2016-01-15 2017-03-07 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-chip package system and methods of forming the same
US9773757B2 (en) 2016-01-19 2017-09-26 Taiwan Semiconductor Manufacturing Company, Ltd. Devices, packaged semiconductor devices, and semiconductor device packaging methods
US9741669B2 (en) 2016-01-26 2017-08-22 Taiwan Semiconductor Manufacturing Company, Ltd. Forming large chips through stitching
US10050018B2 (en) 2016-02-26 2018-08-14 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC structure and methods of forming
US9842829B2 (en) 2016-04-29 2017-12-12 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package structure and method for forming the same
US9859258B2 (en) 2016-05-17 2018-01-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US10147704B2 (en) 2016-05-17 2018-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacturing thereof
US10283479B2 (en) 2016-05-20 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Package structures and methods of forming the same
US9748206B1 (en) 2016-05-26 2017-08-29 Taiwan Semiconductor Manufacturing Co., Ltd. Three-dimensional stacking structure and manufacturing method thereof
US9881903B2 (en) 2016-05-31 2018-01-30 Taiwan Semiconductor Manufacturing Company, Ltd. Package-on-package structure with epoxy flux residue
US9793246B1 (en) 2016-05-31 2017-10-17 Taiwan Semiconductor Manufacturing Co., Ltd. Pop devices and methods of forming the same
US9875982B2 (en) 2016-06-01 2018-01-23 Taiwan Semiconductor Manufacturing Company Ltd Semiconductor device and manufacturing method thereof
US10050024B2 (en) 2016-06-17 2018-08-14 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package and manufacturing method of the same
US10854579B2 (en) 2016-06-23 2020-12-01 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package structure
US10475769B2 (en) 2016-06-23 2019-11-12 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package and manufacturing method of the same
US10229901B2 (en) 2016-06-27 2019-03-12 Taiwan Semiconductor Manufacturing Company, Ltd. Immersion interconnections for semiconductor devices and methods of manufacture thereof
US10115675B2 (en) 2016-06-28 2018-10-30 Taiwan Semiconductor Manufacturing Co., Ltd. Packaged semiconductor device and method of fabricating a packaged semiconductor device
US9941186B2 (en) 2016-06-30 2018-04-10 Taiwan Semiconductor Manufacturing Company Ltd. Method for manufacturing semiconductor structure
US10685911B2 (en) 2016-06-30 2020-06-16 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package and manufacturing method of the same
US10163805B2 (en) 2016-07-01 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and method for forming the same
US9966360B2 (en) 2016-07-05 2018-05-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and manufacturing method thereof
US9893046B2 (en) 2016-07-08 2018-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Thinning process using metal-assisted chemical etching
US11469215B2 (en) 2016-07-13 2022-10-11 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package structure with molding layer and method for forming the same
US9870975B1 (en) 2016-07-14 2018-01-16 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package with thermal dissipation structure and method for forming the same
US9875972B1 (en) 2016-07-14 2018-01-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US10269732B2 (en) 2016-07-20 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Info package with integrated antennas or inductors
US10332841B2 (en) 2016-07-20 2019-06-25 Taiwan Semiconductor Manufacturing Company, Ltd. System on integrated chips and methods of forming the same
US10157885B2 (en) 2016-07-29 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure having magnetic bonding between substrates
US10720360B2 (en) 2016-07-29 2020-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor die singulation and structures formed thereby
US10120971B2 (en) 2016-08-30 2018-11-06 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated fan-out package and layout method thereof
US10535632B2 (en) 2016-09-02 2020-01-14 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package structure and method of manufacturing the same
US10049981B2 (en) 2016-09-08 2018-08-14 Taiwan Semiconductor Manufacturing Company Ltd. Through via structure, semiconductor device and manufacturing method thereof
US10290609B2 (en) 2016-10-13 2019-05-14 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and manufacturing method of the same
US11527454B2 (en) 2016-11-14 2022-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Package structures and methods of forming the same
US10153222B2 (en) 2016-11-14 2018-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. Package structures and methods of forming the same
US10529690B2 (en) 2016-11-14 2020-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Package structures and methods of forming the same
US10141253B2 (en) 2016-11-14 2018-11-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10170429B2 (en) 2016-11-28 2019-01-01 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming package structure including intermetallic compound
US10153320B2 (en) 2016-11-29 2018-12-11 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and method of forming the same
US10290590B2 (en) 2016-11-29 2019-05-14 Taiwan Semiconductor Manufacturing Company Ltd. Stacked semiconductor device and method of manufacturing the same
US10825780B2 (en) 2016-11-29 2020-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with electromagnetic interference protection and method of manufacture
US10153218B2 (en) 2016-11-29 2018-12-11 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
US10269637B2 (en) 2016-12-02 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and fabricating method thereof
US10163750B2 (en) 2016-12-05 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure for heat dissipation
CN111968958B (zh) 2016-12-30 2022-08-19 华为技术有限公司 一种封装芯片及基于封装芯片的信号传输方法
US10535597B2 (en) 2017-01-13 2020-01-14 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
US10741537B2 (en) 2017-01-18 2020-08-11 Taiwan Semiconductor Manufacturing Coompany Ltd. Semiconductor structure and manufacturing method thereof
US10629545B2 (en) 2017-03-09 2020-04-21 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device
US10790240B2 (en) 2017-03-17 2020-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. Metal line design for hybrid-bonding application
US10854568B2 (en) 2017-04-07 2020-12-01 Taiwan Semiconductor Manufacturing Company, Ltd. Packages with Si-substrate-free interposer and method forming same
US11304290B2 (en) 2017-04-07 2022-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structures and methods
DE102017123449B4 (de) 2017-04-10 2023-12-28 Taiwan Semiconductor Manufacturing Co. Ltd. Gehäuse mit Si-substratfreiem Zwischenstück und Ausbildungsverfahren
US10522449B2 (en) * 2017-04-10 2019-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Packages with Si-substrate-free interposer and method forming same
US10014218B1 (en) 2017-04-20 2018-07-03 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor device structure with bumps
US9929128B1 (en) 2017-04-20 2018-03-27 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package structure with adhesive layer
US10319690B2 (en) 2017-04-28 2019-06-11 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
US10163627B2 (en) 2017-05-18 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method for fabricating the same
US10468345B2 (en) 2017-05-19 2019-11-05 Taiwan Semiconductor Manufacturing Company Ltd. 3D IC decoupling capacitor structure and method for manufacturing the same
US10879194B2 (en) 2017-05-25 2020-12-29 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device package and method of manufacturing the same
US10290584B2 (en) 2017-05-31 2019-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. Conductive vias in semiconductor packages and methods of forming same
US10510722B2 (en) 2017-06-20 2019-12-17 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and method for manufacturing the same
US10304800B2 (en) 2017-06-23 2019-05-28 Taiwan Semiconductor Manufacturing Company Ltd. Packaging with substrates connected by conductive bumps
US10535680B2 (en) 2017-06-29 2020-01-14 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit structure and method with hybrid orientation for FinFET
US10727198B2 (en) 2017-06-30 2020-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and method manufacturing the same
US10483187B2 (en) 2017-06-30 2019-11-19 Taiwan Semiconductor Manufacturing Company, Ltd. Heat spreading device and method
DE102018106434B4 (de) 2017-06-30 2023-05-25 Taiwan Semiconductor Manufacturing Company, Ltd. Halbleiter-Bauelement und Verfahren zu dessen Herstellung
US10283428B2 (en) 2017-06-30 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and method manufacturing the same
US11121050B2 (en) 2017-06-30 2021-09-14 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacture of a semiconductor device
US10276528B2 (en) 2017-07-18 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semicondcutor device and manufacturing method thereof
US10535591B2 (en) 2017-08-10 2020-01-14 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and method of manufacturing the same
US10074618B1 (en) 2017-08-14 2018-09-11 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
US10510691B2 (en) * 2017-08-14 2019-12-17 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
US10461022B2 (en) 2017-08-21 2019-10-29 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package structure and manufacturing method thereof
US10340242B2 (en) 2017-08-28 2019-07-02 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and method of manufacturing the same
US10510718B2 (en) 2017-08-28 2019-12-17 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
US10665521B2 (en) 2017-08-29 2020-05-26 Taiwan Semiconductor Manufacturing Co., Ltd. Planar passivation layers
US10290610B2 (en) 2017-08-29 2019-05-14 Taiwan Semiconductor Manufacturing Co., Ltd. PoP device and method of forming the same
US10461014B2 (en) 2017-08-31 2019-10-29 Taiwan Semiconductor Manufacturing Company, Ltd. Heat spreading device and method
US10510603B2 (en) 2017-08-31 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Conductive vias in semiconductor packages and methods of forming same
US10157867B1 (en) 2017-08-31 2018-12-18 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure and method
US10290571B2 (en) 2017-09-18 2019-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. Packages with si-substrate-free interposer and method forming same
US10515888B2 (en) 2017-09-18 2019-12-24 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and method for manufacturing the same
US10468307B2 (en) 2017-09-18 2019-11-05 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
DE102018122621B4 (de) 2017-09-27 2024-03-28 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleiterstruktur und Verfahren zu deren Herstellung
US10692826B2 (en) 2017-09-27 2020-06-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and method for forming the same
US10497690B2 (en) 2017-09-28 2019-12-03 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package, method for forming semiconductor package, and method for forming semiconductor assembly
US11394359B2 (en) 2017-09-28 2022-07-19 Taiwan Semiconductor Manufacturing Company, Ltd. Band stop filter structure and method of forming
US10483936B2 (en) 2017-09-28 2019-11-19 Taiwan Semiconductor Manufacturing Company, Ltd. Band stop filter structures and methods of forming and operating same
US10157892B1 (en) 2017-09-28 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor packages and methods of forming the same
DE102018107014A1 (de) 2017-09-28 2019-03-28 Taiwan Semiconductor Manufacturing Co. Ltd. Bandsperrfilterstrukturen und Verfahren zum Ausbilden und Betreiben derselben
US11101209B2 (en) 2017-09-29 2021-08-24 Taiwan Semiconductor Manufacturing Company, Ltd. Redistribution structures in semiconductor packages and methods of forming same
US10861761B2 (en) 2017-09-29 2020-12-08 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor packaged wafer and method for forming the same
US10818624B2 (en) 2017-10-24 2020-10-27 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method for manufacturing the same
US10163825B1 (en) * 2017-10-26 2018-12-25 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
US10763239B2 (en) 2017-10-27 2020-09-01 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-chip wafer level packages and methods of forming the same
US10665560B2 (en) 2017-10-27 2020-05-26 Taiwan Semiconductor Manufacturing Company Ltd. Optical semiconductor package and method for manufacturing the same
US10276543B1 (en) 2017-10-27 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semicondcutor device package and method of forming semicondcutor device package
US10879214B2 (en) 2017-11-01 2020-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Die stack structure and method of fabricating the same
US11251157B2 (en) 2017-11-01 2022-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. Die stack structure with hybrid bonding structure and method of fabricating the same and package
US10665582B2 (en) 2017-11-01 2020-05-26 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing semiconductor package structure
US10672737B2 (en) 2017-11-05 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Three-dimensional integrated circuit structure and method of manufacturing the same
US10636715B2 (en) 2017-11-06 2020-04-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and method of fabricating the same
US10170441B1 (en) 2017-11-07 2019-01-01 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
US20190148325A1 (en) * 2017-11-10 2019-05-16 Advanced Semiconductor Engineering, Inc. Electronic device and method for manufacturing the same
US10522436B2 (en) 2017-11-15 2019-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Planarization of semiconductor packages and structures resulting therefrom
US10586763B2 (en) 2017-11-15 2020-03-10 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
DE102018111389A1 (de) 2017-11-15 2019-05-16 Taiwan Semiconductor Manufacturing Company, Ltd. Halbleitervorrichtung und Herstellungsverfahren
US10679947B2 (en) 2017-11-21 2020-06-09 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package and manufacturing method thereof
US10763296B2 (en) 2017-11-22 2020-09-01 Taiwan Semiconductor Manufacturing Co., Ltd. Biometric sensor and methods thereof
US10797005B2 (en) 2017-11-27 2020-10-06 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package and method for manufacturing the same
US10910321B2 (en) 2017-11-29 2021-02-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of making the same
US10510634B2 (en) 2017-11-30 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method
US10312201B1 (en) 2017-11-30 2019-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Seal ring for hybrid-bond
US10371893B2 (en) 2017-11-30 2019-08-06 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid interconnect device and method
US10811377B2 (en) 2017-12-14 2020-10-20 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure with a barrier layer and method for forming the same
US10573573B2 (en) 2018-03-20 2020-02-25 Taiwan Semiconductor Manufacturing Co., Ltd. Package and package-on-package structure having elliptical conductive columns
US11152295B2 (en) 2018-04-13 2021-10-19 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package structure and method for manufacturing the same
US10483226B2 (en) 2018-04-20 2019-11-19 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of forming the same
US10546845B2 (en) 2018-04-20 2020-01-28 Taiwan Semiconductor Manufacturing Co., Ltd. Package on package structure
US10672681B2 (en) 2018-04-30 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor packages
US10790254B2 (en) 2018-05-09 2020-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package structure
US10468379B1 (en) 2018-05-15 2019-11-05 Taiwan Semiconductor Manufacturing Co., Ltd. 3DIC structure and method of manufacturing the same
US10475762B1 (en) 2018-05-17 2019-11-12 Taiwan Semiconductor Manufacturing Co., Ltd. 3DIC structure and method of manufacturing the same
US10510629B2 (en) 2018-05-18 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit package and method of forming same
US10515869B1 (en) 2018-05-29 2019-12-24 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package structure having a multi-thermal interface material structure
US10748831B2 (en) 2018-05-30 2020-08-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor packages having thermal through vias (TTV)
US10685937B2 (en) 2018-06-15 2020-06-16 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit package having dummy structures and method of forming same
US10867943B2 (en) 2018-06-15 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Die structure, die stack structure and method of fabricating the same
US10978373B2 (en) 2018-06-19 2021-04-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device methods of manufacture
US10879183B2 (en) 2018-06-22 2020-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US10504852B1 (en) 2018-06-25 2019-12-10 Taiwan Semiconductor Manufacturing Co., Ltd. Three-dimensional integrated circuit structures
US10847492B2 (en) 2018-06-25 2020-11-24 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method for the same
US10504873B1 (en) 2018-06-25 2019-12-10 Taiwan Semiconductor Manufacturing Co., Ltd. 3DIC structure with protective structure and method of fabricating the same and package
US10672674B2 (en) 2018-06-29 2020-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming semiconductor device package having testing pads on a topmost die
US10916488B2 (en) 2018-06-29 2021-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package having thermal conductive pattern surrounding the semiconductor die
US10854552B2 (en) 2018-06-29 2020-12-01 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US11728334B2 (en) 2018-06-29 2023-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Three-dimensional integrated circuit structures and method of forming the same
US10867962B2 (en) 2018-06-29 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Packaging process and manufacturing method
US11075133B2 (en) 2018-06-29 2021-07-27 Taiwan Semiconductor Manufacturing Company, Ltd. Underfill structure for semiconductor packages and methods of forming the same
US11114433B2 (en) 2018-07-15 2021-09-07 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC structure and method of fabricating the same
US10950554B2 (en) 2018-07-16 2021-03-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages with electromagnetic interference shielding layer and methods of forming the same
US11139282B2 (en) 2018-07-26 2021-10-05 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package structure and method for manufacturing the same
US10867903B2 (en) 2018-07-27 2020-12-15 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package and method of forming the same
US11424197B2 (en) 2018-07-27 2022-08-23 Taiwan Semiconductor Manufacturing Company, Ltd. Package, package structure with redistributing circuits and antenna elements and method of manufacturing the same
US10811316B2 (en) 2018-08-13 2020-10-20 Taiwan Semiconductor Manufacturing Company Ltd. Method and system of forming integrated circuit
US11056459B2 (en) 2018-08-14 2021-07-06 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package structure and method for forming the same
US10700030B2 (en) 2018-08-14 2020-06-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package having varying conductive pad sizes
US11031344B2 (en) 2018-08-28 2021-06-08 Taiwan Semiconductor Manufacturing Company, Ltd. Package having redistribution layer structure with protective layer and method of fabricating the same
US11171090B2 (en) 2018-08-30 2021-11-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US10879161B2 (en) 2018-08-31 2020-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages having a seed layer structure protruding from an edge of metal structure
US11309294B2 (en) 2018-09-05 2022-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated fan-out packages and methods of forming the same
US10914895B2 (en) 2018-09-18 2021-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and manufacturing method thereof
US10796990B2 (en) 2018-09-19 2020-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure, package structure, and manufacturing method thereof
US10797031B2 (en) 2018-09-20 2020-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package
US10504824B1 (en) 2018-09-21 2019-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit package and method
US10734348B2 (en) 2018-09-21 2020-08-04 Taiwan Semiconductor Manufacturing Company, Ltd. Bonded semiconductor devices and methods of forming the same
US10700041B2 (en) 2018-09-21 2020-06-30 Facebook Technologies, Llc Stacking of three-dimensional circuits including through-silicon-vias
US11172142B2 (en) 2018-09-25 2021-11-09 Taiwan Semiconductor Manufacturing Co., Ltd. Image sensor for sensing LED light with reduced flickering
US11563167B2 (en) 2018-09-26 2023-01-24 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and method for an MRAM device with a multi-layer top electrode
US11062975B2 (en) 2018-09-27 2021-07-13 Taiwan Semiconductor Manufacturing Company, Ltd. Package structures
US10658348B2 (en) 2018-09-27 2020-05-19 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices having a plurality of first and second conductive strips
DE102019117917B4 (de) 2018-09-27 2023-07-06 Taiwan Semiconductor Manufacturing Co., Ltd. Bondingstrukturen in halbleiter-packages und verfahren zu ihrer herstellung
US10867955B2 (en) 2018-09-27 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure having adhesive layer surrounded dam structure
US11201122B2 (en) 2018-09-27 2021-12-14 Taiwan Semiconductor Manufacturing Co., Ltd. Method of fabricating semiconductor device with reduced warpage and better trench filling performance
US10867890B2 (en) 2018-09-27 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Mutli-chip package with encapsulated conductor via
US10790162B2 (en) 2018-09-27 2020-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit package and method
US11393771B2 (en) 2018-09-27 2022-07-19 Taiwan Semiconductor Manufacturing Company, Ltd. Bonding structures in semiconductor packaged device and method of forming same
US10867879B2 (en) 2018-09-28 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit package and method
US10861841B2 (en) 2018-09-28 2020-12-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with multiple polarity groups
US11081392B2 (en) 2018-09-28 2021-08-03 Taiwan Semiconductor Manufacturing Co., Ltd. Dicing method for stacked semiconductor devices
US11164754B2 (en) 2018-09-28 2021-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Fan-out packages and methods of forming the same
DE102018130035B4 (de) 2018-09-28 2020-09-03 Taiwan Semiconductor Manufacturing Co., Ltd. Package und verfahren
US11037952B2 (en) 2018-09-28 2021-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Peripheral circuitry under array memory device and method of fabricating thereof
DE102019101999B4 (de) 2018-09-28 2021-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. Halbleitervorrichtung mit mehreren polaritätsgruppen
US10804230B2 (en) 2018-10-17 2020-10-13 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package and method of manufacturing the same
US11031381B2 (en) 2018-10-30 2021-06-08 Taiwan Semiconductor Manufacturing Company, Ltd. Optical transceiver and manufacturing method thereof
US10840197B2 (en) 2018-10-30 2020-11-17 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and manufacturing method thereof
US10656351B1 (en) 2018-10-30 2020-05-19 Taiwan Semiconductor Manufacturing Co., Ltd Package structure for optical fiber and method for forming the same
US11164825B2 (en) 2018-10-31 2021-11-02 Taiwan Semiconductor Manufacturing Co., Ltd. CoWos interposer with selectable/programmable capacitance arrays
US10796976B2 (en) 2018-10-31 2020-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of forming the same
US11088109B2 (en) 2018-11-21 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Packages with multi-thermal interface materials and methods of fabricating the same
US20200168527A1 (en) * 2018-11-28 2020-05-28 Taiwan Semiconductor Manfacturing Co., Ltd. Soic chip architecture
US11139223B2 (en) 2018-11-29 2021-10-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US11289424B2 (en) 2018-11-29 2022-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Package and method of manufacturing the same
US11328936B2 (en) 2018-12-21 2022-05-10 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of package structure with underfill
CN109729639B (zh) * 2018-12-24 2020-11-20 奥特斯科技(重庆)有限公司 在无芯基板上包括柱体的部件承载件
US11183487B2 (en) 2018-12-26 2021-11-23 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit package and method
US11094625B2 (en) 2019-01-02 2021-08-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package with improved interposer structure
US11101214B2 (en) 2019-01-02 2021-08-24 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure with dam structure and method for forming the same
US10811390B2 (en) 2019-01-21 2020-10-20 Taiwan Semiconductor Manufacturing Company, Ltd. Die stack structure and method of fabricating the same and package
US11088110B2 (en) 2019-01-28 2021-08-10 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device, circuit board structure and manufacturing method thereof
US10818651B2 (en) 2019-01-29 2020-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure
US11121052B2 (en) 2019-01-31 2021-09-14 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated fan-out device, 3D-IC system, and method
US10867963B2 (en) 2019-03-14 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Die stack structure and method of fabricating the same
US11728278B2 (en) 2019-03-25 2023-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Board substrates, three-dimensional integrated circuit structures and methods of forming the same
US11139249B2 (en) 2019-04-01 2021-10-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of forming the same
US11152330B2 (en) 2019-04-16 2021-10-19 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package structure and method for forming the same
US11094811B2 (en) 2019-04-19 2021-08-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10923421B2 (en) 2019-04-23 2021-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of manufacturing the same
US11088086B2 (en) 2019-04-26 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package structure and method for forming the same
US10923438B2 (en) 2019-04-26 2021-02-16 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and method for forming the same
US11562982B2 (en) 2019-04-29 2023-01-24 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit packages and methods of forming the same
US11088068B2 (en) 2019-04-29 2021-08-10 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages and methods of manufacturing the same
US10840190B1 (en) 2019-05-16 2020-11-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and manufacturing method thereof
US11024616B2 (en) 2019-05-16 2021-06-01 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of manufacturing the same
US10937772B2 (en) 2019-05-29 2021-03-02 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package and method for manufacturing the same
US10886245B2 (en) 2019-05-30 2021-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure, 3DIC structure and method of fabricating the same
US10790164B1 (en) 2019-06-13 2020-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming package structure
US10879138B1 (en) 2019-06-14 2020-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packaging structure including interconnection to probe pad with probe mark and method of manufacturing the same
US10867982B1 (en) 2019-06-14 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid integrated circuit package and method
US11145623B2 (en) 2019-06-14 2021-10-12 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit packages and methods of forming the same
US10998293B2 (en) 2019-06-14 2021-05-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating semiconductor structure
US10937736B2 (en) 2019-06-14 2021-03-02 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid integrated circuit package and method
US11380620B2 (en) 2019-06-14 2022-07-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package including cavity-mounted device
US11387177B2 (en) 2019-06-17 2022-07-12 Taiwan Semiconductor Manufacturing Company Ltd. Package structure and method for forming the same
US11164848B2 (en) 2019-06-20 2021-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method manufacturing the same
US11837526B2 (en) 2019-06-24 2023-12-05 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package structure and method for manufacturing the same
US11114413B2 (en) 2019-06-27 2021-09-07 Taiwan Semiconductor Manufacturing Company, Ltd. Stacking structure, package structure and method of fabricating the same
US11088079B2 (en) 2019-06-27 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure having line connected via portions
US11088108B2 (en) 2019-06-27 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package structure including ring-like structure and method for forming the same
US11056438B2 (en) 2019-06-27 2021-07-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages and method of forming the same
US11101240B2 (en) 2019-06-28 2021-08-24 Taiwan Semiconductor Manufacturing Company, Ltd. Isolation bonding film for semiconductor packages and methods of forming the same
US11841803B2 (en) 2019-06-28 2023-12-12 Advanced Micro Devices, Inc. GPU chiplets using high bandwidth crosslinks
US10879192B1 (en) 2019-07-17 2020-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and manufacturing method thereof
US11063019B2 (en) 2019-07-17 2021-07-13 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure, chip structure and method of fabricating the same
US11239225B2 (en) 2019-07-17 2022-02-01 Taiwan Semiconductor Manufacturing Company, Ltd. Three-dimensional integrated circuit structures and methods of manufacturing the same
US11587818B2 (en) 2019-07-18 2023-02-21 Taiwan Semiconductor Manufacturing Company, Ltd. Chuck design and method for wafer
US11049802B2 (en) 2019-07-18 2021-06-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US11239135B2 (en) 2019-07-18 2022-02-01 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of manufacturing the same
US11728238B2 (en) 2019-07-29 2023-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package with heat dissipation films and manufacturing method thereof
US11569172B2 (en) 2019-08-08 2023-01-31 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and methods of manufacture
US11443981B2 (en) 2019-08-16 2022-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Bonding method of package components and bonding apparatus
US11094613B2 (en) 2019-08-22 2021-08-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and manufacturing method thereof
US11062968B2 (en) 2019-08-22 2021-07-13 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and method for forming the same
US11069608B2 (en) 2019-08-22 2021-07-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and manufacturing method thereof
US11094635B2 (en) 2019-08-22 2021-08-17 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and method for forming the same
US11018070B2 (en) 2019-08-22 2021-05-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor die, manufacturing method thereof, and semiconductor package
US11380653B2 (en) 2019-08-27 2022-07-05 Taiwan Semiconductor Manufacturing Company, Ltd. Die stack structure and manufacturing method thereof
US11373981B2 (en) 2019-08-28 2022-06-28 Taiwan Semiconductor Manufacturing Company, Ltd. Package and manufacturing method thereof
US11164824B2 (en) 2019-08-28 2021-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of fabricating the same
US11257791B2 (en) 2019-08-28 2022-02-22 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked die structure and method of fabricating the same
US11145633B2 (en) 2019-08-28 2021-10-12 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11387164B2 (en) 2019-08-28 2022-07-12 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US11227812B2 (en) 2019-08-28 2022-01-18 Taiwan Semiconductor Manufacturing Company, Ltd. Package and manufacturing method thereof
US11309243B2 (en) 2019-08-28 2022-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Package having different metal densities in different regions and manufacturing method thereof
US11398444B2 (en) 2019-08-29 2022-07-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages having conductive pillars with inclined surfaces and methods of forming the same
US11854967B2 (en) 2019-08-29 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages
US11532580B2 (en) 2019-08-29 2022-12-20 Taiwan Semiconductor Manufacturing Company Ltd. Interconnect structure, semiconductor structure including interconnect structure and method for forming the same
US11393805B2 (en) 2019-08-29 2022-07-19 Taiwan Semiconductor Manufacturing Company, Ltd. 3D semiconductor packages
US11264343B2 (en) 2019-08-30 2022-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Bond pad structure for semiconductor device and method of forming same
US11610864B2 (en) 2019-09-09 2023-03-21 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package structure and method of forming the same
US11282759B2 (en) 2019-09-09 2022-03-22 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package structure having warpage control and method of forming the same
CN112466861A (zh) 2019-09-09 2021-03-09 台湾积体电路制造股份有限公司 封装结构及其形成方法
US11443993B2 (en) 2019-09-09 2022-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package structure with cavity in interposer
US11063008B2 (en) 2019-09-16 2021-07-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and manufacturing method thereof
US10886147B1 (en) 2019-09-16 2021-01-05 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and method for forming the same
US11088041B2 (en) 2019-09-17 2021-08-10 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages with shortened talking path
US11164855B2 (en) 2019-09-17 2021-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure with a heat dissipating element and method of manufacturing the same
US11183482B2 (en) 2019-09-17 2021-11-23 Taiwan Semiconductor Manufacturing Company, Ltd. Shift control method in manufacture of semiconductor device
US11063022B2 (en) 2019-09-17 2021-07-13 Taiwan Semiconductor Manufacturing Company, Ltd. Package and manufacturing method of reconstructed wafer
US11081447B2 (en) 2019-09-17 2021-08-03 Taiwan Semiconductor Manufacturing Co., Ltd. Graphene-assisted low-resistance interconnect structures and methods of formation thereof
US11410948B2 (en) 2019-09-25 2022-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and manufacturing method thereof
US11841541B2 (en) 2019-09-26 2023-12-12 Taiwan Semiconductor Manufacturing Company, Ltd. Package assembly and manufacturing method thereof
US11289399B2 (en) 2019-09-26 2022-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and manufacturing method thereof
US11450641B2 (en) 2019-09-27 2022-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating package structure
US11507527B2 (en) 2019-09-27 2022-11-22 Advanced Micro Devices, Inc. Active bridge chiplet with integrated cache
US20210098419A1 (en) * 2019-09-27 2021-04-01 Advanced Micro Devices, Inc. Fabricating active-bridge-coupled gpu chiplets
US11355428B2 (en) 2019-09-27 2022-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package
DE102020108481B4 (de) 2019-09-27 2023-07-06 Taiwan Semiconductor Manufacturing Company, Ltd. Halbleiter-Die-Package und Herstellungsverfahren
US11282779B2 (en) 2019-09-27 2022-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and fabricating method thereof
US11289398B2 (en) 2019-09-27 2022-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and manufacturing method thereof
US11824040B2 (en) 2019-09-27 2023-11-21 Taiwan Semiconductor Manufacturing Company, Ltd. Package component, electronic device and manufacturing method thereof
US11503711B2 (en) 2019-09-27 2022-11-15 Taiwan Semiconductor Manufacturing Co., Ltd. Method for inserting dummy capacitor structures
US11476201B2 (en) 2019-09-27 2022-10-18 Taiwan Semiconductor Manufacturing Company. Ltd. Package-on-package device
US11362064B2 (en) 2019-09-28 2022-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package with shared barrier layer in redistribution and via
US10879206B1 (en) 2019-10-16 2020-12-29 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method for forming the same
US11315860B2 (en) 2019-10-17 2022-04-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing process thereof
US11107779B2 (en) 2019-10-17 2021-08-31 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US10847429B1 (en) 2019-10-17 2020-11-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method of detecting photoresist scum, method of forming semiconductor package and photoresist scum detection apparatus
US11145614B2 (en) 2019-10-18 2021-10-12 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US11569156B2 (en) 2019-10-27 2023-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device, electronic device including the same, and manufacturing method thereof
US11404342B2 (en) 2019-10-29 2022-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure comprising buffer layer for reducing thermal stress and method of forming the same
US11621244B2 (en) 2019-11-15 2023-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit package and method
US11232622B2 (en) 2019-11-27 2022-01-25 Advanced Micro Devices, Inc. Data flow in a distributed graphics processing unit architecture
US11133304B2 (en) 2019-11-27 2021-09-28 Taiwan Semiconductor Manufacturing Co., Ltd. Packaging scheme involving metal-insulator-metal capacitor
US11862594B2 (en) 2019-12-18 2024-01-02 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure with solder resist underlayer for warpage control and method of manufacturing the same
US11302600B2 (en) 2019-12-18 2022-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US11309226B2 (en) 2019-12-18 2022-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Three-dimensional integrated circuit structures and methods of forming the same
US11145562B2 (en) 2019-12-19 2021-10-12 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of manufacturing the same
US11450580B2 (en) 2019-12-24 2022-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method of fabricating the same
US11545438B2 (en) 2019-12-25 2023-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages and methods of forming the same
CN113035788A (zh) 2019-12-25 2021-06-25 台湾积体电路制造股份有限公司 封装结构及其制作方法
US11450654B2 (en) 2019-12-25 2022-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of fabricating the same
US11551999B2 (en) 2019-12-25 2023-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. Memory device and manufacturing method thereof
US11664300B2 (en) 2019-12-26 2023-05-30 Taiwan Semiconductor Manufacturing Co., Ltd. Fan-out packages and methods of forming the same
US11791275B2 (en) 2019-12-27 2023-10-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacturing
US11482461B2 (en) 2019-12-31 2022-10-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and method for making the same
US11728233B2 (en) 2020-01-10 2023-08-15 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package structure with ring structure and method for forming the same
US11424219B2 (en) 2020-01-16 2022-08-23 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of fabricating the same
US11094682B2 (en) 2020-01-16 2021-08-17 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of fabricating the same
US11462418B2 (en) 2020-01-17 2022-10-04 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit package and method
US11817325B2 (en) 2020-01-17 2023-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of manufacturing a semiconductor package
US11616026B2 (en) 2020-01-17 2023-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US11239193B2 (en) 2020-01-17 2022-02-01 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit package and method
US11239134B2 (en) 2020-01-17 2022-02-01 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of fabricating the same
US11201106B2 (en) 2020-01-24 2021-12-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with conductors embedded in a substrate
US11315862B2 (en) 2020-01-31 2022-04-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and manufacturing method thereof
US11372160B2 (en) 2020-01-31 2022-06-28 Taiwan Semiconductor Manufacturing Company, Ltd. Package, optical device, and manufacturing method of package
US11417629B2 (en) 2020-02-11 2022-08-16 Taiwan Semiconductor Manufacturing Company, Ltd. Three-dimensional stacking structure and manufacturing method thereof
US11557568B2 (en) 2020-02-26 2023-01-17 Taiwan Semiconductor Manufacturing Company. Ltd. Package and manufacturing method thereof
US11362065B2 (en) 2020-02-26 2022-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Package and manufacturing method thereof
US11215753B2 (en) 2020-02-27 2022-01-04 Taiwan Semiconductor Manufacturing Company, Ltd. Photonic semiconductor device and method
US11417539B2 (en) 2020-02-27 2022-08-16 Taiwan Semiconductor Manufacturing Co., Ltd. Bump structure and method of making the same
US11495573B2 (en) 2020-03-02 2022-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and manufacturing method thereof
US11574857B2 (en) 2020-03-23 2023-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11362066B2 (en) 2020-03-26 2022-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and manufacturing method thereof
US11244939B2 (en) 2020-03-26 2022-02-08 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of forming the same
US11373946B2 (en) 2020-03-26 2022-06-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11495506B2 (en) 2020-03-30 2022-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package with separate electric and thermal paths
US11410932B2 (en) 2020-03-30 2022-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacturing the same
US11380611B2 (en) 2020-03-30 2022-07-05 Taiwan Semiconductor Manufacturing Co., Ltd. Chip-on-wafer structure with chiplet interposer
DE102020119971B4 (de) 2020-03-30 2022-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleiterstruktur mit Chip-on-Wafer-Struktur mit Chiplet-Interposer und Verfahren zum Bilden derselben
US11315855B2 (en) 2020-04-01 2022-04-26 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure with photonic die and method
US11347001B2 (en) 2020-04-01 2022-05-31 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method of fabricating the same
US11302683B2 (en) 2020-04-01 2022-04-12 Taiwan Semiconductor Manufacturing Co., Ltd. Optical signal processing package structure
US11276670B2 (en) 2020-04-17 2022-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method of semiconductor device
US11342413B2 (en) 2020-04-24 2022-05-24 Taiwan Semiconductor Manufacturing Co., Ltd. Selective liner on backside via and method thereof
DE102020121223A1 (de) 2020-04-24 2021-10-28 Taiwan Semiconductor Manufacturing Co., Ltd. Selektive Auskleidung auf Rückseitendurchkontaktierung und deren Verfahren
US11495559B2 (en) 2020-04-27 2022-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits
US11948930B2 (en) * 2020-04-29 2024-04-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and method of manufacturing the same
US11929261B2 (en) 2020-05-01 2024-03-12 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and method of manufacturing the same
US11222859B2 (en) 2020-05-05 2022-01-11 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with bonding pad and method for forming the same
US11670692B2 (en) 2020-05-13 2023-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Gate-all-around devices having self-aligned capping between channel and backside power rail
US11609391B2 (en) 2020-05-19 2023-03-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11996409B2 (en) 2020-05-20 2024-05-28 Taiwan Semiconductor Manufacturing Co., Ltd. Stacking CMOS structure
US11664350B2 (en) 2020-05-20 2023-05-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacture
US11728254B2 (en) 2020-05-22 2023-08-15 Taiwan Semiconductor Manufacturing Co., Ltd. Giga interposer integration through chip-on-wafer-on-substrate
US11694939B2 (en) 2020-05-22 2023-07-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package, integrated optical communication system
US11404404B2 (en) 2020-05-27 2022-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure having photonic die and electronic die
US11393763B2 (en) 2020-05-28 2022-07-19 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated fan-out (info) package structure and method
US11502015B2 (en) 2020-05-28 2022-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11233035B2 (en) 2020-05-28 2022-01-25 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of manufacturing the same
US11515274B2 (en) 2020-05-28 2022-11-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11894318B2 (en) 2020-05-29 2024-02-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacture
US11443987B2 (en) 2020-05-29 2022-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices with backside air gap dielectric
DE102020130962A1 (de) 2020-05-29 2021-12-02 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleitervorrichtung und herstellungsverfahren
US11450615B2 (en) 2020-06-12 2022-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of fabricating the same
US11715755B2 (en) 2020-06-15 2023-08-01 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and method for forming integrated high density MIM capacitor
US11552074B2 (en) 2020-06-15 2023-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. Package structures and methods of fabricating the same
US11631736B2 (en) 2020-06-15 2023-04-18 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial source/drain feature with enlarged lower section interfacing with backside via
US11296065B2 (en) 2020-06-15 2022-04-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor packages and methods of forming same
US11581281B2 (en) 2020-06-26 2023-02-14 Taiwan Semiconductor Manufacturing Co., Ltd. Packaged semiconductor device and method of forming thereof
US11552054B2 (en) 2020-06-29 2023-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of manufacturing the same
US11309242B2 (en) 2020-06-29 2022-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Package component, semiconductor package and manufacturing method thereof
US11502056B2 (en) 2020-07-08 2022-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Joint structure in semiconductor package and manufacturing method thereof
US11348874B2 (en) 2020-07-08 2022-05-31 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages and forming methods thereof
US11222867B1 (en) 2020-07-09 2022-01-11 Taiwan Semiconductor Manufacturing Company, Ltd. Package and manufacturing method thereof
US11335666B2 (en) 2020-07-09 2022-05-17 Taiwan Semiconductor Manufacturing Company, Ltd. Memory device and manufacturing method thereof
US11587894B2 (en) 2020-07-09 2023-02-21 Taiwan Semiconductor Manufacturing Company, Ltd. Package and method of fabricating the same
US11450612B2 (en) 2020-07-09 2022-09-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and methods of manufacturing the same
US11233005B1 (en) 2020-07-10 2022-01-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manufacturing an anchor-shaped backside via
US11705378B2 (en) 2020-07-20 2023-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages and methods of forming the same
US11239136B1 (en) 2020-07-28 2022-02-01 Taiwan Semiconductor Manufacturing Company, Ltd. Adhesive and thermal interface material on a plurality of dies covered by a lid
US11482649B2 (en) 2020-07-29 2022-10-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method of semiconductor package
US11355454B2 (en) 2020-07-30 2022-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and manufacturing method thereof
US11990443B2 (en) 2020-08-17 2024-05-21 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor die package and method of manufacture
US11778918B2 (en) 2020-08-20 2023-10-03 Taiwan Semiconductor Manufacturing Co., Ltd. Magnetic memory cell with low-resistive electrode via and method of forming same
US11450626B2 (en) * 2020-08-25 2022-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package
US11532582B2 (en) 2020-08-25 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device package and method of manufacture
US11469197B2 (en) 2020-08-26 2022-10-11 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit package and method
TWI778406B (zh) * 2020-08-26 2022-09-21 矽品精密工業股份有限公司 電子封裝件及其製法
US11482594B2 (en) 2020-08-27 2022-10-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices with backside power rail and method thereof
US11454888B2 (en) 2020-09-15 2022-09-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacture
US11309291B2 (en) 2020-09-20 2022-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Die stack structure and manufacturing method thereof
US11868047B2 (en) 2020-09-21 2024-01-09 Taiwan Semiconductor Manufacturing Co., Ltd. Polymer layer in semiconductor device and method of manufacture
US11721603B2 (en) 2020-10-15 2023-08-08 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated fan out method utilizing a filler-free insulating material
US11600562B2 (en) 2020-10-21 2023-03-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages and method of manufacturing the same
US11521905B2 (en) 2020-10-21 2022-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of manufacturing the same
US11658119B2 (en) 2020-10-27 2023-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Backside signal interconnection
US11940662B2 (en) 2020-10-27 2024-03-26 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method for forming the same
US12021069B2 (en) 2020-10-27 2024-06-25 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor die and photoelectric device integrated in same package
US11437332B2 (en) 2020-10-30 2022-09-06 Taiwan Semiconductor Manufacturing Company Ltd. Package structure and method of manufacturing the same
US11521893B2 (en) 2020-10-30 2022-12-06 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method for forming the same
US11637072B2 (en) 2020-11-06 2023-04-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and method of manufacturing the same
US11362009B2 (en) 2020-11-13 2022-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of fabricating the same
US11830746B2 (en) 2021-01-05 2023-11-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacture
US11710712B2 (en) 2021-01-05 2023-07-25 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and manufacturing method of the same
US11587887B2 (en) 2021-01-14 2023-02-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US11804468B2 (en) 2021-01-15 2023-10-31 Taiwan Semiconductor Manufacturing Company, Ltd. Manufacturing method of semiconductor package using jig
US11742322B2 (en) 2021-01-20 2023-08-29 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated fan-out package having stress release structure
US11600592B2 (en) 2021-01-21 2023-03-07 Taiwan Semiconductor Manufacturing Company, Ltd. Package
US11682602B2 (en) 2021-02-04 2023-06-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacture
US11728327B2 (en) 2021-02-12 2023-08-15 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit package and method
US11756933B2 (en) 2021-02-12 2023-09-12 Taiwan Semiconductor Manufacturing Co., Ltd. Inactive structure on SoIC
US11996371B2 (en) * 2021-02-12 2024-05-28 Taiwan Semiconductor Manufacturing Co., Ltd. Chiplet interposer
US11699631B2 (en) 2021-02-24 2023-07-11 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US11715723B2 (en) 2021-02-26 2023-08-01 Taiwan Semiconductor Manufacturing Co., Ltd. Wafer on wafer bonding structure
US11978715B2 (en) 2021-02-26 2024-05-07 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and formation method of chip package with protective lid
US11764127B2 (en) 2021-02-26 2023-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11817380B2 (en) 2021-02-26 2023-11-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and method of forming same
US11791332B2 (en) 2021-02-26 2023-10-17 Taiwan Semiconductor Manufacturing Co., Ltd. Stacked semiconductor device and method
US11749643B2 (en) 2021-03-03 2023-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages and methods for forming the same
US11950432B2 (en) 2021-03-05 2024-04-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages and method of manufacturing the same
US11532596B2 (en) 2021-03-05 2022-12-20 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of forming the same
US11854987B2 (en) 2021-03-10 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages with interconnection features in a seal region and methods for forming the same
US11594460B2 (en) 2021-03-11 2023-02-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and method of fabricating the same
US11676942B2 (en) 2021-03-12 2023-06-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method of manufacturing the same
US11756854B2 (en) 2021-03-18 2023-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of fabricating the same
US11728275B2 (en) 2021-03-18 2023-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11705343B2 (en) 2021-03-18 2023-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit package and method of forming thereof
US11823887B2 (en) 2021-03-19 2023-11-21 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of fabricating the same
US11848246B2 (en) 2021-03-24 2023-12-19 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit package and method
US11487060B2 (en) 2021-03-25 2022-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with nanostructures aligned with grating coupler and manufacturing method thereof
US11756924B2 (en) 2021-03-25 2023-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a semiconductor chip having strength adjustment pattern in bonding layer
US11830796B2 (en) 2021-03-25 2023-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. Circuit substrate, package structure and method of manufacturing the same
US11990351B2 (en) 2021-03-26 2024-05-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11915991B2 (en) 2021-03-26 2024-02-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having first heat spreader and second heat spreader and manufacturing method thereof
US11823991B2 (en) 2021-03-26 2023-11-21 Taiwan Semiconductor Manufacturing Company, Ltd. Frames stacked on substrate encircling devices and manufacturing method thereof
US11842946B2 (en) 2021-03-26 2023-12-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package having an encapsulant comprising conductive fillers and method of manufacture
US11798897B2 (en) 2021-03-26 2023-10-24 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and methods of manufacturing the same
US11854944B2 (en) * 2021-03-26 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages and methods for forming the same
US11705384B2 (en) 2021-03-31 2023-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Through vias of semiconductor structure and method of forming thereof
US11756920B2 (en) 2021-04-09 2023-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and manufacturing method thereof
US11784228B2 (en) 2021-04-09 2023-10-10 Taiwan Semiconductor Manufacturing Company, Ltd. Process and structure for source/drain contacts
US11848372B2 (en) 2021-04-21 2023-12-19 Taiwan Semiconductor Manufacturing Co., Ltd. Method and structure for reducing source/drain contact resistance at wafer backside
US11676943B2 (en) 2021-04-23 2023-06-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and manufacturing method thereof
US11764171B2 (en) 2021-04-27 2023-09-19 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit structure and method
US11742323B2 (en) 2021-04-27 2023-08-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method of forming the same
US12009316B2 (en) 2021-04-29 2024-06-11 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method of manufacturing a semiconductor structure
US11804445B2 (en) 2021-04-29 2023-10-31 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming chip package structure
US11764118B2 (en) 2021-04-29 2023-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and formation method of chip package with protective lid
US11973005B2 (en) 2021-05-05 2024-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Coplanar control for film-type thermal interface
US11694941B2 (en) 2021-05-12 2023-07-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor die package with multi-lid structures and method for forming the same
US11984378B2 (en) 2021-05-13 2024-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package structure and method for forming the same
US11901349B2 (en) 2021-05-13 2024-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages and methods for forming the same
US11705381B2 (en) 2021-06-04 2023-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. High efficiency heat dissipation using thermal interface material film
US11594479B2 (en) 2021-06-18 2023-02-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and manufacturing method thereof
US11810847B2 (en) 2021-06-24 2023-11-07 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of fabricating the same
US11756801B2 (en) 2021-07-08 2023-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Stencil structure and method of fabricating package
US11715646B2 (en) 2021-07-16 2023-08-01 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method for forming the same
US11869822B2 (en) 2021-07-23 2024-01-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11823980B2 (en) 2021-07-29 2023-11-21 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and manufacturing method thereof
US11984422B2 (en) 2021-08-06 2024-05-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and method of forming same
US11929293B2 (en) 2021-08-19 2024-03-12 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package with lid structure
US11823981B2 (en) 2021-08-27 2023-11-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11784130B2 (en) 2021-08-27 2023-10-10 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and formation method of package with underfill
US11978722B2 (en) 2021-08-27 2024-05-07 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and formation method of package containing chip structure with inclined sidewalls
US11996345B2 (en) 2021-08-27 2024-05-28 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and manufacturing method thereof
US11854928B2 (en) 2021-08-27 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11901230B2 (en) 2021-08-30 2024-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11935871B2 (en) 2021-08-30 2024-03-19 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and method of fabricating the same
US11942451B2 (en) 2021-08-30 2024-03-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method of forming the same
US11935760B2 (en) 2021-08-30 2024-03-19 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure having thermal dissipation structure therein and manufacturing method thereof
US11676916B2 (en) 2021-08-30 2023-06-13 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and formation method of package with warpage-control element
US11594420B1 (en) 2021-08-30 2023-02-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and manufacturing method thereof
US11676826B2 (en) 2021-08-31 2023-06-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor die package with ring structure for controlling warpage of a package substrate
US11901256B2 (en) 2021-08-31 2024-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device, semiconductor package, and methods of manufacturing the same
US12007611B2 (en) 2022-08-26 2024-06-11 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure having grating coupler and manufacturing method thereof

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000208698A (ja) * 1999-01-18 2000-07-28 Toshiba Corp 半導体装置
US6323060B1 (en) 1999-05-05 2001-11-27 Dense-Pac Microsystems, Inc. Stackable flex circuit IC package and method of making same
KR100364635B1 (ko) * 2001-02-09 2002-12-16 삼성전자 주식회사 칩-레벨에 형성된 칩 선택용 패드를 포함하는 칩-레벨3차원 멀티-칩 패키지 및 그 제조 방법
TWI225279B (en) * 2002-03-11 2004-12-11 Hitachi Ltd Semiconductor device and its manufacturing method
JP2007036104A (ja) * 2005-07-29 2007-02-08 Nec Electronics Corp 半導体装置およびその製造方法
JP4828202B2 (ja) * 2005-10-20 2011-11-30 ルネサスエレクトロニクス株式会社 モジュール半導体装置
US7564124B2 (en) * 2006-08-29 2009-07-21 Fairchild Semiconductor Corporation Semiconductor die package including stacked dice and heat sink structures
JP2008140220A (ja) * 2006-12-04 2008-06-19 Nec Corp 半導体装置
US8039303B2 (en) * 2008-06-11 2011-10-18 Stats Chippac, Ltd. Method of forming stress relief layer between die and interconnect structure
US8487444B2 (en) * 2009-03-06 2013-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Three-dimensional system-in-package architecture
JP2010245383A (ja) * 2009-04-08 2010-10-28 Elpida Memory Inc 半導体装置および半導体装置の製造方法
JP5570799B2 (ja) * 2009-12-17 2014-08-13 ピーエスフォー ルクスコ エスエイアールエル 半導体装置及びその製造方法
TWI401753B (zh) * 2009-12-31 2013-07-11 Advanced Semiconductor Eng 可堆疊式封裝結構之製造方法
KR101078740B1 (ko) * 2009-12-31 2011-11-02 주식회사 하이닉스반도체 스택 패키지 및 그의 제조방법
JP2011141928A (ja) * 2010-01-07 2011-07-21 Elpida Memory Inc 半導体装置及びその制御方法
US8298863B2 (en) * 2010-04-29 2012-10-30 Texas Instruments Incorporated TCE compensation for package substrates for reduced die warpage assembly
KR20120032254A (ko) * 2010-09-28 2012-04-05 삼성전자주식회사 반도체 적층 패키지 및 이의 제조 방법
US8993377B2 (en) * 2010-09-29 2015-03-31 Stats Chippac, Ltd. Semiconductor device and method of bonding different size semiconductor die at the wafer level
US9064879B2 (en) * 2010-10-14 2015-06-23 Taiwan Semiconductor Manufacturing Company, Ltd. Packaging methods and structures using a die attach film
JP2012142536A (ja) * 2010-12-13 2012-07-26 Elpida Memory Inc 半導体装置及びその製造方法
TWI445155B (zh) * 2011-01-06 2014-07-11 Advanced Semiconductor Eng 堆疊式封裝結構及其製造方法
KR101719636B1 (ko) * 2011-01-28 2017-04-05 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US20120211886A1 (en) * 2011-02-21 2012-08-23 ISC8 Inc. Method for Fabricating a Small Footprint Chip-Scale Package and a Device Made from the Method
US8937309B2 (en) * 2011-08-08 2015-01-20 Micron Technology, Inc. Semiconductor die assemblies, semiconductor devices including same, and methods of fabrication
US20130082383A1 (en) * 2011-10-03 2013-04-04 Texas Instruments Incorporated Electronic assembly having mixed interface including tsv die
US8742591B2 (en) * 2011-12-21 2014-06-03 Stats Chippac, Ltd. Semiconductor device and method of forming insulating layer in notches around conductive TSV for stress relief
US8518796B2 (en) * 2012-01-09 2013-08-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor die connection system and method
US8686570B2 (en) * 2012-01-20 2014-04-01 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-dimensional integrated circuit structures and methods of forming the same

Also Published As

Publication number Publication date
KR20140001085A (ko) 2014-01-06
CN103515305A (zh) 2014-01-15
US20140001645A1 (en) 2014-01-02
TW201401391A (zh) 2014-01-01
KR101515275B1 (ko) 2015-04-24
US10109613B2 (en) 2018-10-23
CN103515305B (zh) 2017-05-24
US9443783B2 (en) 2016-09-13
US20170005073A1 (en) 2017-01-05

Similar Documents

Publication Publication Date Title
TWI528471B (zh) 半導體裝置及其製造方法
CN110137151B (zh) 半导体器件和制造方法
US11488842B2 (en) Method of making semiconductor device package including conformal metal cap contacting each semiconductor die
US10090284B2 (en) Semiconductor device and method of manufacture
US10964666B2 (en) Chip on package structure and method
US10269738B2 (en) Semiconductor device and method of manufacture
US10002854B2 (en) Semiconductor device and method
US10008485B2 (en) Semiconductor device and method of manufacture
TWI426542B (zh) 三維積層構造之半導體裝置及其製造方法
KR101822236B1 (ko) 반도체 디바이스 및 제조 방법
US9842788B2 (en) Underfill control structures and method
US9679839B2 (en) Chip on package structure and method
US9508703B2 (en) Stacked dies with wire bonds and method
KR20220002040A (ko) 반도체 디바이스 및 제조 방법
US9418956B2 (en) Zero stand-off bonding system and method
US20220367466A1 (en) Semiconductor Devices with System on Chip Devices
CN112542449A (zh) 半导体器件及其制造方法