CN103378153A - 用于集成有电容器的FinFET的结构和方法 - Google Patents

用于集成有电容器的FinFET的结构和方法 Download PDF

Info

Publication number
CN103378153A
CN103378153A CN2012102387397A CN201210238739A CN103378153A CN 103378153 A CN103378153 A CN 103378153A CN 2012102387397 A CN2012102387397 A CN 2012102387397A CN 201210238739 A CN201210238739 A CN 201210238739A CN 103378153 A CN103378153 A CN 103378153A
Authority
CN
China
Prior art keywords
area
conductive component
sti
parts
dielectric
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2012102387397A
Other languages
English (en)
Other versions
CN103378153B (zh
Inventor
胡嘉欣
张胜杰
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN103378153A publication Critical patent/CN103378153A/zh
Application granted granted Critical
Publication of CN103378153B publication Critical patent/CN103378153B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7853Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the body having a non-rectangular crossection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0611Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region
    • H01L27/0617Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region comprising components of the field-effect type
    • H01L27/0629Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region comprising components of the field-effect type in combination with diodes, or resistors, or capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76229Concurrent filling of a plurality of trenches having a different trench shape or dimension, e.g. rectangular and V-shaped trenches, wide and narrow trenches, shallow and deep trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76232Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials of trenches having a shape other than rectangular or V-shape, e.g. rounded corners, oblique or rounded trench walls
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate

Abstract

本公开提供用于集成有电容器的FinFET的结构和方法,其中,半导体结构的一个实施例包括:半导体衬底,具有第一区域和第二区域;浅沟槽隔离(STI)部件,形成在半导体衬底中。STI部件包括设置在第一区域中并具有第一厚度T1的第一部分和设置在第二区域中并具有大于第一深度的第二厚度T2的第二部分,STI部件的第一部分相对于STI部件的第二部分凹陷。半导体结构还包括:多个鳍式有源区,位于半导体衬底上;以及多个导电部件,设置在鳍式有源区和STI部件上,其中,一个导电部件覆盖第一区域中的STI部件的第一部分。

Description

用于集成有电容器的FinFET的结构和方法
技术领域
本发明涉及半导体领域,尤其涉及用于集成有电容器的FinFET的结构和方法。
背景技术
集成电路已经发展到具有高封装密度和较小部件尺寸(诸如45nm、32nm、28nm和20nm)的先进技术。在这些先进技术中,每个都具有多鳍结构的三维晶体管通常被期望用于增强的器件性能。然而,用于这种结构的现有方法和结构具有与器件质量和可靠性相关的多种问题和缺点。例如,在多晶硅蚀刻期间会引入多种缺陷或残留。在另一个实例中,电容器结构不容易在仍然保持在可接受范围内调整其电容的能力的同时与鳍式晶体管集成。此外,由于诸如需要附加掩膜来限定电容器的一个或多个部件的附加工艺步骤而导致制造成本更高。因此,需要集成有鳍式式晶体管和电容器的结构及其制造方法以解决以上问题。
发明内容
根据本发明的一个方面,提供了一种半导体结构,包括:半导体衬底,具有第一区域和第二区域;浅沟槽隔离(STI)部件,形成在半导体衬底中,其中,STI部件包括设置在第一区域中并具有第一厚度T1的第一部分和设置在第二区域中并具有大于第一厚度T1的第二厚度T2的第二部分,STI部件的第一部分相对于STI部件的第二部分凹陷;多个鳍式有源区,位于半导体衬底上;以及多个导电部件,设置在鳍式有源区和STI部件上,其中,一个导电部件覆盖第一区域中的STI部件的第一部分。
优选地,STI部件的第一部分相对于STI部件的第二部分凹陷,使得第一部分的顶面比第二部分的顶面低(T2-T1)。
优选地,半导体结构进一步包括多个介电部件,位于导电部件下方并使导电部件与鳍式有源区分离。
优选地,半导体结构进一步包括:电容器,设置在第一区域中,电容器包括一个鳍式有源区、一个导电部件以及使一个导电部件与一个鳍式有源区分离的一个介电部件;以及晶体管,设置在第二区域中。
优选地,电容器是去耦电容器,晶体管是场效应晶体管。
优选地,半导体结构进一步包括:第一晶体管,设置在第一区域中,第一晶体管包括第一栅叠层,第一栅叠层具有介电部件中的第一介电部件和覆盖介电部件中的第一介电部件的导电部件中的第一导电部件;以及第二晶体管,设置在第二区域中,第二晶体管包括第二栅叠层,第二栅叠层具有介电部件中的第二介电部件和覆盖介电部件中的第二介电部件的导电部件中的第二导电部件,其中,介电部件中的第一介电部件具有第一电介质厚度,介电部件中的第二介电部件具有不同于第一电介质厚度的第二电介质厚度。
优选地,多个导电部件包括:第一导电部件,设置在第一区域中并具有第一宽度W1;以及第二导电部件,设置在第二区域中并具有小于第一宽度W1的第二宽度W2。
优选地,多个介电部件包括:第一介电部件,设置在第一区域中,位于第一导电部件下方并具有第一介电材料;以及第二介电部件,设置在第二区域中,位于第二导电部件下方并具有不同于第一介电材料的第二介电材料。
优选地,第一导电部件、第一介电部件以及鳍式有源区的第一子集被配置成形成电容器;以及第二导电部件、第二介电部件以及鳍式有源区的第二子集被配置成形成鳍式场效应晶体管(FinFET)。
根据本发明的第二方面,提供了一种半导体结构,包括:半导体衬底,具有第一区域和第二区域;鳍式有源区,形成在半导体衬底上并在第一区域和第二区域中延伸;浅沟槽隔离(STI)部件,形成在半导体衬底中并与鳍式有源区相邻,其中,STI部件包括:设置在第一区域中的第一部分和设置在第二区域中的第二部分,并且STI部件的第一部分具有第一顶面,STI部件的所述第二部分具有高于第一顶面的第二顶面;第一导电部件,形成在鳍式有源区和STI部件上,其中,第一导电部件设置在第一区域中并覆盖STI部件的第一部分;以及第二导电部件,形成在鳍式有源区和STI部件上,其中,第二导电部件设置在第二区域中。
优选地,半导体结构进一步包括:第一介电部件,与第一导电部件对准并位于第一导电部件下方;以及第二介电部件,与第二导电部件对准并位于第二导电部件下方。
优选地,鳍式有源区、第一介电部件和第一导电部件被配置并耦合以形成电容器;以及鳍式有源区、第二介电部件和第二导电部件被配置并耦合以形成场效应晶体管。
优选地,第一导电部件包括第一宽度;以及第二导电部件包括小于第一宽度的第二宽度。
优选地,第一介电部件包括第一厚度;以及第二介电部件包括不同于第一厚度的第二厚度。
优选地,第一介电部件包括第一介电材料;以及第二介电部件包括不同于第一介电材料的第二介电材料。
优选地,鳍式有源区在第一方向上定向;以及第一导电部件和第二导电部件在垂直于第一方向的第二方向上定向。
根据本发明的又一方面,提供了一种方法,包括:蚀刻半导体衬底,以形成多个沟槽并限定多个鳍式有源区;用介电材料填充多个沟槽以形成浅沟槽隔离(STI)部件;使第一区域中的STI部件的第一子集凹陷第一尺寸;使第二区域中的STI部件的第二子集凹陷小于第一尺寸的第二尺寸;以及在STI部件和鳍式有源区上形成导电部件,其中,导电部件覆盖STI部件的第一子集。
优选地,使第一区域中的STI部件的所述第一子集凹陷第一尺寸;以及使第二区域中的STI部件的第二子集凹陷包括:在半导体衬底上形成图案化光刻胶层,图案化光刻胶层具有暴露第一区域的开口并覆盖第二区域;通过图案化光刻胶层的开口对第一区域中的STI部件的第一子集执行第一STI蚀刻;去除图案化光刻胶层;以及对第一区域中的STI部件的第一子集和第二区域中的STI部件的第二子集执行第二STI蚀刻,使得STI部件的第一子集相对于STI部件的第二子集凹陷。
优选地,该方法进一步包括:在执行第一STI蚀刻之后并且在执行第二STI蚀刻之前,对半导体衬底执行阱注入。
优选地,该方法进一步包括:在半导体衬底上沉积硬掩膜层;使用光刻工艺图案化硬掩膜层,其中,蚀刻半导体衬底以形成多个沟槽并限定多个鳍式有源区包括:通过硬掩膜层的开口蚀刻半导体衬底;以及在第一STI蚀刻之后并且在执行阱注入之前,去除硬掩膜层。
附图说明
当读取附图时,根据以下详细描述更好地理解本公开的多个方面。应该强调的是,根据工业中的标准实践,多种部件没有按比例绘制。事实上,为了论述的清楚,多种部件的尺寸可以任意增加或减小。
图1是一个或多个实施例中根据本公开的多个方面构造的具有鳍式式有源区和凹陷隔离部件的半导体结构的顶视图。
图2至图5是一个或多个实施例中根据本公开的多个方面构造的图1的半导体结构的截面图。
图6是一个或多个实施例中根据本公开的多个方面构造的图1的半导体结构的部分立体图。
图7是一个实施例中根据本公开的多个方面构造的图6的半导体结构的截面图。
图8是另一个实施例中根据本公开的多个方面构造的半导体结构的顶视图。
图9和图10是一个或多个其他实施例中根据本公开的多个方面构造的半导体结构的截面图。
图11是一个实施例中根据本公开的多个方面构造的图1的半导体结构的制造方法的流程图。
图12至图21是处于根据图11的方法构造的多个制造阶段的半导体结构的截面图。
具体实施方式
应该理解,以下公开提供了用于实现多种实施例的不同部件的多个不同实施例或实例。以下描述部件和布置的特定实例以简化本公开。当然,这些仅是实例并且不用于限制。另外,本公开可以在多个实例中重复参考数字和/或字母。这种重复是为了简单和清楚的目的并且其本身不表示所论述的多种实施例和/或配置之间的关系。此外,以下说明中第一部件在第二部件之上或上形成可以包括第一和第二部件直接接触形成的实施例,并且可以包括可形成插入第一和第二部件之间的附加部件,使得第一和第二部件可以不直接接触的实施例。
图1是具有鳍式有源区和凹陷隔离部件的半导体结构100的顶视图。图2至图5是半导体结构100的截面图。具体地,图2是从剖面线AA’截取的截面图,图3是从剖面线BB’截取的截面图,图4是从剖面线CC’截取的截面图,以及图5是从剖面线DD’截取的截面图。参照图1至图5描述半导体结构100。
半导体结构100包括衬底102。衬底102包括硅。可选地,衬底102包括锗、硅锗或其他合适的半导体材料。衬底102还包括多种掺杂区。在一个实施例中,衬底102包括外延半导体层。在另一个实施例中,衬底102包括通过适当技术(诸如称为注氧隔离(SIMOX)的技术)形成的用于隔离的掩埋介电材料层。
半导体衬底100包括在衬底102上形成的一个或多个鳍状有源区(鳍式有源区)104。鳍式有源区104是一种或多种半导体材料的有源区并且在衬底102的顶面之上突出。因此,鳍式有源区104是使多个表面暴露并提供将栅电极电容耦合至场效应晶体管(FET)中的相应沟道的有效方式的三维有源区。
鳍式有源区104包括硅或其他合适的半导体材料,诸如硅锗。鳍式有源区104包括与衬底102的半导体材料相同的半导体材料。在可选实施例中,鳍式有源区104包括与衬底102的半导体材料不同的半导体材料,通过诸如外延生长的合适技术形成。例如,在合适配置中,衬底102包括硅,并且鳍式有源区包括硅锗、碳化硅或两者。
半导体衬底100包括在衬底中形成的浅沟槽隔离(STI)部件106以隔离多种有源区。STI部件106包括一种或多种介电材料,诸如氧化硅、氮化硅、氮氧化硅、其他合适的介电材料或组合。STI部件的形成可以包括:在衬底中蚀刻沟槽;以及通过介电材料填充沟槽。所填充的沟槽可以具有多层结构,诸如具有填充沟槽的氮化硅的热氧化物衬里层。在一个实施例中,STI结构可以使用诸如以下的工艺序列来产生:生长焊盘氧化物,形成低压化学汽相沉积(LPCVD)氮化物层,使用光刻胶图案化STI开口并掩蔽,在衬底中蚀刻沟槽,可选地生长热氧化物沟槽衬里以改进沟槽界面,用氧化物填充沟槽,使用化学机械平面化(CMP)进行深蚀刻,以及使用氮化物蚀刻以去除氮化物层。
半导体结构100包括第一区域108和邻近第一区域的第二区域110。如图1所示,第一区域108是由虚线限定的区域。第二区域110包括图1中的其余区域。在本实施例中,第一区域108被第二区域110围绕。
具体地,第一区域108中的STI部件106(还被称为STI部件的第一部分)相对于第二区域110中的STI部件106(还被称为STI部件的第二部分)凹陷。如图2所示,第一区域108中的STI部件106具有第一厚度T1,并且第二区域110中的STI部件106具有第二厚度T2。第二厚度T2大于第一厚度T1。此外,STI部件的第一部分的顶面比STI部件的第二部分的顶面底(T2-T1)的距离。
半导体结构100包括一个或多个介电部件112和导电部件114。介电部件112设置在鳍式有源区104和STI部件106上。导电部件114设置在介电部件112上。导电部件114中的一个被配置成覆盖第一区域108并且覆盖第一区域108中的鳍式有源区104。
在图1所示的一个实施例中,鳍式有源区104被定向在第一方向(“X”方向)上,同时导电部件114被定向在第二方向(“Y”方向)上。两个方向相互垂直。
如图1所示,半导体结构100包括设置在第一区域108中的第一导电部件114。半导体结构100包括设置在第二区域110中的第二和第三导电部件114。第一区域108中的第一导电部件具有第一宽度W1,并且第二区域110中的第二(或第三)导电部件具有不同于第一宽度W1的第二宽度W2。具体地,W1大于W2。从而,第一、第二和第三介电部件是指分别位于第一、第二和第三导电部件下方的那些部件。
第一导电部件114被设计成覆盖第一区域108。在图1所示的实例中,第一区域具有沿着第一方向的尺寸D。对于全覆盖,W1大于D。而且,第一导电部件114在第一方向(X方向)上延伸到第二区域110中尺寸S 1,并且在第二方向(Y方向)上延伸到第二区域中尺寸S2,使得第一区域108被第一导电部件覆盖。
介电部件112与导电部件114对准并且使导电部件114与鳍式有源区104隔离。在一个实例中,介电部件112和导电部件114通过以下过程形成,包括:在衬底102上沉积介电层,在介电层上沉积导电层,以及使用光刻工艺和蚀刻图案化介电层和导电层以形成多种介电部件112和导电部件114。
在一个实施例中,第一导电部件、第一介电部件和鳍式有源区的部分在第一区域108中被配置和耦合以形成电容器,诸如去耦电容器。第二导电部件、第二介电部件和鳍式有源区的其他部分被配置并耦合以形成鳍式场效应晶体管(FinFET)。
在电容器中,第一导电部件、第一介电部件和鳍式有源区的部分分别用作第一电极、电容器电介质和第二电极。在场效应晶体管中,第二导电部件和第二介电部件用作栅电极和栅极电介质,共同作为栅叠层。源极和漏极部件形成在鳍式有源区的其他部分上并且插入栅叠层。
由于电容器形成在鳍式有源区中,所以其在制造方面与晶体管可兼容。另外,电容器形成在STI部件的凹陷部分中,电容器区域进一步增加,而不增加由电容器所占用的相应衬底面积。而且,当第一导电部件的宽度W1大于第二导电部件的宽度时,电容器区域甚至进一步增加用于更大范围以调整电容。
在一个实施例中,作为电容器电介质的第一介电部件包括第一介电材料,并且作为栅极电介质的第二介电部件包括第二介电材料。第一和第二介电材料相互相同或者可选地相互不同。例如,栅极电介质可以使用被调整用于晶体管性能的氧化硅、高k介电材料以及组合中的一个。电容器电介质可以使用被调整用于合适电容的氧化硅、高k介电材料和低k介电材料中的另一个。
在另一个实施例中,作为电容器电介质的第一介电部件包括第一厚度,并且作为栅极电介质的第二介电部件包括第二厚度。第一和第二电介质厚度相同或者可选地不同,以调整用于各自的器件性能。
在栅极电介质和电容器电介质具有不同成分、不同厚度或两者的情况下,它们被单独形成。在栅极电介质和电容器电介质具有相同成分和相同厚度的情况下,它们通过相同的过程同时形成。
在可选实施例中,第一导电部件、第一介电部件以及鳍式有源区的部分被配置并耦合以在第一区域108中形成第一FinFET。在这种情况下,第一导电部件和第一介电部件分别用作栅电极和栅极电介质,统称为第一栅叠层。第二导电部件、第二介电部件和鳍式有源区的其他部分被配置并耦合以形成第二FinFET。第二FinFET的栅叠层还被称为第二栅叠层。
在一个实施例中,作为用于第一FinFET的栅极电介质的第一介电部件包括第一介电材料,并且作为用于第二FinFET的栅极电介质的第二介电部件包括第二介电材料。第一和第二介电材料相互相同或者可选地相互不同。
在另一个实施例中,第一介电部件包括第一厚度,并且第二介电部件包括第二厚度。第一和第二电介质厚度相同或者可选地不同,以调整用于各自的器件性能。
在栅极电介质和电容器电介质具有相同成分和相同厚度的情况下,它们通过相同的过程同时形成。在第一介电部件和第二介电部件具有不同成分、不同厚度或者两者的情况下,它们被单独形成。此外,第一和第二FinFET可以被配置用于不同功能。在一个实例中,第一FinFET被配置为I/O器件,并且第二FinFET被配置为核心器件。在进一步的实例中,第一介电部件比第二介电部件更厚。
图6是一个实施例中根据本公开多个方面构造的半导体结构100的部分立体图。图7是沿着虚线EE’的图6的半导体结构的截面图。第一区域108中的106凹陷,并且第一区域108中的相应鳍式有源区104深入延伸至凹槽。具体地,导电部件114覆盖第一区域108中的凹槽并且延伸到第二区域以确保全覆盖。
图8是在另一个实施例中根据本公开多个方面构造的半导体结构130的顶视图。半导体结构130包括配置为阵列的多个单元100。每个单元100都包括图1的半导体结构100。在用于说明的本实例中,半导体结构130包括三列和三行,形成单元100的3X3阵列。根据一个实施例,电容器形成在半导体结构100的凹陷第一区域108中。在该实施例中,作为第一电极的导电部件连接至具有诸如高压的第一电压的第一电源线132。作为第二电极的鳍式有源区连接至具有诸如低压的第二电压的第二电源线134。通过不同布线,各自单元中的电容器可以串联、并联连接或混合连接。
图9和图10是根据多种实施例构造的半导体结构140和146的截面图。图9的半导体结构140类似于图1和图2中的相应截面图的半导体结构100。例如,半导体结构140包括形成在衬底102上的有源区104和STI部件106。衬底102包括第一区域108和第二区域110。第一区域108中的STI部件相对于第二区域110中的STI部件凹陷。介电部件142形成在衬底102上,并且导电部件114形成在介电部件142上。导电部件114覆盖第一区域108,具体地覆盖鳍式有源区之间的间隙内的凹陷STI部件。
在图9的半导体结构140中,导电部件114、介电部件142和鳍式有源区104被配置并耦合以形成FinFET(被称为FinFET 140)。在这种情况下,导电部件114和介电部件142分别用作栅电极和栅极电介质。
图10的半导体结构146类似于图1以及图2所示相应截面图的半导体结构100。例如,半导体结构146包括形成在衬底102上的有源区104和STI部件106。衬底102包括第一区域108和第二区域110。第一区域108中的STI部件相对于第二区域110中的STI部件凹陷。介电部件148形成在衬底102上,并且导电部件114形成在介电部件148上。导电部件114覆盖第一区域108。
在图10的半导体结构146中,导电部件114、介电部件142和鳍式有源区104被配置并耦合以形成另一个FinFET(被称为FinFET 146)。在这种情况下,导电部件114和介电部件148分别用作栅电极和栅极电介质。
在本实施例中,集成电路包括在相同衬底102中形成的FinFET 140和FinFET 146。然而,作为用于FinFET 140的栅极电介质的介电部件142包括第一厚度,并且作为用于FinFET 146的栅极电介质的介电部件148包括大于第一厚度的第二厚度。在一个实例中,FinFET 146被配置为I/O器件,并且FinFET 140被配置为核心器件。
图11是用于制造根据本公开实施例构造的半导体器件的方法200的流程图。半导体器件包括多鳍结构和双深隔离结构。图12至图21是处于多种制造阶段的半导体结构300的实施例的截面图。参考图12至图21共同描述半导体结构300及其制造方法200。提供半导体结构300来用于说明方法200并且其类似于图1的半导体100。因此,为了简单起见,简要描述类似部件。
参考图11和图12,方法200开始于步骤202,提供半导体衬底102。半导体衬底102包括硅。可选地,衬底102包括锗、硅锗或其他合适的半导体材料。
仍然参考图11和图12,方法200进行至步骤204,形成掩膜层301。掩膜层301是具有一种或多种合适介电材料的硬掩膜层。在优选实施例中,掩膜层301包括形成在衬底102上的氧化硅(SiO)层302和形成在氧化硅层302上的氮化硅(SiN)层304。在一个实例中,SiO层214包括范围在约5nm和约15nm之间的厚度。在另一个实例中,SiN层216包括范围在约40nm和约120nm之间的厚度。在另一个实施例中,步骤204包括:通过热氧化形成SiO层302,并且通过化学汽相沉积(CVD)形成SiN层304。例如,SiN层304通过使用包括六氯乙硅烷(HCD或Si2Cl6)、二氯甲硅烷(DCS或SiH2Cl2)、对-(叔丁胺基)硅烷(BTBAS或C8H22N2Si)和乙硅烷(DS或Si2H6)的化学物质的CVD形成。
方法200进行至步骤206,通过包括光刻图案化工艺和蚀刻工艺的过程图案化掩膜层301。在如图12所示的本实施例中,使用光刻工艺在硬掩膜层301上形成图案化的光刻胶层306,光刻工艺包括光刻胶涂布、软烘焙、曝光、曝光后烘焙(PEB)、显影和硬烘焙。
参考图13,通过蚀刻工艺经由图案化的光刻胶层306的开口蚀刻掩膜层301,形成图案化掩膜层301。此后,使用诸如湿式剥离或等离子体灰化的合适工艺去除图案化光刻胶层。在一个实例中,蚀刻工艺包括应用干(或等离子体)蚀刻,以去除图案化光刻胶层306的开口内的掩膜层301。在另一个实例中,蚀刻工艺包括应用等离子体蚀刻,以去除图案化光刻胶层306的开口内的SiN层304,并且通过氢氟酸(HF)溶液应用湿蚀刻,以去除开口内的SiO层302。在另一个实例中,蚀刻工艺包括应用等离子体蚀刻以去除开口内的SiN层304,但是SiO层302在该处理阶段可以保留。此后,图案化光刻胶层306通过诸如剥离或灰化的合适技术被去除。
图案化掩膜层301包括限定鳍式有源区104的多个开口。第一有源区104被配置用于多种器件,诸如FinFET、电容器或两者。在本实施例中,半导体结构300包括第一区域108和第二区域110。在特定实例中,电容器形成在第一区域108中,并且FinFET形成在第二区域110中。在一个实例中,FinFET包括金属氧化物半导体(MOSFET)。
仍然参考图13,方法200进行至步骤208,通过硬掩膜301的开口对衬底102执行蚀刻工艺,在衬底102中形成多种沟槽307。多种鳍式有源区104由蚀刻工艺限定。在一个实施例中,蚀刻工艺实现干蚀刻。例如,蚀刻工艺的蚀刻剂包括等离子体HBr、Cl2、SF6、O2、Ar和He。在另一个实例中,蚀刻剂包括等离子体CF4、C3F8、CHF3、CH2F2或它们的组合。
参考图11和图14,方法200进行至210,在沟槽307中形成一个或多个STI部件308。STI部件308包括在沟槽307中填充的一种或多种介电材料。在一个实施例中,STI部件308的形成包括电介质沉积和抛光。在特定实例中,STI部件308的形成包括通过诸如氧化硅、氮化硅或氮氧化硅的一种或多种介电材料填充沟槽。填充后的沟槽可以具有多层结构,诸如具有填充沟槽的氮化硅的热氧化物衬里层。在一个实施例中,填充多种STI部件包括:生长热氧化物沟槽衬里以改进沟槽界面,使用CVD技术用氧化硅或氮化硅填充沟槽,并且可选地执行热退火。在一个实例中,电介质沉积使用高密度等离子体CVD(HDPCVD)。在另一个实例中,抛光可以使用化学机械抛光(CMP)工艺,以去除硬掩膜上的过多介电材料,并且平坦化半导体结构300的顶面。
参考图11和图15,方法200进行至步骤212,使用光刻工艺在衬底102上形成另一个图案化光刻胶层310。图案化光刻胶层310限定凹陷区域。具体地,图案化光刻胶层310被图案化,以覆盖第二区域110,并且具有开口以暴露作为凹陷区域的第一区域108。
参考图11和图16,方法200进行至步骤214,使用图案化光刻胶层310作为蚀刻掩膜对STI部件308执行蚀刻工艺。蚀刻工艺使用蚀刻剂来选择性地蚀刻STI部件308。从而,第一区域108中的STI部件308被深蚀刻并且相对于第二区域110中的STI部件308凹陷。此后,去除图案化光刻胶层310。
参考图11和图17,方法200进行至步骤216,通过蚀刻工艺去除硬掩膜301。在优选实施例中,硬掩膜301被部分地去除。具体地,去除SiN层304。去除SiN层304的蚀刻工艺使用蚀刻剂,以选择性地去除氮化硅而不蚀刻氧化硅。在一个实例中,该蚀刻工艺中的蚀刻剂包括热磷酸(H3PO4)溶液。
参考图11和图18,方法200可以进行至步骤218,对衬底102执行离子注入工艺312,形成多种阱区,诸如n阱或p阱。在本实例中,氧化硅层302被用作注入阻挡层用于改进注入效果,诸如减少注入沟道效应问题。注入工艺312使用合适的注入物质和合适的剂量。例如,注入物质可以包括用于n阱的磷或用于p阱的硼。
参考图11和图19,方法200可以进行至步骤220,对STI部件308进行另一个蚀刻工艺,以在第一区域108和第二区域110中深蚀刻STI部件308。鳍式有源区104被形成并且从STI部件308突出。在步骤220之后,第一区域108中的STI部件仍然相对于第二区域110中的STI部件凹陷。该步骤中使用的蚀刻剂可以类似于在步骤214的蚀刻工艺中使用的蚀刻剂。
参考图11和图20,方法200可以进行至步骤222,在鳍式有源区104上形成介电层112。介电层112形成在鳍式有源区104的顶面和侧壁上。介电层112可以包括氧化硅、高k介电材料或它们的组合。介电层112可以通过合适的技术来形成,诸如热氧化、原子层沉积(ALD)、金属有机化学汽相沉积(MOCVD)、物理汽相沉积(PVD)或分子束外延(MBE)。在一个实例中,介电层112包括通过应用于包括硅的鳍式有源区104的热氧化所形成的氧化硅。在另一个实例中,使用高k介电材料,并且包括金属氧化物,诸如氧化锆(ZrO2)、氧化铝(Al2O3)或氧化铪(HfO2)。在又一实例中,高k介电材料通过UV-臭氧氧化形成,包括:进行溅射以形成金属膜;以及在存在超紫外线(UV)光的情况下通过O2原位氧化金属膜。在又一实例中,介电层112包括氧化硅膜和高k介电膜。当使用双电介质厚度或双介电材料时,独立地形成具有不同成分和/或不同厚度的电介质厚度。
参考图11和图21,方法200可以进行至步骤224,在介电层112上形成导电层114。导电层114包括多晶硅、金属(诸如铝、铜或钨)、硅化物、具有合适功函数(分别用于n型FET和p型FET)的其他导电材料或它们的组合。导电层114通过诸如PVD的合适技术形成。
仍然参考图11和图21,方法200可以进行至步骤226,图案化导电层114和介电层112以分别形成导电部件和介电部件。导电层114和介电层112的图案化包括一个或多个蚀刻步骤。在一个实例中,在蚀刻工艺期间,在导电层114上形成硬掩膜作为蚀刻掩膜。在另一个实例中,图案化光刻胶层被用作蚀刻掩膜,以图案化导电层114和介电层112。
具体地,导电层114被图案化,使得一个导电部件(并且还有相应的介电部件)覆盖凹陷的第一区域108。在本实例中,第一区域108中的一个导电部件延伸到第二区域110。由于凹陷第一区域108中的鳍式有源区104具有高纵横比并且很难在鳍式有源区104的间隙内完成导电层的蚀刻,所以通过导电层114全覆盖凹陷第一区域避免了对凹陷第一区域108中的导电层的直接蚀刻。
其他工艺步骤可以在方法200之前、期间或之后实现。在一个实施例中,可以实现形成源极和漏极区的另一过程,以形成一个或多个FinFET。在一个实例中,源极和漏极区包括通过多种离子注入工艺形成的轻掺杂漏极(LDD)区和重掺杂源极和漏极(S/D)部件。当半导体结构300包括n型FET(nFET)和p型FET(pFET)时,源极和漏极区使用合适掺杂物质形成,分别用于n型FET和p型FET。作为用于nFET的一个实例,LDD部件通过具有轻掺杂剂量的离子注入形成。此后,隔离结构通过电介质沉积和诸如等离子体蚀刻的各向异性蚀刻形成。然后,重掺杂S/D部件通过具有重掺杂剂量的离子注入形成。pFET的多种源极和漏极部件可以在相似过程中形成但具有相反的掺杂类型。在形成用于nFET和pFET的多种源极和漏极部件的过程的一个实施例中,nFET的LDD部件通过离子注入形成,同时pFET的区域被图案化光刻胶层覆盖;pFET的LDD部件通过离子注入形成,同时nFET的区域被图案化光刻胶层覆盖;然后,通过沉积和蚀刻形成用于nFET栅叠层和pFET栅叠层的隔离结构。nFET的S/D部件通过离子注入形成,同时pFET的区域被另一个图案化光刻胶层覆盖,并且pFET的S/D部件通过离子注入形成,同时nFET的区域被另一个图案化光刻胶层覆盖。在一个实施例中,之后进行高温退火工艺,以激活源极和漏极区中的多种掺杂物。
在另一个实施例中,层间介电(ILD)层形成在半导体衬底102上。ILD层包括氧化硅、低k介电材料、其他合适介电材料或它们的组合。ILD层通过诸如CVD的合适技术形成。例如,可以实施高密度等离子体CVD以形成ILD层。
在其他实施例中,形成多种互连部件以耦合多种器件来形成功能电路。互连部件包括诸如和通孔的垂直互连和诸如金属线的水平互连。多种互连部件可以使用包括铜、钨和硅化物的多种导电材料。在一个实例中,使用镶嵌工艺形成基于铜的多层互连结构。在另一个实施例中,使用钨在接触件孔中形成钨插塞。在另一个实例中,使用硅化物来在源极和漏极区上形成多种接触件,用于减小的接触件电阻。
在又一实施例中,pFET具有用于增强的载流子迁移率和改进的器件性能的应变结构。在进一步实施例中,硅锗(SiGe)形成在pFET的源极和漏极区中,以实现合适的应力效果。在另一个实施例中,nFET具有用于增强的载流子迁移率和改进的器件性能的应变结构。在进一步实施例中,碳化硅(SiC)形成在nFET的源极和漏极区中以实现合适的应力效果。
虽然提供了半导体结构及其制造方法的多种实施例。但是可以在不脱离本公开的范围的情况下,存在其他改变和添加。本公开可以在多种应用中使用。例如,具有FinFET和电容器的半导体结构100可以被用于形成静态随机存取存储器(SRAM)单元。在其他实例中,半导体结构100可以结合在多种集成电路中,诸如逻辑电路、动态随机存取存储器(DRAM)、闪存或成像传感器。
从而,本公开提供了半导体结构的一个实施例,包括:半导体衬底,具有第一区域和第二区域;浅沟槽隔离(STI)部件,形成在半导体衬底中。STI部件包括设置在第一区域中并具有第一厚度T1的第一部分以及设置在第二区域中并具有大于第一深度的第二厚度T2的第二区域,STI部件的第一部分相对于STI部件的第二部分凹陷。半导体结构还包括:位于半导体衬底上的多个鳍式有源区;以及设置在鳍式有源区和STI部件上的多个导电部件,其中,导电部件中的一个覆盖第一区域中的STI部件的第一部分。
在半导体结构的一个实施例中,STI部件的第一部分相对于STI部件的第二部分凹陷,使得第一部分的顶面低于第二部分的顶面(T2-T1)。
在另一个实施例中,半导体结构进一步包括位于导电部件下方并使导电部件与鳍式有源区分离的多个介电部件。
在又一实施例中,半导体结构进一步包括:电容器,设置在第一区域中;以及晶体管,设置在第二区域中。电容器包括一个鳍式有源区、一个导电部件以及使一个导电部件与一个导电部件分离的一个介电层。在一个实例中,电容器是去耦电容器,并且晶体管是场效应晶体管。
在又一实施例中,半导体结构进一步包括设置在第一区域中的第一晶体管,其中,第一晶体管包括第一栅叠层,第一栅叠层具有介电部件中的第一介电部件和位于介电部件中的第一介电部件上方的导电部件中的第一导电部件;以及第二区域中的第二晶体管,其中,第二晶体管包括第二栅叠层,第二栅叠层具有介电部件中的第二介电部件和位于介电部件中的第二介电部件上方的导电部件中的第二导电部件。介电部件中的第一介电部件具有第一厚度,并且介电部件中的第二介电部件具有不同于第一厚度的第二厚度。
在又一实施例中,多个导电部件包括:设置在第一区域中并具有第一宽度的第一导电部件;以及设置在第二区域中并具有小于第一宽度的第二宽度的第二导电部件。
在又一实施例中,多个介电部件包括:第一介电部件,设置在第一区域中、位于第一导电部件下方并具有第一介电材料;以及第二介电部件,设置在第二区域中、位于第二导电部件下方并具有不同于第一介电材料的第二介电材料。
在又一实施例中,第一导电部件、第一介电部件和鳍式有源区的第一子集被配置成形成电容器;以及第二导电部件、第二介电部件以及鳍式有源区的第二子集被配置成形成鳍式场效应晶体管(FinFET)。
本公开还提供了半导体结构的另一个实施例,包括:半导体衬底,具有第一区域和第二区域;鳍式有源区,形成在半导体衬底上并且延伸到第一和第二区域中;浅沟槽隔离(STI)部件,形成在半导体衬底中并且邻近鳍式有源区。STI部件包括设置在第一区域中的第一部分和设置在第二区域中的第二部分,并且STI部件的第一部分具有第一顶面,STI部件的第二部分具有高于第一顶面的第二顶面。半导体结构还包括形成在鳍式有源区和STI部件上的第一导电部件,其中,第一导电部件设置在第一区域中并覆盖STI部件的第一部分;以及在鳍式有源区和STI部件上形成的第二导电部件,其中,第二导电部件设置在第二区域中。
在一个实施例中,半导体结构进一步包括:第一介电部件,与第一导电部件对准并位于第一导电部件下方;以及第二介电部件,与第二导电部件对准并位于第二导电部件下方。
在另一个实施例中,鳍式有源区、第一介电部件和第一导电部件被配置并耦合以形成电容器;以及鳍式有源区、第二介电部件和第二导电部件被配置并耦合以形成场效应晶体管。
在又一实施例中,第一导电部件包括第一宽度;以及第二导电部件包括小于第一宽度的第二宽度。
在又一实施例中,第一介电部件包括第一厚度;以及第二介电部件包括不同于第一厚度的第二厚度。
在又一实施例中,第一介电部件包括第一介电材料;以及第二介电部件包括不同于第一介电材料的第二介电材料。
在又一实施例中,鳍式有源区定向在第一方向上;以及第一和第二导电部件定向在垂直于第一方向的第二方向上。
本公开还提供了方法的一个实施例,包括:蚀刻半导体衬底,以形成多个沟槽并限定多个鳍式有源区;用介电材料填充多个沟槽以形成浅沟槽隔离(STI)部件;使第一区域中的STI部件的第一子集凹陷第一尺寸;使第二区域中的STI部件的第二子集凹陷小于第一尺寸的第二尺寸;以及在STI部件和鳍式有源区上形成导电部件,其中,导电部件覆盖STI部件的第一子集。
在一个实施例中,使第一区域中的STI部件的第一子集凹陷第一尺寸;以及使第二区域中的STI部件的第二子集凹陷包括:在半导体衬底上形成图案化光刻胶层,其中,图案化光刻胶层具有使第一区域暴露的开口并且覆盖第二区域;通过图案化光刻胶层的开口,对第一区域中的STI部件的第一子集执行第一STI蚀刻;去除图案化光刻胶层;以及对第一区域中的STI部件的第一子集和第二区域中的STI部件的第二子集执行第二STI蚀刻,使得STI部件的第一子集相对于STI部件的第二子集凹陷。
在另一个实施例中,该方法进一步包括:在执行第一STI蚀刻之后并且在执行第二STI蚀刻之前,对半导体衬底执行阱注入。
在另一个实施例中,该方法进一步包括:在半导体衬底上沉积硬掩膜层;使用光刻工艺图案化硬掩膜层,其中,蚀刻半导体衬底以形成多个沟槽并限定多个鳍式有源区包括:通过硬掩膜层的开口蚀刻半导体衬底;以及在第一STI蚀刻之后和在执行阱注入之前去除硬掩膜层。
以上概述了多个实施例的特征。本领域技术人员将想到,他们可以容易地使用本公开作为用于设计或修改用于实现与在此介绍的实施例的相同目的和/或实现与其相同优点的其他工艺和结构的基础。本领域技术人员还将认识到,这样的等价结构不脱离本公开的精神和范围,并且他们可以在不脱离本公开的精神和范围的情况下在此作出多种改变、替换和更改。

Claims (10)

1.一种半导体结构,包括:
半导体衬底,具有第一区域和第二区域;
浅沟槽隔离(STI)部件,形成在所述半导体衬底中,其中,所述STI部件包括设置在所述第一区域中并具有第一厚度T1的第一部分和设置在所述第二区域中并具有大于所述第一厚度T1的第二厚度T2的第二部分,所述STI部件的所述第一部分相对于所述STI部件的所述第二部分凹陷;
多个鳍式有源区,位于所述半导体衬底上;以及
多个导电部件,设置在所述鳍式有源区和所述STI部件上,其中,一个导电部件覆盖所述第一区域中的所述STI部件的所述第一部分。
2.根据权利要求1所述的半导体结构,其中,所述STI部件的所述第一部分相对于所述STI部件的所述第二部分凹陷,使得所述第一部分的顶面比所述第二部分的顶面低(T2-T1)。
3.根据权利要求1所述的半导体结构,进一步包括:多个介电部件,位于所述导电部件下方并使所述导电部件与所述鳍式有源区分离。
4.根据权利要求3所述的半导体结构,进一步包括:
电容器,设置在所述第一区域中,所述电容器包括一个鳍式有源区、一个导电部件以及使所述一个导电部件与所述一个鳍式有源区分离的一个介电部件;以及
晶体管,设置在所述第二区域中。
5.根据权利要求4所述的半导体结构,其中,所述电容器是去耦电容器,所述晶体管是场效应晶体管。
6.根据权利要求3所述的半导体结构,进一步包括:
第一晶体管,设置在所述第一区域中,所述第一晶体管包括第一栅叠层,所述第一栅叠层具有所述介电部件中的第一介电部件和覆盖所述介电部件中的所述第一介电部件的所述导电部件中的第一导电部件;以及
第二晶体管,设置在所述第二区域中,所述第二晶体管包括第二栅叠层,所述第二栅叠层具有所述介电部件中的第二介电部件和覆盖所述介电部件中的所述第二介电部件的所述导电部件中的第二导电部件,
其中,所述介电部件中的所述第一介电部件具有第一电介质厚度,所述介电部件中的所述第二介电部件具有不同于所述第一电介质厚度的第二电介质厚度。
7.一种半导体结构,包括:
半导体衬底,具有第一区域和第二区域;
鳍式有源区,形成在所述半导体衬底上并在所述第一区域和所述第二区域中延伸;
浅沟槽隔离(STI)部件,形成在所述半导体衬底中并与所述鳍式有源区相邻,其中,所述STI部件包括:
设置在所述第一区域中的第一部分和设置在所述第二区域中的第
二部分,并且
所述STI部件的所述第一部分具有第一顶面,所述STI部件的所
述第二部分具有高于所述第一顶面的第二顶面;
第一导电部件,形成在所述鳍式有源区和所述STI部件上,其中,所述第一导电部件设置在所述第一区域中并覆盖所述STI部件的所述第一部分;以及
第二导电部件,形成在所述鳍式有源区和所述STI部件上,其中,所述第二导电部件设置在所述第二区域中。
8.根据权利要求7所述的半导体结构,进一步包括:
第一介电部件,与所述第一导电部件对准并位于所述第一导电部件下方;以及
第二介电部件,与所述第二导电部件对准并位于所述第二导电部件下方。
9.一种方法,包括:
蚀刻半导体衬底,以形成多个沟槽并限定多个鳍式有源区;
用介电材料填充所述多个沟槽以形成浅沟槽隔离(STI)部件;
使第一区域中的所述STI部件的第一子集凹陷第一尺寸;
使第二区域中的所述STI部件的第二子集凹陷小于所述第一尺寸的第二尺寸;以及
在所述STI部件和所述鳍式有源区上形成导电部件,其中,所述导电部件覆盖所述STI部件的所述第一子集。
10.根据权利要求9所述的方法,其中,使所述第一区域中的所述STI部件的所述第一子集凹陷所述第一尺寸;以及使所述第二区域中的所述STI部件的所述第二子集凹陷包括:
在所述半导体衬底上形成图案化光刻胶层,所述图案化光刻胶层具有暴露所述第一区域的开口并覆盖所述第二区域;
通过所述图案化光刻胶层的开口对所述第一区域中的STI部件的所述第一子集执行第一STI蚀刻;
去除所述图案化光刻胶层;以及
对所述第一区域中的所述STI部件的所述第一子集和所述第二区域中的所述STI部件的所述第二子集执行第二STI蚀刻,使得所述STI部件的所述第一子集相对于所述STI部件的所述第二子集凹陷。
CN201210238739.7A 2012-04-11 2012-07-10 用于集成有电容器的FinFET的结构和方法 Active CN103378153B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/444,623 2012-04-11
US13/444,623 US8860148B2 (en) 2012-04-11 2012-04-11 Structure and method for FinFET integrated with capacitor

Publications (2)

Publication Number Publication Date
CN103378153A true CN103378153A (zh) 2013-10-30
CN103378153B CN103378153B (zh) 2016-06-08

Family

ID=49324308

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201210238739.7A Active CN103378153B (zh) 2012-04-11 2012-07-10 用于集成有电容器的FinFET的结构和方法

Country Status (4)

Country Link
US (3) US8860148B2 (zh)
KR (1) KR101435712B1 (zh)
CN (1) CN103378153B (zh)
SG (2) SG194272A1 (zh)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106910705A (zh) * 2015-12-22 2017-06-30 中芯国际集成电路制造(北京)有限公司 具有浅沟槽隔离结构的器件及其制造方法
CN107492542A (zh) * 2016-06-10 2017-12-19 台湾积体电路制造股份有限公司 半导体组件的制造方法
CN107683528A (zh) * 2015-05-08 2018-02-09 思睿逻辑国际半导体有限公司 由诸如finfet的薄垂直半导体结构形成的高密度电容器
CN108122753A (zh) * 2016-11-29 2018-06-05 台湾积体电路制造股份有限公司 半导体装置的形成方法
CN108122911A (zh) * 2016-11-28 2018-06-05 台湾积体电路制造股份有限公司 半导体元件
CN108807380A (zh) * 2017-04-28 2018-11-13 台湾积体电路制造股份有限公司 半导体结构和形成集成电路结构的方法
CN109390338A (zh) * 2017-08-08 2019-02-26 联华电子股份有限公司 互补式金属氧化物半导体元件及其制作方法
CN117491835A (zh) * 2023-12-29 2024-02-02 苏州元脑智能科技有限公司 测量方法、装置、系统、晶体管、集成电路、介质及设备

Families Citing this family (553)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102760735B (zh) * 2011-06-21 2015-06-17 钰创科技股份有限公司 动态记忆体结构
US8860148B2 (en) 2012-04-11 2014-10-14 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET integrated with capacitor
US9142548B2 (en) 2012-09-04 2015-09-22 Qualcomm Incorporated FinFET compatible capacitor circuit
US9941271B2 (en) * 2013-10-04 2018-04-10 Avago Technologies General Ip (Singapore) Pte. Ltd. Fin-shaped field effect transistor and capacitor structures
US20150137201A1 (en) * 2013-11-20 2015-05-21 Qualcomm Incorporated High density linear capacitor
KR102066000B1 (ko) * 2013-12-11 2020-01-14 삼성전자주식회사 반도체 소자의 제조하는 방법
US9773696B2 (en) 2014-01-24 2017-09-26 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
US9391141B2 (en) * 2014-02-24 2016-07-12 Imec Vzw Method for producing fin structures of a semiconductor device in a substrate
US9401357B2 (en) * 2014-02-28 2016-07-26 Qualcomm Incorporated Directional FinFET capacitor structures
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
US9443769B2 (en) 2014-04-21 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Wrap-around contact
CN105097701B (zh) * 2014-04-25 2017-11-03 中芯国际集成电路制造(上海)有限公司 静态存储单元的形成方法
US10177133B2 (en) 2014-05-16 2019-01-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including source/drain contact having height below gate stack
US9966471B2 (en) 2014-06-27 2018-05-08 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked Gate-All-Around FinFET and method forming the same
US9608116B2 (en) 2014-06-27 2017-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. FINFETs with wrap-around silicide and method forming the same
US9793269B2 (en) 2014-08-07 2017-10-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US9614088B2 (en) 2014-08-20 2017-04-04 Taiwan Semiconductor Manufacturing Company Ltd. Metal gate structure and manufacturing method thereof
US9324619B2 (en) * 2014-08-25 2016-04-26 Samsung Electronics Co., Ltd. Semiconductor device and method of fabricating the same
US9437484B2 (en) 2014-10-17 2016-09-06 Taiwan Semiconductor Manufacturing Company, Ltd. Etch stop layer in integrated circuits
US9734276B2 (en) 2014-10-22 2017-08-15 Samsung Electronics Co., Ltd. Integrated circuit and method of designing layout of the same
US9508858B2 (en) 2014-11-18 2016-11-29 Taiwan Semiconductor Manufacturing Company, Ltd. Contacts for highly scaled transistors
US9466494B2 (en) 2014-11-18 2016-10-11 Taiwan Semiconductor Manufacturing Company, Ltd. Selective growth for high-aspect ration metal fill
US9478536B2 (en) 2014-12-09 2016-10-25 Samsung Electronics Co., Ltd. Semiconductor device including fin capacitors
US9613850B2 (en) 2014-12-19 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Lithographic technique for feature cut by line-end shrink
US9412817B2 (en) 2014-12-19 2016-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Silicide regions in vertical gate all around (VGAA) devices and methods of forming same
US9876114B2 (en) 2014-12-30 2018-01-23 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for 3D FinFET metal gate
US9673112B2 (en) 2015-02-13 2017-06-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method of semiconductor fabrication with height control through active region profile
US9502502B2 (en) 2015-03-16 2016-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US9698048B2 (en) 2015-03-27 2017-07-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating semiconductor device
US9768261B2 (en) 2015-04-17 2017-09-19 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method of forming the same
GB2539774B (en) * 2015-05-08 2019-10-30 Cirrus Logic Int Semiconductor Ltd High density capacitors formed from thin vertical semiconductor structures such as FinFETs
US9576796B2 (en) 2015-05-15 2017-02-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US9741829B2 (en) 2015-05-15 2017-08-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9761683B2 (en) 2015-05-15 2017-09-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10062779B2 (en) 2015-05-22 2018-08-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
KR102449901B1 (ko) 2015-06-23 2022-09-30 삼성전자주식회사 집적회로 소자 및 그 제조 방법
US9685368B2 (en) 2015-06-26 2017-06-20 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure having an etch stop layer over conductive lines
US10403744B2 (en) 2015-06-29 2019-09-03 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices comprising 2D-materials and methods of manufacture thereof
US11424399B2 (en) 2015-07-07 2022-08-23 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated thermoelectric devices in Fin FET technology
US9455251B1 (en) * 2015-07-15 2016-09-27 International Business Machines Corporation Decoupling capacitor using finFET topology
JP2017027982A (ja) * 2015-07-16 2017-02-02 ルネサスエレクトロニクス株式会社 撮像装置およびその製造方法
US9418886B1 (en) 2015-07-24 2016-08-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming conductive features
US9536980B1 (en) 2015-07-28 2017-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Gate spacers and methods of forming same
US9564363B1 (en) 2015-08-19 2017-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming butted contact
US9698100B2 (en) 2015-08-19 2017-07-04 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for interconnection
US9721887B2 (en) 2015-08-19 2017-08-01 Taiwan Semiconductor Manufacturing Company, Ltd Method of forming metal interconnection
US9831090B2 (en) 2015-08-19 2017-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for semiconductor device having gate spacer protection layer
US9576980B1 (en) 2015-08-20 2017-02-21 International Business Machines Corporation FinFET devices having gate dielectric structures with different thicknesses on same semiconductor structure
US9728402B2 (en) 2015-08-21 2017-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Flowable films and methods of forming flowable films
US9786602B2 (en) 2015-08-21 2017-10-10 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnection structure and methods of fabrication the same
US9490136B1 (en) 2015-08-31 2016-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming trench cut
US9472620B1 (en) * 2015-09-04 2016-10-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including fin structures and manufacturing method thereof
US9373618B1 (en) * 2015-09-04 2016-06-21 International Business Machines Corporation Integrated FinFET capacitor
US9613856B1 (en) 2015-09-18 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming metal interconnection
US9972529B2 (en) 2015-09-28 2018-05-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming metal interconnection
US10163797B2 (en) 2015-10-09 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Forming interlayer dielectric material by spin-on metal oxide deposition
US9735052B2 (en) 2015-10-12 2017-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Metal lines for interconnect structure and method of manufacturing same
US9711533B2 (en) 2015-10-16 2017-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices having different source/drain proximities for input/output devices and non-input/output devices and the method of fabrication thereof
US9659864B2 (en) 2015-10-20 2017-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for forming self-aligned via with selectively deposited etching stop layer
KR102323943B1 (ko) 2015-10-21 2021-11-08 삼성전자주식회사 반도체 장치 제조 방법
US9647116B1 (en) 2015-10-28 2017-05-09 Taiwan Semiconductor Manufacturing Co., Ltd. Method for fabricating self-aligned contact in a semiconductor device
US9818690B2 (en) 2015-10-30 2017-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned interconnection structure and method
US9627531B1 (en) 2015-10-30 2017-04-18 Taiwan Semiconductor Manufacturing Company, Ltd. Field-effect transistor with dual vertical gates
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US10164051B2 (en) 2015-11-16 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US9633999B1 (en) 2015-11-16 2017-04-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for semiconductor mid-end-of-line (MEOL) process
US9899387B2 (en) 2015-11-16 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US10340348B2 (en) 2015-11-30 2019-07-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing finFETs with self-align contacts
US9773879B2 (en) 2015-11-30 2017-09-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
US9954081B2 (en) 2015-12-15 2018-04-24 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor, semiconductor device and fabricating method thereof
US9873943B2 (en) 2015-12-15 2018-01-23 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for spatial atomic layer deposition
US10163719B2 (en) 2015-12-15 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming self-alignment contact
US9728501B2 (en) 2015-12-21 2017-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming trenches
US9887128B2 (en) 2015-12-29 2018-02-06 Taiwan Semiconductor Manufacturing Co., Ltd. Method and structure for interconnection
DE102016116026B4 (de) 2015-12-29 2024-02-01 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleitervorrichtung und Herstellungsverfahren
US10163704B2 (en) 2015-12-29 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
US9899269B2 (en) 2015-12-30 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd Multi-gate device and method of fabrication thereof
US9614086B1 (en) 2015-12-30 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Conformal source and drain contacts for multi-gate field effect transistors
US11088030B2 (en) 2015-12-30 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
US10115796B2 (en) 2016-01-07 2018-10-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method of pulling-back sidewall metal layer
US10811262B2 (en) 2016-01-14 2020-10-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having a uniform and thin silicide layer on an epitaxial source/ drain structure and manufacturing method thereof
US9881872B2 (en) 2016-01-15 2018-01-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method for fabricating a local interconnect in a semiconductor device
US9722081B1 (en) 2016-01-29 2017-08-01 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device and method of forming the same
US10727094B2 (en) 2016-01-29 2020-07-28 Taiwan Semiconductor Manufacturing Co., Ltd Thermal reflector device for semiconductor fabrication tool
US10283605B2 (en) 2016-01-29 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd Self-aligned metal gate etch back process and device
US10163912B2 (en) 2016-01-29 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method for semiconductor device fabrication with improved source drain proximity
US9812451B2 (en) 2016-02-03 2017-11-07 Taiwan Semiconductor Manufacturing Company, Ltd Field effect transistor contact with reduced contact resistance
US9847330B2 (en) 2016-02-05 2017-12-19 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor and method for fabricating the same
US9768170B2 (en) 2016-02-05 2017-09-19 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor and method for fabricating the same
US10535558B2 (en) 2016-02-09 2020-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming trenches
US9543161B1 (en) 2016-02-10 2017-01-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method of planarizating film
US9947756B2 (en) 2016-02-18 2018-04-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US9754822B1 (en) 2016-03-02 2017-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure and method
US9570556B1 (en) 2016-03-03 2017-02-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9755019B1 (en) 2016-03-03 2017-09-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10056407B2 (en) 2016-03-04 2018-08-21 Taiwan Semiconductor Manufacturing Co., Ltd Semiconductor device and a method for fabricating the same
US9711402B1 (en) 2016-03-08 2017-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming contact metal
US10109627B2 (en) 2016-03-08 2018-10-23 Taiwan Semiconductor Manufacturing Co., Ltd. Enlarging spacer thickness by forming a dielectric layer over a recessed interlayer dielectric
US9911611B2 (en) 2016-03-17 2018-03-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming openings in a material layer
US9779984B1 (en) 2016-03-25 2017-10-03 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming trenches with different depths
DE102016114724B4 (de) 2016-03-25 2021-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. Verfahren zum Ausbilden von Gräben mit unterschiedlichen Tiefen und Vorrichtung
US9548366B1 (en) 2016-04-04 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. Self aligned contact scheme
US9847477B2 (en) 2016-04-12 2017-12-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a bottom electrode of a magnetoresistive random access memory cell
US9805951B1 (en) 2016-04-15 2017-10-31 Taiwan Semiconductor Manufacturing Co., Ltd. Method of integration process for metal CMP
US10475847B2 (en) 2016-04-28 2019-11-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having stress-neutralized film stack and method of fabricating same
US9893062B2 (en) 2016-04-28 2018-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
US9941348B2 (en) * 2016-04-29 2018-04-10 Globalfoundries Inc. Method of forming a capacitor structure and capacitor structure
US9899266B2 (en) 2016-05-02 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET structures and methods of forming the same
US10304936B2 (en) 2016-05-04 2019-05-28 International Business Machines Corporation Protection of high-K dielectric during reliability anneal on nanosheet structures
US11127629B2 (en) 2016-05-17 2021-09-21 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and fabricating method thereof
US9917085B2 (en) 2016-05-31 2018-03-13 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate isolation structure and method forming same
US10276662B2 (en) 2016-05-31 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming contact trench
US9941386B2 (en) 2016-06-01 2018-04-10 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with fin structure and method for forming the same
US10109467B2 (en) 2016-06-01 2018-10-23 Taiwan Semiconductor Manufacturing Co., Ltd. Advanced exhaust system
US9627258B1 (en) 2016-06-15 2017-04-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming a contact
US10164032B2 (en) 2016-06-17 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned contact and manufacturing method thereof
US10515822B2 (en) 2016-06-20 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for preventing bottom layer wrinkling in a semiconductor device
US10008414B2 (en) 2016-06-28 2018-06-26 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for widening Fin widths for small pitch FinFET devices
US10685873B2 (en) 2016-06-29 2020-06-16 Taiwan Semiconductor Manufacturing Co., Ltd. Etch stop layer for semiconductor devices
US9859302B1 (en) 2016-06-29 2018-01-02 International Business Machines Corporation Fin-type field-effect transistor
US9985097B2 (en) 2016-06-30 2018-05-29 International Business Machines Corporation Integrated capacitors with nanosheet transistors
WO2018004672A1 (en) * 2016-07-01 2018-01-04 Intel Corporation Thin film resistor with reduced capacitance
US9768064B1 (en) 2016-07-14 2017-09-19 Taiwan Semiconductor Manufacturing Co., Ltd. Formation method of semiconductor device structure
US9640540B1 (en) 2016-07-19 2017-05-02 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and method for an SRAM circuit
US9721805B1 (en) 2016-07-29 2017-08-01 Taiwan Semiconductor Manufacturing Co., Ltd. Formation method of semiconductor device structure
US10121873B2 (en) 2016-07-29 2018-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate and contact plug design and method forming same
US10199500B2 (en) 2016-08-02 2019-02-05 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-layer film device and method
US9991205B2 (en) 2016-08-03 2018-06-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US9929271B2 (en) 2016-08-03 2018-03-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10164111B2 (en) 2016-08-03 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and methods of manufacture
US10043886B2 (en) 2016-08-03 2018-08-07 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate formation through etch back process
US10510850B2 (en) 2016-08-03 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10522536B2 (en) 2016-08-03 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with gate stacks
US9997524B2 (en) 2016-08-24 2018-06-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor memory device and manufacturing method thereof
US10269926B2 (en) 2016-08-24 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Purging deposition tools to reduce oxygen and moisture in wafers
US9865697B1 (en) 2016-08-25 2018-01-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US9812358B1 (en) 2016-09-14 2017-11-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET structures and methods of forming the same
US9653480B1 (en) 2016-09-22 2017-05-16 International Business Machines Corporation Nanosheet capacitor
US10784378B2 (en) 2016-09-30 2020-09-22 Intel Corporation Ultra-scaled fin pitch having dual gate dielectrics
US9865589B1 (en) 2016-10-31 2018-01-09 Taiwan Semiconductor Manufacturing Co., Ltd. System and method of fabricating ESD FinFET with improved metal landing in the drain
US10700181B2 (en) 2016-11-28 2020-06-30 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (finFET) device structure and method for forming the same
US10049930B2 (en) 2016-11-28 2018-08-14 Taiwan Semiconductor Manufacturing Co., Ltd. Memory device and operation method thereof
US10043665B2 (en) 2016-11-28 2018-08-07 Taiwan Semiconductor Manufacturing Co., Ltd. Formation method of semiconductor device structure with semiconductor nanowire
US10326003B2 (en) 2016-11-28 2019-06-18 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and methods of forming
US10510598B2 (en) 2016-11-29 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned spacers and method forming same
US10290546B2 (en) 2016-11-29 2019-05-14 Taiwan Semiconductor Manufacturing Co., Ltd. Threshold voltage adjustment for a gate-all-around semiconductor structure
US10510851B2 (en) 2016-11-29 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Low resistance contact method and structure
US9985134B1 (en) 2016-11-29 2018-05-29 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods of forming FinFETs
US9837539B1 (en) 2016-11-29 2017-12-05 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of forming
US10008497B2 (en) 2016-11-29 2018-06-26 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US9881834B1 (en) 2016-11-29 2018-01-30 Taiwan Semiconductor Manufacturing Company, Ltd. Contact openings and methods forming same
US10553720B2 (en) 2016-11-29 2020-02-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method of removing an etch mask
US10269906B2 (en) 2016-11-30 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having two spacers
US10008416B2 (en) 2016-11-30 2018-06-26 Taiwan Semiconductor Manufacturing Co., Ltd. Forming a protective layer to prevent formation of leakage paths
US10707316B2 (en) 2016-12-09 2020-07-07 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure with gate structure
US10453741B2 (en) 2016-12-13 2019-10-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor device contact
US10522642B2 (en) 2016-12-14 2019-12-31 Taiwan Semiconductor Manufacturing Co. Ltd. Semiconductor device with air-spacer
DE102017113681A1 (de) 2016-12-14 2018-06-14 Taiwan Semiconductor Manufacturing Co. Ltd. Halbleiter-bauelement mit luft-abstandshalter
US10157781B2 (en) 2016-12-14 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor structure using polishing process
US9865595B1 (en) 2016-12-14 2018-01-09 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device with epitaxial structures that wrap around the fins and the method of fabricating the same
US10037912B2 (en) 2016-12-14 2018-07-31 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacturing the same
US11476349B2 (en) 2016-12-15 2022-10-18 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET structures and methods of forming the same
US10651171B2 (en) 2016-12-15 2020-05-12 Taiwan Semiconductor Manufacturing Co. Ltd. Integrated circuit with a gate structure and method making the same
US10879370B2 (en) 2016-12-15 2020-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Etching back and selective deposition of metal gate
US10269646B2 (en) 2016-12-15 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US9972571B1 (en) 2016-12-15 2018-05-15 Taiwan Semiconductor Manufacturing Co., Ltd. Logic cell structure and method
US10497811B2 (en) 2016-12-15 2019-12-03 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET structures and methods of forming the same
US10079289B2 (en) 2016-12-22 2018-09-18 Taiwan Semiconductor Manufacturing Co., Ltd. Metal gate structure and methods thereof
US10121675B2 (en) 2016-12-29 2018-11-06 Taiwan Semiconductor Manufacturing Co., Ltd Semiconductor device and a method for fabricating the same
US10164106B2 (en) 2016-12-29 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
US10325911B2 (en) 2016-12-30 2019-06-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10516030B2 (en) 2017-01-09 2019-12-24 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs and methods forming same
US9985023B1 (en) 2017-02-21 2018-05-29 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure
US9859364B1 (en) 2017-03-03 2018-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10950605B2 (en) 2017-03-24 2021-03-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device
US10304945B2 (en) 2017-03-24 2019-05-28 Taiwan Semiconductor Manufacturing Co., Ltd. High-speed semiconductor device and method for forming the same
US10964690B2 (en) * 2017-03-31 2021-03-30 Intel Corporation Resistor between gates in self-aligned gate edge architecture
US10355095B2 (en) 2017-03-31 2019-07-16 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET structure with composite gate helmet
US10090325B1 (en) 2017-03-31 2018-10-02 Taiwan Semiconductor Manufacturing Co., Ltd. Circuit cells having separated gate electrodes
KR102330087B1 (ko) 2017-04-03 2021-11-22 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US10056473B1 (en) 2017-04-07 2018-08-21 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10153198B2 (en) 2017-04-07 2018-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. Low-resistance contact plugs and method forming same
US10312332B2 (en) 2017-04-18 2019-06-04 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of forming the same
US10269621B2 (en) 2017-04-18 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs and methods forming same
US10186456B2 (en) 2017-04-20 2019-01-22 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for forming contact plugs with reduced corrosion
US10062784B1 (en) 2017-04-20 2018-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned gate hard mask and method forming same
US10872980B2 (en) 2017-04-25 2020-12-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10522643B2 (en) 2017-04-26 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Device and method for tuning threshold voltage by implementing different work function metals in different segments of a gate
US10522417B2 (en) 2017-04-27 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device with different liners for PFET and NFET and method of fabricating thereof
US10332786B2 (en) 2017-04-27 2019-06-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manufacturing a semiconductor device
US10157997B2 (en) 2017-04-27 2018-12-18 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods of forming the same
DE102017122702B4 (de) 2017-04-28 2023-11-09 Taiwan Semiconductor Manufacturing Co. Ltd. Struktur und Verfahren für FinFET-Vorrichtung mit asymmetrischem Kontakt
JP6885779B2 (ja) * 2017-04-28 2021-06-16 ルネサスエレクトロニクス株式会社 半導体装置
US10141225B2 (en) 2017-04-28 2018-11-27 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gates of transistors having reduced resistivity
US10157785B2 (en) 2017-05-01 2018-12-18 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10332965B2 (en) 2017-05-08 2019-06-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of fabricating the same
US10050149B1 (en) 2017-05-18 2018-08-14 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structure for semiconductor device
US10269636B2 (en) 2017-05-26 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of fabricating the same
US10522392B2 (en) 2017-05-31 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of fabricating the same
US10163621B1 (en) 2017-05-31 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method and structure for FinFET devices
US9991268B1 (en) 2017-06-08 2018-06-05 Taiwan Semiconductor Manufacturing Co., Ltd. SRAM cell structure
US10283414B2 (en) 2017-06-20 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Isolation manufacturing method for semiconductor structures
US11334703B2 (en) 2017-06-29 2022-05-17 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit layouts with fill feature shapes
DE102018104944A1 (de) 2017-06-30 2019-01-03 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleiter-Bauelement mit einer Auskleidungsschicht mit einem konfigurierten Profil und Verfahren zu dessen Herstellung
US10720358B2 (en) 2017-06-30 2020-07-21 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having a liner layer with a configured profile and method of fabricating thereof
US10468529B2 (en) 2017-07-11 2019-11-05 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure with etch stop layer
US10157988B1 (en) 2017-07-18 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure with dual spacers and method for forming the same
US10290635B2 (en) 2017-07-26 2019-05-14 Taiwan Semiconductor Manufacturing Co., Ltd. Buried interconnect conductor
US10283623B2 (en) 2017-07-27 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuits with gate stacks
DE102017126027B4 (de) 2017-07-31 2022-02-24 Taiwan Semiconductor Manufacturing Co., Ltd. Metallgatestruktur und Verfahren
US10685884B2 (en) 2017-07-31 2020-06-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including a Fin-FET and method of manufacturing the same
US10283503B2 (en) 2017-07-31 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Metal gate structure and methods thereof
US10269624B2 (en) 2017-07-31 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs and methods of forming same
US10510875B2 (en) 2017-07-31 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Source and drain structure with reduced contact resistance and enhanced mobility
US10515850B2 (en) 2017-08-25 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method and IC design with non-linear power rails
US10403714B2 (en) 2017-08-29 2019-09-03 Taiwan Semiconductor Manufacturing Co., Ltd. Fill fins for semiconductor devices
US10685880B2 (en) 2017-08-30 2020-06-16 Taiwan Semiconductor Manufacturing Co., Ltd. Methods for reducing contact depth variation in semiconductor fabrication
US10535654B2 (en) 2017-08-30 2020-01-14 Taiwan Semiconductor Manufacturing Co., Ltd. Cut metal gate with slanted sidewalls
US10446555B2 (en) 2017-08-31 2019-10-15 Taiwan Semiconductor Manufacturing Company, Ltd. Buried metal track and methods forming same
US10515896B2 (en) 2017-08-31 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structure for semiconductor device and methods of fabrication thereof
US10535525B2 (en) 2017-08-31 2020-01-14 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor device structure
US10276720B2 (en) 2017-08-31 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming fin field effect transistor (FINFET) device structure
US10453753B2 (en) 2017-08-31 2019-10-22 Taiwan Semiconductor Manufacturing Co., Ltd. Using a metal-containing layer as an etching stop layer and to pattern source/drain regions of a FinFET
US10164053B1 (en) 2017-08-31 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10475654B2 (en) 2017-08-31 2019-11-12 Taiwan Semiconductor Manufacturing Company, Ltd. Wrap-around contact plug and method manufacturing same
US10374058B2 (en) 2017-09-15 2019-08-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method for manufacturing the same
US10700177B2 (en) 2017-09-27 2020-06-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with low resistivity contact structure and method for forming the same
US10868181B2 (en) 2017-09-27 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with blocking layer and method for forming the same
US10686074B2 (en) 2017-09-28 2020-06-16 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure with doped region in source/drain structure and method for forming the same
US10074558B1 (en) 2017-09-28 2018-09-11 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET structure with controlled air gaps
US10636673B2 (en) 2017-09-28 2020-04-28 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor device structure
US10515687B2 (en) 2017-09-28 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Strap cell design for static random access memory (SRAM) array
US10276697B1 (en) 2017-10-27 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Negative capacitance FET with improved reliability performance
US10522557B2 (en) 2017-10-30 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Surface topography by forming spacer-like components
US10217815B1 (en) 2017-10-30 2019-02-26 Taiwan Semiconductor Manufacturing Co., Ltd Integrated circuit device with source/drain barrier
US10403551B2 (en) 2017-11-08 2019-09-03 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain features with an etch stop layer
US10872762B2 (en) 2017-11-08 2020-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming silicon oxide layer and semiconductor structure
US10439135B2 (en) 2017-11-09 2019-10-08 Taiwan Semiconductor Manufacturing Co., Ltd. VIA structure and methods of forming the same
US10367078B2 (en) 2017-11-09 2019-07-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and FinFET devices having shielding layers
US10680084B2 (en) 2017-11-10 2020-06-09 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial structures for fin-like field effect transistors
US10629708B2 (en) 2017-11-14 2020-04-21 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with barrier layer and method for forming the same
US10727178B2 (en) 2017-11-14 2020-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Via structure and methods thereof
US10283624B1 (en) 2017-11-14 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and method for forming the same
US10515809B2 (en) 2017-11-15 2019-12-24 Taiwan Semiconductor Manufacturing Company, Ltd. Selective high-K formation in gate-last process
US10468530B2 (en) 2017-11-15 2019-11-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with source/drain multi-layer structure and method for forming the same
US10468527B2 (en) 2017-11-15 2019-11-05 Taiwan Semiconductor Manufacturing Co., Ltd. Metal gate structure and methods of fabricating thereof
US10366915B2 (en) 2017-11-15 2019-07-30 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET devices with embedded air gaps and the fabrication thereof
US10396184B2 (en) 2017-11-15 2019-08-27 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit device fins
US10170322B1 (en) 2017-11-16 2019-01-01 Taiwan Semiconductor Manufacturing Co., Ltd. Atomic layer deposition based process for contact barrier layer
US10658508B2 (en) 2017-11-17 2020-05-19 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with low resistance contact
US10629693B2 (en) 2017-11-17 2020-04-21 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with barrier layer and method for forming the same
US10978351B2 (en) 2017-11-17 2021-04-13 Taiwan Semiconductor Manufacturing Co., Ltd. Etch stop layer between substrate and isolation structure
US10727117B2 (en) 2017-11-20 2020-07-28 Taiwan Semiconductor Manufacturing Company Ltd. Method for manufacturing semiconductor structure
US11037924B2 (en) 2017-11-21 2021-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming source/drain contacts
US10418453B2 (en) 2017-11-22 2019-09-17 Taiwan Semiconductor Manufacturing Co., Ltd. Forming metal contacts on metal gates
US10867986B2 (en) 2017-11-24 2020-12-15 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device having fin structure
US10840376B2 (en) 2017-11-29 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structure and method with enhanced gate contact and threshold voltage
US10164048B1 (en) 2017-11-29 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming source/drain contacts
US10460994B2 (en) 2017-11-30 2019-10-29 Taiwan Semiconductor Manufacturing Co., Ltd. Residue-free metal gate cutting for fin-like field effect transistor
US10177038B1 (en) 2017-11-30 2019-01-08 Taiwan Semiconductor Manufacturing Co., Ltd. Prevention of contact bottom void in semiconductor fabrication
US10847413B2 (en) 2017-11-30 2020-11-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming contact plugs for semiconductor device
US10861745B2 (en) 2017-11-30 2020-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US10319581B1 (en) 2017-11-30 2019-06-11 Taiwan Semiconductor Manufacturing Co., Ltd. Cut metal gate process for reducing transistor spacing
US11011618B2 (en) 2017-11-30 2021-05-18 Taiwan Semiconductor Manufacturing Co., Ltd. Circuit devices with gate seals
US10510894B2 (en) 2017-11-30 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Isolation structure having different distances to adjacent FinFET devices
US10366982B2 (en) 2017-11-30 2019-07-30 Taiwan Semiconductor Manufacturing Co., Ltd. Structure with embedded memory device and contact isolation scheme
US10756114B2 (en) 2017-12-28 2020-08-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor circuit with metal structure and manufacturing method
US10608094B2 (en) 2018-01-23 2020-03-31 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of forming the same
US10867851B2 (en) 2018-02-26 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure and semiconductor device and method of forming the same
US10461078B2 (en) 2018-02-26 2019-10-29 Taiwan Semiconductor Manufacturing Co., Ltd. Creating devices with multiple threshold voltage by cut-metal-gate process
US10290535B1 (en) 2018-03-22 2019-05-14 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit fabrication with a passivation agent
US10867844B2 (en) 2018-03-28 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Wet cleaning with tunable metal recess for VIA plugs
US10854615B2 (en) 2018-03-30 2020-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET having non-merging epitaxially grown source/drains
US10629492B2 (en) 2018-04-27 2020-04-21 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structure having a dielectric gate and methods thereof
US10699943B2 (en) 2018-04-30 2020-06-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming contacts in a semiconductor device
US10867848B2 (en) 2018-04-30 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10685966B2 (en) 2018-05-16 2020-06-16 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuits with contacting gate structures
US10529860B2 (en) 2018-05-31 2020-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and method for FinFET device with contact over dielectric gate
US10504775B1 (en) 2018-05-31 2019-12-10 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of forming metal layer structures in semiconductor devices
US10529414B2 (en) 2018-05-31 2020-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. SRAM cell having SiGe PMOS fin lines
US11107902B2 (en) 2018-06-25 2021-08-31 Taiwan Semiconductor Manufacturing Company, Ltd. Dielectric spacer to prevent contacting shorting
US10950434B2 (en) 2018-06-27 2021-03-16 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of reducing gate spacer loss during semiconductor manufacturing
US10665506B2 (en) 2018-06-27 2020-05-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with reduced via bridging risk
US10840153B2 (en) 2018-06-27 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Notched gate structure fabrication
US11302535B2 (en) 2018-06-27 2022-04-12 Taiwan Semiconductor Manufacturing Co., Ltd. Performing annealing process to improve fin quality of a FinFET semiconductor
US10388771B1 (en) 2018-06-28 2019-08-20 Taiwan Semiconductor Manufacturing Co., Ltd. Method and device for forming cut-metal-gate feature
US10790352B2 (en) 2018-06-28 2020-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. High density capacitor implemented using FinFET
US11694933B2 (en) 2018-06-28 2023-07-04 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of forming metal gate spacer
US11410890B2 (en) 2018-06-28 2022-08-09 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial layers in source/drain contacts and methods of forming the same
US10665673B2 (en) 2018-06-28 2020-05-26 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit structure with non-gated well tap cell
US11081403B2 (en) 2018-06-29 2021-08-03 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of forming contact features in field-effect transistors
US10468500B1 (en) 2018-06-29 2019-11-05 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET fabrication methods
US11081356B2 (en) 2018-06-29 2021-08-03 Taiwan Semiconductor Manufacturing Co., Ltd. Method for metal gate cut and structure thereof
US10755917B2 (en) 2018-06-29 2020-08-25 Taiwan Semiconductor Manufacturing Company, Ltd. Treatment for adhesion improvement
US11018053B2 (en) 2018-06-29 2021-05-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with material modification and low resistance plug
US11244898B2 (en) 2018-06-29 2022-02-08 Taiwan Semiconductor Manufacturing Co., Ltd Integrated circuit interconnect structures with air gaps
US10867805B2 (en) 2018-06-29 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Selective removal of an etching stop layer for improving overlay shift tolerance
US11315933B2 (en) 2018-06-29 2022-04-26 Taiwan Semiconductor Manufacturing Co., Ltd. SRAM structure and method for forming the same
US10868128B2 (en) 2018-06-29 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Ohmic contact structure, semiconductor device including an ohmic contact structure, and method for forming the same
TWI776911B (zh) 2018-07-02 2022-09-11 聯華電子股份有限公司 半導體元件及其製作方法
US10541175B1 (en) 2018-07-13 2020-01-21 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with fin structures
US11127631B2 (en) 2018-07-13 2021-09-21 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with contact structures
US10755945B2 (en) 2018-07-16 2020-08-25 Taiwan Semiconductor Manufacturing Co., Ltd. Metal contacts on metal gates and methods thereof
US10854503B2 (en) 2018-07-16 2020-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with air gap and method sealing the air gap
US11171053B2 (en) 2018-07-27 2021-11-09 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor device and related methods
US10734474B2 (en) 2018-07-30 2020-08-04 Taiwan Semiconductor Manufacturing Co., Ltd. Metal-insulator-metal structure and methods of fabrication thereof
US10840189B2 (en) 2018-07-30 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit devices having raised via contacts and methods of fabricating the same
US11217479B2 (en) 2018-07-31 2022-01-04 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple metallization scheme
US11031300B2 (en) 2018-07-31 2021-06-08 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method for manufacturing the same
US10714342B2 (en) * 2018-07-31 2020-07-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of forming the same
US10658237B2 (en) 2018-07-31 2020-05-19 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices
US10868184B2 (en) 2018-07-31 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure with hard mask layer over gate structure and method for forming the same
US11038059B2 (en) 2018-07-31 2021-06-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of forming the same
US11069692B2 (en) 2018-07-31 2021-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET SRAM cells with dielectric fins
US11081395B2 (en) 2018-07-31 2021-08-03 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field effect transistor having air gap and method for manufacturing the same
US11121129B2 (en) 2018-07-31 2021-09-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device
US10868182B2 (en) 2018-07-31 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Field effect transistor and manufacturing method thereof
US10886226B2 (en) 2018-07-31 2021-01-05 Taiwan Semiconductor Manufacturing Co, Ltd. Conductive contact having staircase barrier layers
CN110828376A (zh) * 2018-08-09 2020-02-21 中芯国际集成电路制造(天津)有限公司 一种半导体器件的形成方法
US10693004B2 (en) 2018-08-14 2020-06-23 Taiwan Semiconductor Manufactruing Co., Ltd. Via structure with low resistivity and method for forming the same
US10797161B2 (en) 2018-08-14 2020-10-06 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manufacturing semiconductor structure using selective forming process
US10679856B2 (en) 2018-08-14 2020-06-09 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure with insulating structure over fin isolation structure and method for forming the same
US10840342B2 (en) 2018-08-14 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of forming source/drain contacts in field-effect transistors
DE102019120821A1 (de) 2018-08-15 2020-02-20 Taiwan Semiconductor Manufacturing Co., Ltd. Struktur und prozess einer integrierten schaltung mit einer latch-up-unterdrückung
US11062963B2 (en) 2018-08-15 2021-07-13 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and process of integrated circuit having latch-up suppression
US10868020B2 (en) 2018-08-29 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Well strap structures and methods of forming the same
US11018011B2 (en) 2018-08-29 2021-05-25 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of forming contact features in semiconductor devices
US11043425B2 (en) 2018-08-31 2021-06-22 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of reducing parasitic capacitance in semiconductor devices
US11222951B2 (en) 2018-08-31 2022-01-11 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial source/drain structure and method
US10868118B2 (en) 2018-08-31 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of forming epitaxial source/drain features in semiconductor devices
US10930564B2 (en) 2018-08-31 2021-02-23 Taiwan Semiconductor Manufacturing Co., Ltd. Metal gate structure cutting process
US10861928B2 (en) 2018-09-18 2020-12-08 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuits with capacitors
US11101385B2 (en) 2018-09-19 2021-08-24 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure with air gap and method for forming the same
US10998241B2 (en) 2018-09-19 2021-05-04 Taiwan Semiconductor Manufacturing Co., Ltd. Selective dual silicide formation using a maskless fabrication process flow
US11437385B2 (en) 2018-09-24 2022-09-06 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET SRAM cells with reduced fin pitch
US10923393B2 (en) 2018-09-24 2021-02-16 Taiwan Semiconductor Manufacturing Co., Ltd. Contacts and interconnect structures in field-effect transistors
US11217585B2 (en) 2018-09-25 2022-01-04 Taiwan Semiconductor Manufacturing Co., Ltd. Forming dielectric dummy fins with different heights in different regions of a semiconductor device
US10872891B2 (en) 2018-09-25 2020-12-22 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuits with gate cut features
US11508827B2 (en) 2018-09-26 2022-11-22 Taiwan Semiconductor Manufacturing Co., Ltd. Air spacer for a gate structure of a transistor
US11210447B2 (en) 2018-09-26 2021-12-28 Taiwan Semiconductor Manufacturing Co., Ltd. Reconfiguring layout and sizing for transistor components to simultaneously optimize logic devices and non-logic devices
US11563167B2 (en) 2018-09-26 2023-01-24 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and method for an MRAM device with a multi-layer top electrode
US11411090B2 (en) 2018-09-27 2022-08-09 Taiwan Semiconductor Manufacturing Co., Ltd. Contact structures for gate-all-around devices and methods of forming the same
US11374126B2 (en) 2018-09-27 2022-06-28 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET structure with fin top hard mask and method of forming the same
US11011636B2 (en) 2018-09-27 2021-05-18 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure with hard mask layer over gate structure and method for forming the same
US10964816B2 (en) 2018-09-27 2021-03-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method and device for boosting performance of FinFETs via strained spacer
US11004740B2 (en) 2018-09-27 2021-05-11 Taiwan Semicondctor Manufacturing Co., Ltd. Structure and method for interconnection with self-alignment
US11171209B2 (en) 2018-09-27 2021-11-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US11031397B2 (en) * 2018-09-27 2021-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-gate device integration with separated Fin-like field effect transistor cells and gate-all-around transistor cells
US11349008B2 (en) 2018-09-27 2022-05-31 Taiwan Semiconductor Manufacturing Co., Ltd. Negative capacitance transistor having a multilayer ferroelectric structure or a ferroelectric layer with a gradient doping profile
US10840133B2 (en) 2018-09-27 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with staggered selective growth
US11205714B2 (en) 2018-09-28 2021-12-21 Taiwan Semiconductor Manufacturing Co., Ltd. Dummy structure at fin cut
US11257671B2 (en) 2018-09-28 2022-02-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system of control of epitaxial growth
US11069793B2 (en) 2018-09-28 2021-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Reducing parasitic capacitance for gate-all-around device by forming extra inner spacers
US11107925B2 (en) 2018-09-28 2021-08-31 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of forming contact features in field-effect transistors
US10672665B2 (en) 2018-09-28 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor device structure and method for forming the same
US10923474B2 (en) 2018-09-28 2021-02-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure having gate-all-around devices
US11222958B2 (en) 2018-09-28 2022-01-11 Taiwan Semiconductor Manufacturing Co., Ltd. Negative capacitance transistor with external ferroelectric structure
US10971605B2 (en) 2018-10-22 2021-04-06 Taiwan Semiconductor Manufacturing Co., Ltd. Dummy dielectric fin design for parasitic capacitance reduction
US11139203B2 (en) 2018-10-22 2021-10-05 Taiwan Semiconductor Manufacturing Co., Ltd. Using mask layers to facilitate the formation of self-aligned contacts and vias
US10847373B2 (en) 2018-10-23 2020-11-24 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of forming silicide contact in field-effect transistors
US11380682B2 (en) 2018-10-23 2022-07-05 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuits with FinFET gate structures
US10825721B2 (en) 2018-10-23 2020-11-03 Taiwan Semiconductor Manufacturing Co., Ltd. Insulating cap on contact structure and method for forming the same
US10868018B2 (en) 2018-10-25 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. SRAM structure and connection
US10937876B2 (en) 2018-10-26 2021-03-02 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain feature to contact interfaces
US10950729B2 (en) 2018-10-26 2021-03-16 Taiwan Semiconductor Manufacturing Co., Ltd. Contact structure with insulating cap
US10985022B2 (en) 2018-10-26 2021-04-20 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structures having interfacial layers
US10943983B2 (en) 2018-10-29 2021-03-09 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuits having protruding interconnect conductors
US10916550B2 (en) 2018-10-30 2021-02-09 Taiwan Semiconductor Manufacturing Co., Ltd. Memory devices with gate all around transistors
US11145544B2 (en) 2018-10-30 2021-10-12 Taiwan Semiconductor Manufacturing Co., Ltd. Contact etchback in room temperature ionic liquid
US11043558B2 (en) 2018-10-31 2021-06-22 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain metal contact and formation thereof
US10944009B2 (en) 2018-10-31 2021-03-09 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of fabricating a FinFET device with wrap-around silicide source/drain structure
US10998238B2 (en) 2018-10-31 2021-05-04 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuits with buried interconnect conductors
US10971408B2 (en) 2018-10-31 2021-04-06 Taiwan Semiconductor Manufacturing Co., Ltd. Contact air gap formation and structures thereof
US10867842B2 (en) 2018-10-31 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for shrinking openings in forming integrated circuits
US10692775B2 (en) 2018-11-09 2020-06-23 Applied Materials, Inc. Fin damage reduction during punch through implantation of FinFET device
US10686033B2 (en) * 2018-11-09 2020-06-16 Applied Materials, Inc. Fin damage reduction during punch through implantation of FinFET device
US10923598B2 (en) 2018-11-27 2021-02-16 Taiwan Semiconductor Manufacturing Co., Ltd. Gate-all-around structure and methods of forming the same
US11476196B2 (en) 2018-11-27 2022-10-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with multi-layer dielectric
US11195951B2 (en) 2018-11-27 2021-12-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with self-aligned wavy contact profile and method of forming the same
US11264268B2 (en) 2018-11-29 2022-03-01 Taiwan Semiconductor Mtaiwananufacturing Co., Ltd. FinFET circuit devices with well isolation
US11271094B2 (en) 2018-11-29 2022-03-08 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method of manufacturing the same
US10879400B2 (en) 2018-12-24 2020-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Field effect transistor and method of manufacturing the same
US10868000B2 (en) 2019-01-25 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with epitaxial structure and method for forming the same
US10777455B2 (en) 2019-01-29 2020-09-15 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-etching process for forming via opening in semiconductor device structure
US11823896B2 (en) 2019-02-22 2023-11-21 Taiwan Semiconductor Manufacturing Co., Ltd. Conductive structure formed by cyclic chemical vapor deposition
US10868171B2 (en) 2019-02-26 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with gate dielectric layer and method for forming the same
US11469109B2 (en) 2019-03-14 2022-10-11 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure having metal contact features and method for forming the same
US10872810B2 (en) 2019-03-14 2020-12-22 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor device structure and method for forming the same
US10978354B2 (en) 2019-03-15 2021-04-13 Taiwan Semiconductor Manufacturing Co., Ltd. Selective dual silicide formation
US11043594B2 (en) 2019-03-26 2021-06-22 Taiwan Semiconductor Manufacturing Co., Ltd. Low parasitic resistance contact structure
US10727224B1 (en) 2019-04-10 2020-07-28 Nxp Usa, Inc. Decoupling capacitors using regularity finFET structures and methods for making same
US10971630B2 (en) 2019-04-24 2021-04-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure having both gate-all-around devices and planar devices
US11121234B2 (en) 2019-04-24 2021-09-14 Taiwan Semiconductor Manufacturing Co., Ltd. Stacked gate spacers
US11232943B2 (en) 2019-04-24 2022-01-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method and structure for semiconductor interconnect
US11031336B2 (en) 2019-04-25 2021-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor memory device having contact element of rectangular shape
US11094695B2 (en) 2019-05-17 2021-08-17 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit device and method of forming the same
US11183580B2 (en) 2019-05-30 2021-11-23 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with metal gate stack
US10818768B1 (en) 2019-05-30 2020-10-27 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming metal cap layers to improve performance of semiconductor structure
US11276684B2 (en) * 2019-05-31 2022-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Recessed composite capacitor
US10755964B1 (en) 2019-05-31 2020-08-25 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain isolation structure and methods thereof
US11004748B2 (en) * 2019-06-05 2021-05-11 Globalfoundries U.S. Inc. Semiconductor devices with wide gate-to-gate spacing
US11342229B2 (en) 2019-06-13 2022-05-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming a semiconductor device structure having an electrical connection structure
US11043595B2 (en) 2019-06-14 2021-06-22 Taiwan Semiconductor Manufacturing Co., Ltd. Cut metal gate in memory macro edge and middle strap
US10872821B1 (en) 2019-06-24 2020-12-22 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
US11245071B2 (en) 2019-06-25 2022-02-08 Taiwan Semiconductor Manufacturing Company, Ltd. Memory cell, method of forming the same, and semiconductor device having the same
US11515197B2 (en) 2019-07-11 2022-11-29 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and method of forming the semiconductor device
US11152486B2 (en) 2019-07-15 2021-10-19 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET semiconductor device having source/drain contact(s) separated by airgap spacer(s) from the gate stack(s) to reduce parasitic capacitance
US11476166B2 (en) 2019-07-30 2022-10-18 Taiwan Semiconductor Manufacturing Co., Ltd. Nano-sheet-based complementary metal-oxide-semiconductor devices with asymmetric inner spacers
US11532550B2 (en) 2019-07-31 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure having a multi-layer conductive feature and method making the same
US11145660B2 (en) 2019-07-31 2021-10-12 Taiwan Semiconductor Manufacturing Co., Ltd. Dual-port SRAM cell structure
US11152488B2 (en) 2019-08-21 2021-10-19 Taiwan Semiconductor Manufacturing Co., Ltd. Gate-all-around structure with dummy pattern top in channel region and methods of forming the same
US11127639B2 (en) 2019-08-22 2021-09-21 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with fin structures
US20210057273A1 (en) 2019-08-22 2021-02-25 Taiwan Semiconductor Manufacturing Co., Ltd. Barrier-Less Structures
US11069811B2 (en) 2019-08-22 2021-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US11189531B2 (en) 2019-08-23 2021-11-30 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistor device and method
US11710667B2 (en) 2019-08-27 2023-07-25 Taiwan Semiconductor Manufacturing Co., Ltd. Gate-all-around device with trimmed channel and dipoled dielectric layer and methods of forming the same
US11195934B2 (en) 2019-08-29 2021-12-07 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and method for bi-layer self-aligned contact
US11158721B2 (en) 2019-08-30 2021-10-26 Taiwan Semiconductor Manufacturing Co., Ltd. Metal oxide interlayer structure for nFET and pFET
US10937652B1 (en) 2019-09-16 2021-03-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method and structure of cut end with self-aligned double patterning
US10937884B1 (en) 2019-09-16 2021-03-02 Taiwan Semiconductor Manufacturing Co., Ltd. Gate spacer with air gap for semiconductor device structure and method for forming the same
US11282920B2 (en) 2019-09-16 2022-03-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with air gap on gate structure and method for forming the same
US10867863B1 (en) 2019-09-16 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US11227950B2 (en) 2019-09-16 2022-01-18 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of forming air spacers in semiconductor devices
US11239114B2 (en) 2019-09-16 2022-02-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with reduced contact resistance and methods of forming the same
US11227828B2 (en) 2019-09-16 2022-01-18 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US11335592B2 (en) 2019-09-17 2022-05-17 Taiwan Semiconductor Manufacturing Co., Ltd. Contact resistance between via and conductive line
US11362212B2 (en) 2019-09-17 2022-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Contact interface engineering for reducing contact resistance
US11315785B2 (en) 2019-09-17 2022-04-26 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial blocking layer for multi-gate devices and fabrication methods thereof
US11342231B2 (en) 2019-09-17 2022-05-24 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit device with low threshold voltage
US11177344B2 (en) 2019-09-25 2021-11-16 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-gate device with air gap spacer and fabrication methods thereof
US11508822B2 (en) 2019-09-25 2022-11-22 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain via having reduced resistance
US11342222B2 (en) 2019-09-26 2022-05-24 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned scheme for semiconductor device and method of forming the same
US11387146B2 (en) 2019-09-26 2022-07-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with air gaps between metal gates and method of forming the same
US11621224B2 (en) 2019-09-26 2023-04-04 Taiwan Semiconductor Manufacturing Co. Ltd. Contact features and methods of fabricating the same in semiconductor devices
US11145765B2 (en) 2019-09-26 2021-10-12 Taiwan Semiconductor Manufacturing Co., Ltd. Gate-all-around structure with self substrate isolation and methods of forming the same
US11239121B2 (en) 2019-09-26 2022-02-01 Taiwan Semiconductor Manufacturing Co., Ltd. Metal gate contacts and methods of forming the same
US11282935B2 (en) 2019-09-26 2022-03-22 Taiwan Semiconductor Manufacturing Co., Ltd. Gate-all-around device with protective dielectric layer and method of forming the same
US11508624B2 (en) 2019-09-26 2022-11-22 Taiwan Semiconductor Manufacturing Co., Ltd. Gate-all-around device with different channel semiconductor materials and method of forming the same
US11211116B2 (en) 2019-09-27 2021-12-28 Taiwan Semiconductor Manufacturing Co., Ltd. Embedded SRAM write assist circuit
US11271083B2 (en) 2019-09-27 2022-03-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device, FinFET device and methods of forming the same
US11443980B2 (en) 2019-09-27 2022-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating semiconductor device with metal pad extending into top metal layer
US11328990B2 (en) 2019-09-27 2022-05-10 Taiwan Semiconductor Manufacturing Co., Ltd. Via structure having a metal hump for low interface resistance
US11581226B2 (en) 2019-09-27 2023-02-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with tunable epitaxy structures and method of forming the same
US11587927B2 (en) 2019-09-27 2023-02-21 Taiwan Semiconductor Manufacturing Co., Ltd. Crown bulk for FinFET device
US11296084B2 (en) 2019-09-29 2022-04-05 Taiwan Semiconductor Manufacturing Company, Ltd. Deposition method, semiconductor device and method of fabricating the same
US11264393B2 (en) 2019-09-30 2022-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain contact having a protruding segment
US11289417B2 (en) 2019-09-30 2022-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and methods of forming the same
US11158539B2 (en) 2019-10-01 2021-10-26 Taiwan Semiconductor Manufacturing Co., Ltd. Method and structure for barrier-less plug
US11189708B2 (en) 2019-10-17 2021-11-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with gate stack and method for forming the same
US11201229B2 (en) 2019-10-18 2021-12-14 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with metal gate stack
US11037925B2 (en) 2019-10-18 2021-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and method of integrated circuit having decouple capacitance
US11251305B2 (en) 2019-10-25 2022-02-15 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor device structure and method for forming the same
US11322495B2 (en) 2019-10-28 2022-05-03 Taiwan Semiconductor Manufacturing Company, Ltd. Complementary metal-oxide-semiconductor device and method of manufacturing the same
US11233134B2 (en) 2019-12-19 2022-01-25 Taiwan Semiconductor Manufacturing Co., Ltd. Field effect transistors with dual silicide contact structures
US11508623B2 (en) 2019-12-31 2022-11-22 Taiwan Semiconductor Manufacturing Co., Ltd. Local gate height tuning by CMP and dummy gate design
US11302692B2 (en) 2020-01-16 2022-04-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices having gate dielectric layers of varying thicknesses and methods of forming the same
US11476365B2 (en) 2020-01-16 2022-10-18 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor device structure and method for forming the same
US11495491B2 (en) 2020-01-16 2022-11-08 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with stacked conductive structures
US11355615B2 (en) 2020-01-17 2022-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET having fluorine-doped gate sidewall spacers
US11244899B2 (en) 2020-01-17 2022-02-08 Taiwan Semiconductor Manufacturing Co., Ltd. Butted contacts and methods of fabricating the same in semiconductor devices
US11302784B2 (en) 2020-01-17 2022-04-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having contact feature and method of fabricating the same
US11201106B2 (en) 2020-01-24 2021-12-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with conductors embedded in a substrate
US11177383B2 (en) 2020-02-10 2021-11-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US11189706B2 (en) 2020-02-11 2021-11-30 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET structure with airgap and method of forming the same
US11830948B2 (en) 2020-02-19 2023-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US11201085B2 (en) 2020-02-25 2021-12-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure having air gap and method for forming the same
US11133230B2 (en) 2020-02-26 2021-09-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with dual isolation liner and method of forming the same
US11373947B2 (en) 2020-02-26 2022-06-28 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming interconnect structures of semiconductor device
US11211256B2 (en) 2020-02-26 2021-12-28 Taiwan Semiconductor Manufacturing Co., Ltd Method with CMP for metal ion prevention
US11715781B2 (en) 2020-02-26 2023-08-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices with improved capacitors
US11152475B2 (en) 2020-02-27 2021-10-19 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming source/drain contacts utilizing an inhibitor
US11374128B2 (en) 2020-02-27 2022-06-28 Taiwan Semiconductor Manufacturing Co., Ltd. Method and structure for air gap inner spacer in gate-all-around devices
US11515216B2 (en) 2020-02-27 2022-11-29 Taiwan Semiconductor Manufacturing Co., Ltd. Dual silicide structure and methods thereof
TW202145443A (zh) 2020-02-27 2021-12-01 台灣積體電路製造股份有限公司 半導體裝置的形成方法
US11545432B2 (en) 2020-02-27 2023-01-03 Taiwan Semiconductor Manufacturing Co., Ltd Semiconductor device with source and drain vias having different sizes
US11404570B2 (en) 2020-02-27 2022-08-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices with embedded ferroelectric field effect transistors
TW202139270A (zh) 2020-02-27 2021-10-16 台灣積體電路製造股份有限公司 半導體裝置的形成方法
US11515211B2 (en) 2020-02-27 2022-11-29 Taiwan Semiconductor Manufacturing Co., Ltd. Cut EPI process and structures
CN113113359A (zh) 2020-02-27 2021-07-13 台湾积体电路制造股份有限公司 半导体装置的制造方法
US11450659B2 (en) 2020-03-12 2022-09-20 International Business Machines Corporation On-chip decoupling capacitor
US11588038B2 (en) 2020-03-30 2023-02-21 Taiwan Semiconductor Manufacturing Co., Ltd. Circuit structure with gate configuration
US11374105B2 (en) 2020-03-31 2022-06-28 Taiwan Semiconductor Manufacturing Co., Ltd. Nanosheet device with dipole dielectric layer and methods of forming the same
US11302796B2 (en) 2020-04-01 2022-04-12 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming self-aligned source/drain metal contacts
US11309398B2 (en) 2020-04-01 2022-04-19 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and manufacturing method for the semiconductor device
US11296202B2 (en) 2020-04-01 2022-04-05 Taiwan Semiconductor Manufacturing Co., Ltd. Memory chip structure having GAA transistors with different threshold voltages and work functions for improving performances in multiple applications
US11158632B1 (en) 2020-04-01 2021-10-26 Taiwan Semiconductor Manufacturing Co., Ltd Fin-based strap cell structure for improving memory performance
US11450602B2 (en) 2020-04-01 2022-09-20 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid method for forming semiconductor interconnect structure
US11251073B2 (en) 2020-04-01 2022-02-15 Taiwan Semiconductor Manufacturing Co. Selective deposition of barrier layer
US11177212B2 (en) 2020-04-13 2021-11-16 Taiwan Semiconductor Manufacturing Co., Ltd. Contact formation method and related structure
US11342501B2 (en) 2020-04-17 2022-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Memory cell, method of forming the same, and semiconductor device having the same
US11342413B2 (en) 2020-04-24 2022-05-24 Taiwan Semiconductor Manufacturing Co., Ltd. Selective liner on backside via and method thereof
US11121138B1 (en) 2020-04-24 2021-09-14 Taiwan Semiconductor Manufacturing Co., Ltd. Low resistance pickup cells for SRAM
US11764220B2 (en) 2020-04-27 2023-09-19 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device by patterning a serpentine cut pattern
US11450660B2 (en) 2020-04-27 2022-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of fabricating the same
US11257712B2 (en) 2020-05-13 2022-02-22 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain contact formation methods and devices
US11670692B2 (en) 2020-05-13 2023-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Gate-all-around devices having self-aligned capping between channel and backside power rail
US11631745B2 (en) 2020-05-15 2023-04-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with uneven gate profile
US11769821B2 (en) 2020-05-15 2023-09-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having a corner spacer
US11929329B2 (en) 2020-05-28 2024-03-12 Taiwan Semiconductor Manufacturing Company, Ltd. Damascene process using cap layer
US11410876B2 (en) 2020-05-28 2022-08-09 Taiwan Semiconductor Manufacturing Co., Ltd Semiconductor device with air gaps and method of fabrication thereof
DE102020131611A1 (de) 2020-05-28 2021-12-02 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleitervorrichtung mit luftspalten und verfahren zu deren herstellung
US11302798B2 (en) 2020-05-29 2022-04-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices with air gate spacer and air gate cap
US11699742B2 (en) 2020-05-29 2023-07-11 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with varying numbers of channel layers and method of fabrication thereof
US11527539B2 (en) 2020-05-29 2022-12-13 Taiwan Semiconductor Manufacturing Co., Ltd. Four-poly-pitch SRAM cell with backside metal tracks
US11637126B2 (en) 2020-05-29 2023-04-25 Taiwan Semiconductor Manufacturing Company, Ltd. Memory device and method of forming the same
US11443987B2 (en) 2020-05-29 2022-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices with backside air gap dielectric
US11527533B2 (en) 2020-05-29 2022-12-13 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET pitch scaling
US11361994B2 (en) 2020-06-08 2022-06-14 Taiwan Semiconductor Manufacturing Co., Ltd. Fully self-aligned interconnect structure
US11398550B2 (en) 2020-06-15 2022-07-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with facet S/D feature and methods of forming the same
US11282943B2 (en) 2020-06-15 2022-03-22 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-gate devices and fabricating the same with etch rate modulation
US11631736B2 (en) 2020-06-15 2023-04-18 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial source/drain feature with enlarged lower section interfacing with backside via
US11367621B2 (en) 2020-06-15 2022-06-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US11637099B2 (en) 2020-06-15 2023-04-25 Taiwan Semiconductor Manufacturing Co., Ltd. Forming ESD devices using multi-gate compatible processes
US11316023B2 (en) 2020-06-15 2022-04-26 Taiwan Semiconductor Manufacturing Co., Ltd. Dumbbell shaped self-aligned capping layer over source/drain contacts and method thereof
US20210391470A1 (en) 2020-06-15 2021-12-16 Taiwan Semiconductor Manufacturing Company, Ltd. Layered structure, semiconductor device including the same, and manufacturing method thereof
US11444025B2 (en) 2020-06-18 2022-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Transistor and fabrication method thereof
US20210399013A1 (en) 2020-06-18 2021-12-23 Taiwan Semiconductor Manufacturing Company, Ltd. Memory device and method of forming the same
US11145734B1 (en) 2020-06-29 2021-10-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with dummy fin and liner and method of forming the same
US11233005B1 (en) 2020-07-10 2022-01-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manufacturing an anchor-shaped backside via
US11664278B2 (en) 2020-07-22 2023-05-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with L-shape conductive feature and methods of forming the same
US11276643B2 (en) 2020-07-22 2022-03-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with backside spacer and methods of forming the same
US11532718B2 (en) 2020-07-30 2022-12-20 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET having a gate dielectric comprising a multi-layer structure including an oxide layer with different thicknesses on side and top surfaces of the fins
US11456211B2 (en) 2020-07-30 2022-09-27 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming interconnect structure
US11862701B2 (en) 2020-07-31 2024-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Stacked multi-gate structure and methods of fabricating the same
US11489057B2 (en) 2020-08-07 2022-11-01 Taiwan Semiconductor Manufacturing Co., Ltd. Contact structures in semiconductor devices
US11302816B2 (en) 2020-08-11 2022-04-12 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method for forming the same
US11935941B2 (en) 2020-08-14 2024-03-19 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and method for manufacturing thereof
US11374088B2 (en) 2020-08-14 2022-06-28 Taiwan Semiconductor Manufacturing Co., Ltd. Leakage reduction in gate-all-around devices
US11482594B2 (en) 2020-08-27 2022-10-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices with backside power rail and method thereof
US11404321B2 (en) 2020-08-31 2022-08-02 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method of manufacturing the same
US11349002B2 (en) 2020-09-25 2022-05-31 Taiwan Semiconductor Manufacturing Company, Ltd. Isolation structure for for isolating epitaxially grown source/drain regions and method of fabrication thereof
US11658119B2 (en) 2020-10-27 2023-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Backside signal interconnection
US11735470B2 (en) 2020-11-13 2023-08-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming semiconductor device structure with source/drain contact
US11482451B2 (en) 2020-11-20 2022-10-25 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structures
US11658216B2 (en) 2021-01-14 2023-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for metal gate boundary isolation
US11670681B2 (en) 2021-01-14 2023-06-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming fully strained channels
US11538927B2 (en) 2021-01-28 2022-12-27 Taiwan Semiconductor Manufacturing Company, Ltd. Nanostructures and method for manufacturing the same
US11621197B2 (en) 2021-02-15 2023-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with gate cut feature and method for forming the same
US11538858B2 (en) 2021-03-05 2022-12-27 Taiwan Semiconductor Manufacturing Company, Ltd. Memory device, method of forming the same, and memory array
US11876119B2 (en) 2021-03-05 2024-01-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with gate isolation features and fabrication method of the same
US11482518B2 (en) 2021-03-26 2022-10-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structures having wells with protruding sections for pickup cells
US11605558B2 (en) 2021-03-26 2023-03-14 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit interconnect structure having discontinuous barrier layer and air gap
US11749729B2 (en) 2021-03-31 2023-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device, integrated circuit component and manufacturing methods thereof
US11901228B2 (en) 2021-03-31 2024-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned scheme for semiconductor device and method of forming the same
US11646346B2 (en) 2021-04-08 2023-05-09 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure with air spacer for semiconductor device and method for forming the same
US11784228B2 (en) 2021-04-09 2023-10-10 Taiwan Semiconductor Manufacturing Company, Ltd. Process and structure for source/drain contacts
US11710664B2 (en) 2021-04-15 2023-07-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure with backside via contact and a protection liner layer
US11848372B2 (en) 2021-04-21 2023-12-19 Taiwan Semiconductor Manufacturing Co., Ltd. Method and structure for reducing source/drain contact resistance at wafer backside
US11908701B2 (en) 2021-04-22 2024-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning method and manufacturing method of semiconductor device
US11737287B2 (en) 2021-04-23 2023-08-22 Taiwan Semiconductor Manufacturing Company, Ltd. Memory device, method of forming the same, and semiconductor device having the same
US11792977B2 (en) 2021-05-13 2023-10-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor memory structure
US11810919B2 (en) 2021-06-17 2023-11-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure with conductive via structure and method for forming the same
US11957070B2 (en) 2021-08-06 2024-04-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device, memory cell and method of forming the same

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7166514B2 (en) * 2004-02-02 2007-01-23 Samsung Electronics Co., Ltd. Semiconductor device and method of manufacturing the same
US20080029821A1 (en) * 2004-07-12 2008-02-07 Nec Corporation Semiconductor Device and Method for Production Thereof
CN102044469A (zh) * 2009-10-14 2011-05-04 台湾积体电路制造股份有限公司 集成电路结构及其形成方法
CN102074582A (zh) * 2009-11-20 2011-05-25 台湾积体电路制造股份有限公司 集成电路结构及其形成方法
CN102088036A (zh) * 2009-12-03 2011-06-08 台湾积体电路制造股份有限公司 集成电路结构

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100576361B1 (ko) * 2004-03-23 2006-05-03 삼성전자주식회사 3차원 시모스 전계효과 트랜지스터 및 그것을 제조하는 방법
EP2073267A1 (en) * 2007-12-19 2009-06-24 INTERUNIVERSITAIR MICROELEKTRONICA CENTRUM vzw (IMEC) Method of fabricating multi-gate semiconductor devices and devices obtained
US7687862B2 (en) * 2008-05-13 2010-03-30 Infineon Technologies Ag Semiconductor devices with active regions of different heights
US8980719B2 (en) * 2010-04-28 2015-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for doping fin field-effect transistors
US8941153B2 (en) * 2009-11-20 2015-01-27 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with different fin heights
US8492226B2 (en) * 2011-09-21 2013-07-23 Globalfoundries Singapore Pte. Ltd. Trench transistor
US8853037B2 (en) * 2012-03-14 2014-10-07 GlobalFoundries, Inc. Methods for fabricating integrated circuits
US8860148B2 (en) 2012-04-11 2014-10-14 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET integrated with capacitor

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7166514B2 (en) * 2004-02-02 2007-01-23 Samsung Electronics Co., Ltd. Semiconductor device and method of manufacturing the same
US20080029821A1 (en) * 2004-07-12 2008-02-07 Nec Corporation Semiconductor Device and Method for Production Thereof
CN102044469A (zh) * 2009-10-14 2011-05-04 台湾积体电路制造股份有限公司 集成电路结构及其形成方法
CN102074582A (zh) * 2009-11-20 2011-05-25 台湾积体电路制造股份有限公司 集成电路结构及其形成方法
CN102088036A (zh) * 2009-12-03 2011-06-08 台湾积体电路制造股份有限公司 集成电路结构

Cited By (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107683528A (zh) * 2015-05-08 2018-02-09 思睿逻辑国际半导体有限公司 由诸如finfet的薄垂直半导体结构形成的高密度电容器
CN107683528B (zh) * 2015-05-08 2021-04-27 思睿逻辑国际半导体有限公司 由诸如finfet的薄垂直半导体结构形成的高密度电容器
US10418283B2 (en) 2015-12-22 2019-09-17 Semiconductor Manufacturing International (Beijing) Corporation Method and device to improve shallow trench isolation
CN106910705A (zh) * 2015-12-22 2017-06-30 中芯国际集成电路制造(北京)有限公司 具有浅沟槽隔离结构的器件及其制造方法
US10832968B2 (en) 2015-12-22 2020-11-10 Semiconductor Manufacturing International (Beijing) Corporation Device with improved shallow trench isolation structure
CN106910705B (zh) * 2015-12-22 2019-12-06 中芯国际集成电路制造(北京)有限公司 具有浅沟槽隔离结构的器件及其制造方法
CN107492542A (zh) * 2016-06-10 2017-12-19 台湾积体电路制造股份有限公司 半导体组件的制造方法
CN108122911A (zh) * 2016-11-28 2018-06-05 台湾积体电路制造股份有限公司 半导体元件
CN108122911B (zh) * 2016-11-28 2022-02-22 台湾积体电路制造股份有限公司 半导体元件及形成浅沟槽隔离的方法
US11450555B2 (en) 2016-11-28 2022-09-20 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor device having isolation structures with different thicknesses
US11923235B2 (en) 2016-11-28 2024-03-05 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor device having isolation structures with different thicknesses
CN108122753A (zh) * 2016-11-29 2018-06-05 台湾积体电路制造股份有限公司 半导体装置的形成方法
CN108122753B (zh) * 2016-11-29 2022-08-09 台湾积体电路制造股份有限公司 半导体装置的形成方法
CN108807380B (zh) * 2017-04-28 2020-11-06 台湾积体电路制造股份有限公司 半导体结构和形成集成电路结构的方法
CN108807380A (zh) * 2017-04-28 2018-11-13 台湾积体电路制造股份有限公司 半导体结构和形成集成电路结构的方法
CN109390338A (zh) * 2017-08-08 2019-02-26 联华电子股份有限公司 互补式金属氧化物半导体元件及其制作方法
CN109390338B (zh) * 2017-08-08 2021-06-22 联华电子股份有限公司 互补式金属氧化物半导体元件及其制作方法
CN117491835A (zh) * 2023-12-29 2024-02-02 苏州元脑智能科技有限公司 测量方法、装置、系统、晶体管、集成电路、介质及设备
CN117491835B (zh) * 2023-12-29 2024-03-15 苏州元脑智能科技有限公司 测量方法、装置、系统、晶体管、集成电路、介质及设备

Also Published As

Publication number Publication date
CN103378153B (zh) 2016-06-08
KR101435712B1 (ko) 2014-09-01
US20130270620A1 (en) 2013-10-17
US20140377928A1 (en) 2014-12-25
US20160190122A1 (en) 2016-06-30
SG194272A1 (en) 2013-11-29
US9865592B2 (en) 2018-01-09
US8860148B2 (en) 2014-10-14
KR20130115062A (ko) 2013-10-21
SG10201504485RA (en) 2015-07-30
US9305918B2 (en) 2016-04-05

Similar Documents

Publication Publication Date Title
CN103378153B (zh) 用于集成有电容器的FinFET的结构和方法
CN103247535B (zh) Finfet器件及其形成方法
US10224247B2 (en) FinFET devices
US9214558B2 (en) Method of forming semiconductor device including silicide layers
KR101412906B1 (ko) 전계 효과 트랜지스터를 위한 구조 및 방법
CN108122845B (zh) 接触结构制造方法及半导体装置
CN103578954B (zh) 具有金属栅极的半导体集成电路
US20120280291A1 (en) Semiconductor device including gate openings
TW201735265A (zh) 半導體結構及其製造方法
US9379104B1 (en) Method to make gate-to-body contact to release plasma induced charging
JP2010123947A (ja) 性能を改善する新しいレイアウト構造
CN102148147A (zh) 半导体元件金属栅极堆叠的制造方法
US10707217B2 (en) Semiconductor structures with deep trench capacitor and methods of manufacture
CN102376538A (zh) 形成多晶硅电阻装置的方法以及半导体装置
US8928073B2 (en) Semiconductor devices including guard ring structures
US20130157428A1 (en) Methods of Manufacturing Semiconductor Devices Including Transistors
CN103390648A (zh) 半导体结构及其形成方法
CN103578953A (zh) 半导体集成电路制造的方法
US8860151B2 (en) Semiconductor device having a spacer and a liner overlying a sidewall of a gate structure and method of forming the same
JP2012230993A (ja) 半導体基板、半導体装置及びその製造方法
JP2023552930A (ja) N/p境界構造を有するナノシート半導体デバイス
TW202230610A (zh) 半導體裝置及其形成方法
CN114464574A (zh) 一种利用浅槽沟道隔离制造电源线的方法
CN114551357A (zh) 堆叠纳米片环栅cmos器件及其制备方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant