KR101435712B1 - 커패시터가 집적된 FinFET를 위한 구조 및 방법 - Google Patents

커패시터가 집적된 FinFET를 위한 구조 및 방법 Download PDF

Info

Publication number
KR101435712B1
KR101435712B1 KR1020120063709A KR20120063709A KR101435712B1 KR 101435712 B1 KR101435712 B1 KR 101435712B1 KR 1020120063709 A KR1020120063709 A KR 1020120063709A KR 20120063709 A KR20120063709 A KR 20120063709A KR 101435712 B1 KR101435712 B1 KR 101435712B1
Authority
KR
South Korea
Prior art keywords
feature
region
sti
dielectric
features
Prior art date
Application number
KR1020120063709A
Other languages
English (en)
Other versions
KR20130115062A (ko
Inventor
챠-신 후
선-제이 창
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20130115062A publication Critical patent/KR20130115062A/ko
Application granted granted Critical
Publication of KR101435712B1 publication Critical patent/KR101435712B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7853Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the body having a non-rectangular crossection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0611Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region
    • H01L27/0617Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region comprising components of the field-effect type
    • H01L27/0629Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region comprising components of the field-effect type in combination with diodes, or resistors, or capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76229Concurrent filling of a plurality of trenches having a different trench shape or dimension, e.g. rectangular and V-shaped trenches, wide and narrow trenches, shallow and deep trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76232Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials of trenches having a shape other than rectangular or V-shape, e.g. rounded corners, oblique or rounded trench walls
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Element Separation (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

본 개시는 제 1 영역 및 제 2 영역을 갖는 반도체 기판; 반도체 기판에 형성된 STI(shallow trench isolation) 피처를 포함하는 반도체 구조의 일 실시예를 제공한다. STI 피처는 제 1 영역에 배치되고 제 1 두께(T1)를 갖는 제 1 부분 및 제 2 영역에 배치되고 제 1 두께보다 큰 제 2 두께(T2)를 갖는 제 2 부분을 포함하며, STI 피처의 제 1 부분은 STI 피처의 제 2 부분으로부터 리세스된다. 반도체 구조는 또한 반도체 기판 상의 복수의 핀 활성 영역들; 및 핀 활성 영역들 및 STI 피처 상에 배치된 복수의 도전성 피처들을 포함하고, 도전성 피처들 중 하나는 제 1 영역의 STI 피처의 제 1 부분을 커버(cover)한다.

Description

커패시터가 집적된 FinFET를 위한 구조 및 방법{STRUCTURE AND METHOD FOR FINFET INTEGRATED WITH CAPACITOR}
본 발명은 커패시터가 집적된 FinFET를 위한 구조 및 방법에 대한 것이다.
집적 회로들은 45nm, 32nm, 28nm, 및 20nm과 같이 높은 패킹 밀도 및 더 작은 피처 크기들을 갖는 진보된 기술들로 진척되어왔다. 이 진보된 기술들에서, 다중-핀 구조를 각각 갖는 3차원 트랜지스터들이 종종 강화된 디바이스 성능을 위해 요구된다. 그러나 이러한 구조들을 위한 기존의 방법들 및 구조들은 디바이스 품질 및 신뢰도와 연관된 다양한 문제점들 및 단점들을 갖는다. 예를 들어, 다양한 결함들 및 상주물들(resides)이 폴리실리콘 에칭 동안 도입될 수 있다. 다른 예에서, 커패시터 구조는 수용 가능한 범위에서 그의 커패시턴스를 조정하는 성능을 여전히 유지하면서 핀 구조에 쉽게 집적되지 않는다. 또한, 제작 비용은 커패시터의 하나 이상의 피처들을 정의하기 위한 부가적인 마스크에 대한 필요성과 같은 부가적인 프로세스 단계들로 인해 더 높다.
그러므로 위의 문제점들을 해결하기 위해 핀 트랜지스터 및 커패시터가 집적된 구조를 형성하는 구조 및 방법의 요구가 존재한다.
본 발명은 제 1 영역 및 제 2 영역을 갖는 반도체 기판, 반도체 기판에 형성된 쉘로우 트랜치 분리(shallow trench isolation; STI) 피처(feature)로서, 상기 STI 피처는 상기 제 1 영역에 배치되고 제 1 두께(T1)를 갖는 제 1 부분 및 제 2 영역에 배치되고 상기 제 1 두께(T1)보다 큰 제 2 두께(T2)를 갖는 제 2 부분을 포함하고, 상기 STI 피처의 제 1 부분은 상기 STI 피처의 제 2 부분으로부터 리세스(recess)되는, 상기 STI 피처; 상기 반도체 기판 상의 복수의 핀 활성 영역들; 및 상기 핀 활성 영역들 및 상기 STI 피처 상에 배치된 복수의 도전성 피처들을 포함하고, 상기 도전성 피처들 중 하나는 상기 제 1 영역의 상기 STI 피처의 제 1 부분을 커버(cover)하는, 반도체 구조를 제공한다.
또한, 본 발명은 제 1 영역 및 제 2 영역을 갖는 반도체 기판; 상기 반도체 기판 상에 형성되고 상기 제 1 영역 및 상기 제 2 영역으로 확장되는 핀 활성 영역(fin active region); 상기 반도체 기판에 형성되고 상기 핀 활성 영역에 인접한 쉘로우 트랜치 분리(STI) 피처로서, 상기 STI 피처는, 상기 제 1 영역에 배치된 제 1 부분 및 상기 제 2 영역에 배치된 제 2 부분을 포함하고, 상기 STI 피처의 제 1 부분은 제 1 상부 표면을 갖고, 상기 STI 피처의 제 2 부분은 상기 제 1 상부 표면보다 높은 제 2 상부 표면을 갖는, 상기 STI 피처; 상기 핀 활성 영역 및 상기 STI 피처 상에 형성된 제 1 도전성 피처로서, 상기 제 1 도전성 피처는 상기 제 1 영역에 배치되고 상기 STI 피처의 제 1 부분을 커버하는, 상기 제 1 도전성 피처; 및 상기 핀 활성 영역 및 상기 STI 피처 상에 형성된 제 2 도전성 피처로서, 상기 제 2 도전성 피처는 상기 제 2 영역에 배치되는, 상기 제 2 도전성 피처를 포함하는, 반도체 구조를 제공한다.
또한, 본 발명은 복수의 트랜치(trench)들을 형성하고 복수의 핀 활성 영역들을 정의하도록 반도체 기판을 에칭하는 단계; 쉘로우 트랜치 분리(STI) 피처들을 형성하도록 유전체 물질로 상기 복수의 트랜치들을 채우는 단계; 제 1 치수만큼 제 1 영역에서의 상기 STI 피처들의 제 1 서브셋(subset)을 리세스(recess)시키는 단계; 상기 제 1 치수보다 적은 제 2 치수만큼 제 2 영역에서의 상기 STI 피처들의 제 2 서브셋을 리세스시키는 단계; 및 상기 STI 피처들 및 상기 핀 활성 영역들 상에 도전성 피처를 형성하는 단계를 포함하고, 상기 도전성 피처는 상기 STI 피처들의 제 1 서브셋을 커버하는 것인 방법을 제공한다.
본 개시의 양상들은 첨부 도면들과 함께 판독될 때 이하의 상세한 설명으로부터 가장 잘 이해된다. 당 업계의 표준 관행에 따라, 다양한 피처들이 제 크기로 그려지지 않는다는 것이 강조된다. 사실상, 다양한 피처들의 치수들은 논의의 명확성을 위해 임의로 증가되거나 감소될 수 있다.
도 1은 하나 이상의 실시예들에서의 본 개시의 양상들에 따라 구성된 핀 활성 영역 및 리세스된 분리 피처를 갖는 반도체의 평면도이다.
도 2 내지 도 5는 하나 이상의 실시예에서의 본 개시의 양상들에 따라 구성된 도 1의 반도체 구조의 단면도들이다.
도 6은 하나 이상의 실시예에서의 본 개시의 양상들에 따라 구성된 도 1의 반도체 구조의 단편적인 사시도이다.
도 7은 하나 이상의 실시예에서의 본 개시의 양상들에 따라 구성된 도 6의 반도체 구조의 단면도이다.
도 8은 다른 실시예에서의 본 개시의 양상들에 따라 구성된 반도체 구조의 평면도이다.
도 9 및 도 10은 하나 이상의 다른 실시예들에서의 본 개시의 양상들에 따라 구성된 반도체 구조의 단면도들이다.
도 11은 일 실시예에서의 본 개시의 다양한 양상들에 따라 구성된 도 1의 반도체 구조를 형성하는 방법의 흐름도이다.
도 12 내지 도 21은 도 11의 방법에 따라 제조되는, 다양한 제조 스테이지들에 있는 반도체 구조의 단면도이다.
이하의 개시는 다양한 실시예들의 상이한 피처들을 구현하기 위한 다수의 상이한 실시예들, 또는 예들을 제공한다는 것이 이해될 것이다. 본 개시를 단순화하기 위해 컴포넌트들 및 배열들(arrangements)의 특정한 예들이 이하에 기술된다. 물론, 이들은 단순한 예들이며 제한하는 것으로 의도되지 않는다. 또한, 본 개시는 다양한 예들에서 참조 번호들 및/또는 문자들을 반복할 수 있다. 이러한 반복은 단순성 및 명확성을 위한 것이며 논의된 다양한 실시예들 및/또는 구성들 사이에서의 관계를 그 자체로 기술하지 않는다. 또한, 이어지는 설명에서 제 2 피처 위의 또는 그 상의 제 1 피처의 형성은 제 1 피처 및 제 2 피처가 직접 접촉하여 형성되는 실시예들을 포함할 수 있고, 제 1 피처 및 제 2 피처가 직접 접촉하지 않을 수 있도록 부가적인 피처들이 제 1 피처 및 제 2 피처 사이에 개재되어 형성될 수 있는 실시예들 또한 포함할 수 있다.
도 1은 핀 활성 영역 및 리세스된 분리 피처를 갖는 반도체 구조(100)의 평면도이다. 도 2 내지 도 5는 반도체 구조(100)의 단면도들이다. 구체적으로, 도 2는 구획선(AA')을 따라 취해진 단면도이고, 도 3은 구획선(BB')을 따라 취해진 단면도이고, 도 4는 구획선(CC')을 따라 취해진 단면도이고, 도 5는 구획선(DD')을 따라 취해진 단면도이다. 반도체 구조(100)는 도 1 내지 도 5를 참조하여 기술된다.
반도체 구조(100)는 기판(102)을 포함한다. 기판(102)은 실리콘을 포함한다. 대안적으로, 기판(102)은 게르마늄, 실리콘 게르마늄 또는 다른 적절한 반도체 물질들을 포함한다. 기판(102)은 또한 다양한 도핑된 영역들을 포함한다. 일 실시예에서, 기판(102)은 에피택시(또는 epi) 반도체 층을 포함한다. 다른 실시예에서, 기판(102)은 SIMOX(separation by implanted oxygen)로서 지칭되는 기술과 같은 적절한 기술에 의해 형성된 분리용 매립 유전체 물질을 포함한다.
반도체 기판(100)은 기판(102) 상에 형성된 하나 이상의 핀-형 활성 영역들(핀 활성 영역들)(104)을 포함한다. 핀 활성 영역들(104)은 하나 이상의 반도체 물질들의 활성 영역들이며 기판(102)의 상부 표면 위로 돌출된다. 따라서 핀 활성 영역들(104)은 노출된 다수의 표면들을 가지며 전계 효과 트랜지스터(FET)의 각자의 채널에 게이트 전극을 용량성-결합시키기 위한 효율적인 방법을 제공하는 3차원 활성 영역들이다.
핀 활성 영역들(104)은 실리콘 게르마늄과 같은 실리콘 또는 다른 적합한 반도체 물질을 포함한다. 핀 활성 영역들(104)은 기판(102)의 반도체 물질과 동일한 반도체 물질을 포함한다. 대안적인 실시예에서, 핀 활성 영역들(104)은 에피택시 성장(epitaxy growth)과 같은 적합한 기법에 의해 형성된, 기판(102)의 반도체 물질과 상이한 반도체 물질을 포함한다. 예를 들어, 기판(102)은 실리콘을 포함하고 핀 활성 영역들은 적절한 구성에서 실리콘 게르마늄, 실리콘 탄화물 또는 둘 다를 포함한다.
반도체 기판(100)은 다양한 활성 영역들을 분리하기 위해 기판에 형성된 STI(shallow trench isolation) 피처(106)를 포함한다. STI 피처(106)는 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물, 다른 적합한 유전체 물질 또는 조합들과 같은 하나 이상의 유전체 물질을 포함한다. STI 피처들의 형성은 기판에 트랜치를 에칭하고 유전체 물질들에 의해 트랜치를 채우는 것을 포함한다. 채워진 트랜치는 트랜치를 채우는 실리콘 질화물을 갖는 열적 산화물 라이너 층(thermal oxide liner layer)과 같은 다중-층 구조를 가질 수 있다. 일 실시예에서, STI 구조는 패드(pad) 산화물을 성장시키고, LPCVD(low pressure chemical vapor deposition) 질화물 층을 형성하고, 포토레지스트 및 마스팅(masking)을 이용하여 STI 개구를 패터닝하고, 트랜치 계면을 개선하기 위해 열적 산화물 트랜치 라이너를 선택적으로 성장시키고, 산화물로 트랜치를 채우고, 에칭을 위해 화학 기계적 평탄화(CMP)를 이용하고, 질화물 층을 제거하기 위해 질화물 에칭을 이용하는 것과 같은 프로세스 시퀀스를 이용하여 생성될 수 있다.
반도체 구조(100)는 제 1 영역(108) 및 제 1 영역에 인접한 제 2 영역(110)을 포함한다. 도 1에서 예시되는 바와 같이, 제 1 영역(108)은 점선에 의해 정의되는 영역이다. 제 2 영역(110)은 도 1의 잔여 영역들을 포함한다. 본 실시예에서, 제 1 영역(108)은 제 2 영역(110)에 의해 둘러싸인다.
특히, 제 1 영역(108)의 STI 피처(106)(STI 피처의 제 1 부분으로서도 지칭됨)는 제 2 영역(110)의 STI 피처들(106)(STI 피처의 제 2 부분으로서도 지칭됨)로부터 리세스된다. 도 2에서 예시되는 바와 같이, 제 1 영역(108)의 STI 피처들(106)은 제 1 두께(T1)를 갖고, 제 2 영역(110)의 STI 피처들(106)은 제 2 두께(T2)를 갖는다. 제 2 두께(T2)는 제 1 두께(T1)보다 더 크다. 또한, STI 피처의 제 1 부분의 상부 표면은 (T2-T1)의 거리만큼 STI 피처의 제 2 부분의 상부 표면 아래에 있다.
반도체 구조(100)는 하나 이상의 유전체 피처들(112) 및 도전성 피처들(114)을 포함한다. 유전체 피처들(112)은 핀 활성 영역(104) 및 STI 피처(106) 상에 배치된다. 도전성 피처들(114)은 유전체 피처(112) 상에 배치된다. 도전성 피처들(114) 중 하나는 제 1 영역(108)을 커버하도록 그리고 제 1 영역(108)의 핀 활성 영역들(104)을 커버하도록 구성된다.
도 1에서 예시되는 일 실시예에서, 핀 활성 영역들(104)은 제 1 방향("X" 방향)으로 배향되는 반면에 도전성 피처(114)는 제 2 방향("Y" 방향)으로 배향된다. 2개의 방향들은 서로 수직이다.
도 1에서 예시되는 바와 같이, 반도체 구조(100)는 제 1 영역(108)에 배치된 제 1 도전성 피처(114)를 포함한다. 반도체 구조(100)는 제 2 영역(110)에 배치된 제 2 도전성 피처 및 제 3 도전성 피처를 포함한다. 제 1 영역(108)의 제 1 도전성 피처는 제 1 폭(W1)을 갖고, 제 2 영역(108)의 제 2(또는 제 3) 도전성 피처는 제 1 폭(W1)과 상이한 제 2 폭(W2)을 갖는다. 특히, W1은 W2보다 크다. 이에 따라, 제 1 유전체 피처, 제 2 유전체 피처 및 제 3 유전체 피처는 각각 제 1 도전성 피처, 제 2 도전성 피처, 및 제 3 도전성 피처 아래에 있는 것들로 참조된다.
제 1 도전성 피처(114)는 제 1 영역(108)을 커버하도록 설계된다. 도 1에 예시된 이 예에서, 제 1 영역은 제 1 방향을 따라 치수 D를 갖는다. W1은 전체 커버리지에 대해 D보다 크다. 또한, 제 1 도전성 피처(114)는 치수(S1)만큼 제 1 방향(X 방향)에서 제 2 영역(110)으로 확장되고 치수(S2)만큼 제 2 방향(Y 방향)에서 제 2 영역(110)으로 확장되어서, 제 1 영역(108)은 제 1 도전성 피처에 의해 커버되게 된다.
유전체 피처(112)는 도전성 피처(114)와 정렬되고 핀 활성 영역들(104)로부터 도전성 피처(114)를 분리시킨다. 일 예에서, 유전체 피처(112) 및 도전성 피처(114)는 기판(102) 상에 유전체 층을 증착시키고, 유전체 층 상에 도전성 층을 증착시키고, 리소그라피 프로세스 및 에칭을 이용하여 유전체 피처들(112) 및 도전성 피처들(114)을 형성하도록 유전체 층 및 도전성 층을 패터닝하는 것을 포함하는 프로시저에 의해 형성된다.
일 실시예에서, 제 1 도전성 피처들, 제 1 유전체 피처 및 핀 활성 영역들의 부분(들)은 제 1 영역(108)에서 디커플 커패시터(decouple capacitor)와 같은 커패시터를 형성하도록 구성 및 결합된다. 제 2 도전성 피처들, 제 2 유전체 피처 및 핀 활성 영역들 중 다른 부분(들)은 FinFET(fin field effect transistor)를 형성하도록 구성 및 결합된다.
커패시터에서, 제 1 도전성 피처들, 제 1 유전체 피처 및 핀 활성 영역들의 부분(들)은 각각 제 1 전극, 커패시터 유전체 및 제 2 전극으로서 기능한다. 전계 효과 트랜지스터에서, 제 2 도전성 피처들 및 제 2 유전체 피처는 집합적으로 게이트 스택으로서, 게이트 전극 및 게이트 유전체로서 기능한다. 소스 및 드레인 피처들은 핀 활성 영역들의 다른 부분(들) 상에 형성되며, 게이트 스택에 의해 개재(interpose)된다.
커패시터가 핀 활성 영역에 형성되기 때문에, 이것은 제작의 견지에서 트랜지스터와 호환 가능하다. 부가적으로, 커패시터는 STI 피처의 리세스된 부분에 형성되고, 커패시터 영역은 커패시터에 의해 점유되는 대응하는 기판 영역을 증가시킴 없이 추가로 증가된다. 또한, 제 1 도전성 피처의 폭(W1)이 제 2 도전성 피처의 폭보다 크기 때문에, 커패시터 영역은 심지어 커패시턴스를 조정하기 위해 더 큰 범위를 위해 추가로 증가된다.
일 실시예에서, 커패시터 유전체로서 제 1 유전체 피처는 제 1 유전체 물질을 포함하고, 게이트 유전체로서 제 2 유전체 피처는 제 2 유전체 물질을 포함한다. 제 1 유전체 물질 및 제 2 유전체 물질은 동일하거나, 대안적으로는 서로 상이하다. 예를 들어, 게이트 유전체는 트랜지스터 성능을 위해 조정된 실리콘 산화물, 고 k 유전체 물질, 조합물 중 하나를 이용할 수 있다. 커패시터 유전체는 적절한 커패시턴스를 위해 조정된 실리콘 산화물, 고 k 유전체 물질, 및 저 k 유전체 물질 중 다른 하나를 이용할 수 있다.
다른 실시예에서, 커패시터 유전체로서 제 1 유전체 피처는 제 1 두께를 포함하고, 게이트 유전체로서 제 2 유전체 피처는 제 2 두께를 포함한다. 제 1 유전체 두께 및 제 2 유전체 두께는 동일하거나 대안적으로는, 각자의 디바이스 성능 위해 조정되도록 상이하다.
게이트 유전체 및 커패시터 유전체가 상이한 조성물들, 상이한 두께들, 또는 둘 다를 갖는 경우에, 이들은 개별적으로 형성된다. 게이트 유전체 및 커패시터 유전체가 동일한 조성물 및 동일한 두께를 갖는 경우에, 이들은 동일한 프로시저에 의해 동시에 형성된다.
대안적인 실시예에서, 제 1 도전성 피처들, 제 2 유전체 피처 및 핀 활성 영역들의 부분(들)은 제 1 영역(108)에 제 1 FinFET를 형성하도록 구성 및 결합된다. 이 경우에, 제 1 도전성 피처 및 제 2 유전체 피처는 각각, 집합적으로는 제 1 게이트 스택으로서 지칭되는 게이트 전극 및 게이트 유전체로서 기능한다. 제 2 도전성 피처들, 제 2 유전체 피처 및 핀 활성 영역들의 다른 부분(들)은 제 2 FinFET를 형성하도록 구성 및 결합된다. 제 2 FinFET의 게이트 스택은 또한 제 2 게이트 스택으로서 지칭된다.
일 실시예에서, 제 1 FinFET를 위한 게이트 유전체로서 제 1 유전체 피처는 제 1 유전체 물질을 포함하고, 제 2 FinFET를 위한 게이트 유전체로서 제 2 유전체 피처는 제 2 유전체 물질을 포함한다. 제 1 유전체 물질 및 제 2 유전체 물질은 동일하거나 대안적으로는, 서로 상이하다.
다른 실시예에서, 제 1 유전체 피처는 제 1 두께를 포함하고, 제 2 유전체 피처는 제 2 두께를 포함한다. 제 1 유전체 두께 및 제 2 유전체 두께는 동일하거나 대안적으로는, 각자의 디바이스 성능을 위해 조정되도록 상이하다.
게이트 유전체 및 커패시터 유전체가 동일한 조성물 및 동일한 두께를 갖는 경우에, 이들은 동일한 프로시저에 의해 동시에 형성된다. 제 1 유전체 피처 및 제 2 유전체 피처가 상이한 조성물들, 상이한 두께들, 또는 이들 둘 다를 갖는 경우에, 이들은 개별적으로 형성된다. 또한, 제 1 FinFET 및 제 2 FinFET는 상이한 기능을 위해 구성될 수 있다. 일 예에서, 제 1 FinFET는 I/O 디바이스로서 구성되고 제 2 FinFET는 코어 디바이스로서 구성된다. 이 예의 증진(furtherance)에 있어서, 제 1 유전체 피처는 제 2 유전체 피처보다 두껍다.
도 6은 일 실시예에서 본 개시의 양상들에 따라 구성되는 반도체 구조(100)의 단편적인 사시도이다. 도 7은 점선(EE')을 따른 도 6의 반도체 구조(100)의 단면도이다. 제 1 영역(108)의 106이 리세스되고 제 1 영역(108)의 대응하는 핀 활성 영역들(104)은 리세스 내로 깊이 연장된다. 특히, 도전성 피처(114)는 제 1 영역(108)의 리세스를 커버하고, 전체 커버리지를 보장하기 위해 제 2 영역으로 연장한다.
도 8은 다른 실시예에서 본 개시의 양상들에 따라 구성된 반도체 구조(130)의 평면도이다. 반도체 구조(130)는 어레이로 구성된 복수의 셀들(100)을 포함한다. 각 셀(100)은 도 1의 반도체 구조(100)를 포함한다. 예시를 위한 본 예에서, 반도체 구조(130)는 3개의 컬럼들 및 3개의 로우들을 포함하여 셀들(100)의 3 X 3 어레이를 형성한다. 일 예에 따라, 커패시터는 반도체 구조(100)의 리세스된 제 1 영역(108)에 형성된다. 이 실시예에서, 제 1 전극들로서 도전성 피처들은 고 전압과 같은 제 1 전기 전압을 갖는 제 1 전력선(132)에 연결된다. 제 2 전극들로서 핀 활성 영역들은 저 전압과 같은 제 2 전기 전압을 갖는 제 2 전력선(134)에 연결된다. 상이한 라우팅에 의해, 각자의 셀들의 커패시터들은 직렬, 병렬 또는 혼성 연결로 연결될 수 있다.
도 9 및 도 10은 다양한 실시예들에 따라 구성된 반도체 구조들(140 및 146)의 단면도들이다. 도 9의 반도체 구조(140)는 도 1의 반도체 구조(100) 및 도 2의 대응하는 단면도와 유사하다. 예를 들어, 반도체 구조(140)는 기판(102) 상에 형성된 활성 영역들(104) 및 STI 피처(106)를 포함한다. 기판(106)은 제 1 영역(108) 및 제 2 영역(110)을 포함한다. 제 1 영역(108)의 STI 피처는 제 2 영역(110)의 STI 피처로부터 리세스된다. 유전체 피처(142)는 기판(102) 상에 형성되고 도전성 피처(114)는 유전체 피처(142) 상에 형성된다. 도전성 피처(114)는 제 1 영역(108)을 커버하며, 특히 핀 활성 영역들 간의 간극(gap) 내의 리세스된 STI 피처들을 커버한다.
도 9의 반도체 구조(140)에서, 도전성 피처(114), 유전체 피처(142) 및 핀 활성 영역들(104)은 FinFET(FinFET(140)로서 지칭됨)를 형성하도록 구성 및 결합된다. 이 경우에, 도전성 피처(114) 및 유전체 피처(142)는 각각 게이트 전극 및 게이트 유전체로서 기능한다.
도 10의 반도체 구조(146) 역시 도 1의 반도체 구조(100) 및 도 2의 대응하는 단면도와 유사하다. 예를 들어, 반도체 구조(146)는 기판(102) 상에 형성된 활성 영역들(104) 및 STI 피처(106)를 포함한다. 기판(102)은 제 1 영역(108) 및 제 2 영역(110)을 포함한다. 제 1 영역(108)의 STI 피처는 제 2 영역(110)의 STI 피처로부터 리세스된다. 유전체 피처(148)는 기판(102) 상에 형성되고 도전성 피처(114)는 유전체 피처(148) 상에 형성된다. 도전성 피처(114)는 제 1 영역(108)을 커버한다.
도 10의 반도체 구조(146)에서, 도전성 피처들(114), 유전체 피처(148) 및 핀 활성 영역들(104)은 다른 FinFET(FinFET(146)로서 지칭됨)를 형성하도록 구성 및 결합된다. 이 경우에, 도전성 피처(114) 및 유전체 피처(148)는 각각 게이트 전극 및 게이트 유전체로서 기능한다.
본 실시예에서, 집적 회로는 동일한 기판(102)에 형성된 FinFET(140) 및 FinFET(146) 둘 다를 포함한다. 그러나 FinFET(140)에 대한 게이트 전극으로서 유전체 피처(142)는 제 1 두께를 포함하고, FinFET(146)에 대한 게이트 유전체로서 유전체 피처(148)는 제 1 두께보다 큰 제 2 두께를 포함한다. 일 예에서, FinFET(146)는 I/O 디바이스로서 구성되고 FinFET(140)은 코어 디바이스로서 구성된다.
도 11은 본 발명의 실시예에 따라 구성된 반도체 디바이스를 제조하기 위한 방법(200)의 흐름도이다. 반도체 디바이스는 다중-핀 구조(multi-fin structure) 및 듀얼 길이 분리 구조(dual depth isolation structure)를 포함한다. 도 12 내지 도 21은 다양한 제조 스테이지들에서 반도체 구조(300)의 실시예의 단면도들이다. 반도체 구조(300) 및 이를 제조하는 방법(200)은 도 12 내지 도 21을 참조하여 집합적으로 기술된다. 반도체 구조(300)는 방법(200)의 예시를 위해 제공되며 도 1의 반도체(100)와 유사하다. 그러므로 유사한 피처들은 단순함을 위해 간결하게 기술된다.
도 11 및 도 12를 참조하면, 방법(200)은 반도체 기판(102)을 제공함으로써 단계(202)에서 시작한다. 반도체 기판(102)은 실리콘을 포함한다. 대안적으로 기판(102)은 게르마늄, 실리콘 게르마늄 또는 다른 적절한 반도체 물질들을 포함한다.
도 11 및 도 12를 계속 참조하면, 방법(200)은 마스크 층(301)을 형성함으로써 단계(204)로 진행한다. 마스크 층(301)은 하나 이상의 적합한 유전체 물질들을 갖는 하드 마스크 층이다. 본 실시예에서, 마스크 층(301)은 기판(102) 상에 형성된 실리콘 산화물(SiO) 층(302) 및 실리콘 산화물 층(302) 상에 형성된 실리콘 질화물(SiN) 층(304)을 포함한다. 일 예에서, SiO 층(214)은 약 5nm과 약 15nm 사이의 범위에 이르는 두께를 포함한다. 다른 예에서 SiN 층(216)은 약 40nm과 약 120nm 사이의 범위에 이르는 두께를 포함한다. 다른 예에서, 단계(204)는 열적 산화(thermal oxidation)에 의해 SiO 층(302)을 형성하고 화학 기상 증착(CVD)에 의해 SiN 층(304)을 형성하는 것을 포함한다. 예를 들어, SiN 층(304)은 헥사클로디실란(Hexachlorodisilane)(HCD 또는 Si2Cl6), 디클로로실란(Dichlorosilane)(DCS 또는 SiH2Cl2), 비스(TertiaryButylAmino) 실란(BTBAS 또는 C8H22N2Si) 및 디실란(DS 또는 Hi2H6)을 포함하는 화학물질들을 이용하여 CVD에 의해 형성된다.
방법(200)은 리소그라피 패터닝 프로세스 및 에칭 프로세스를 포함하는 프로시저에 의해 마스크 층(301)을 패터닝함으로써 단계(206)로 진행한다. 도 12에 예시된 본 실시예에서, 패터닝된 포토레지스트 층(306)은 포토레지스트 코팅, 소프트 베이킹(soft baking), 노출(exposing), 노출후 베이킹(post-exposure baking; PEB), 현상(developing) 및 하드 베이킹을 포함하는 포토리소그라피 프로세스를 이용하여 하드 마스크 층(301) 상에 형성된다.
도 13을 참조하면, 마스크 층(301)이 에칭 프로세스에 의해 패터닝된 포토레지스트 층(306)의 개구들을 통해 에칭되어 패터닝된 마스크 층(301)을 형성한다. 패터닝된 포토레지스트 층은 그 후 습식 스트라이핑(wet stripping) 또는 플라즈마 에싱(plasma ashing)과 같은 적합한 프로세스를 이용하여 제거된다. 일 예에서, 에칭 프로세스는 패터닝된 포토레지스트 층(306)의 개구들 내의 마스크 층(301)을 제거하기 위해 건식(또는 플라즈마) 에칭을 적용한다. 다른 예에서, 에칭 프로세스는 패터닝된 포토레지스트 층(306)의 개구들 내의 SiN 층(304)을 제거하기 위해 플라즈마 에칭을, 그리고 개구 내의 SiO 층(302)을 제거하기 위해 HF(hydrofluoric acid) 용액을 이용한 습식 에칭을 적용한다. 다른 예에서, 에칭 프로세스는 개구들 내의 SiN 층(304)을 제거하기 위해 플라즈마 에칭을 적용하는 것을 포함하지만, SiO 층(302)은 이 프로세스 스테이지에서 남아 있을 수 있다. 그 후, 패터닝된 포토레지스트 층(306)은 스트라이핑 또는 에싱과 같은 적절한 기법에 의해 제거된다.
패터닝된 마스크 층(301)은 핀 활성 영역들(104)을 정의하는 다수의 개구들을 포함한다. 핀 활성 영역들(104)은 FinFET, 커패시터 또는 둘 다와 같은 다양한 디바이스들을 위해 구성된다. 본 실시예에서, 반도체 구조(300)는 제 1 영역(108) 및 제 2 영역(110)을 포함한다. 특정한 예에서, 커패시터는 제 1 영역(108)에 형성되고 FinFET은 제 2 영역(110)에 형성된다. 일 예에서, FinFET은 금속-산화물-반도체(metal-oxide-semiconductor; MOSFET)를 포함한다.
도 13을 계속 참조하면, 방법(200)은 하드 마스크(301)의 개구들을 통해 기판(102)에 에칭 프로세스를 수행하여 기판(102)에 다양한 트랜치들(307)을 형성하는 단계(208)로 진행한다. 다양한 핀 활성 영역(104)은 에칭 프로세스에 의해 정의된다. 일 실시예에서, 에칭 프로세스는 건식 에칭을 구현한다. 예를 들어, 에칭 프로세스의 에천트(etchant)는 플라즈마 HBr, Cl2, SF6, O2, Ar, 및 He를 포함한다. 다른 예에서, 에천트는 플라즈마 CF4, C3F8, C4F8, CHF3, CH2F2 또는 이들의 조합을 포함한다.
도 11 및 도 14를 참조하면, 방법(200)은 트랜치들(307)에 하나 이상의 STI 피처들(308)을 형성함으로써 단계(210)로 진행한다. STI 피처들(308)은 트랜치들(307)에 채워진 하나 이상의 유전체 물질들을 포함한다. 일 실시예에서, STI 피처들(308)의 형성은 유전체 증착 및 폴리싱을 포함한다. 특정한 예에서, STI 피처들(308)의 형성은 실리콘 산화물, 실리콘 질화물, 또는 실리콘 산질화물과 같은 하나 이상의 유전체 물질들에 의해 트랜치들을 채우는 것을 포함한다. 채워진 트랜치는 트랜치를 채우는 실리콘 질화물을 갖는 열적 산화물 라이너층(thermal oxide liner layer)과 같은 다중-층 구조를 가질 수 있다. 일 실시예에서, 다양한 STI 피처들을 채우는 것은 트랜치 계면을 개선하기 위해 열적 산화물 트랜치 라이너를 성장시키고, CVD 기술을 이용하여 실리콘 산화물 또는 실리콘 질화물로 트랜치를 채우고, 선택적으로는 열적 어닐링을 수행하는 것을 포함한다. 일 예에서, 유전체 증착은 고 밀도 플라즈마 CVD(HDPCVD)를 이용한다. 다른 예에서, 폴리싱은 하드 마스크 상의 초과 유전체 물질들을 제거하고 반도체 구조(300)의 상부 표면을 평탄화하기 위해 화학 기계적 폴리싱(CMP) 프로세스를 이용할 수 있다.
도 11 및 도 15를 참조하면, 방법(200)은 리소그라피 프로세스를 이용하여 기판(102) 상에 다른 패터닝된 포토레지스트 층(310)을 형성함으로써 단계(212)로 진행한다. 패터닝된 포토레지스트 층(310)은 리세스된 영역을 정의한다. 특히, 패터닝된 포토레지스트 층(310)은 제 2 영역(110)을 커버하도록 패터닝되고 리세스될 영역인 제 1 영역(108)을 노출하기 위한 개구를 갖는다.
도 11 및 도 16을 참조하면, 방법(200)은 에칭 마스크로서 패터닝된 포토레지스트 층(310)을 이용하여 STI 피처들(308)에 대한 에칭 프로세스를 수행함으로써 단계(214)로 진행한다. 에칭 프로세스는 STI 피처들(308)을 선택적으로 에칭하기 위해 에천트를 이용한다. 따라서 제 1 영역(108)의 STI 피처들(308)은 에칭되어 제 2 영역(110)의 STI 피처들(308)로부터 리세스된다. 그 후, 패터닝된 포토레지스트 층(310)이 제거된다.
도 11 및 도 17을 참조하면, 방법(200)은 에칭 프로세스에 의해 하드 마스크(301)를 제거함으로써 단계(216)로 진행한다. 본 실시예에서, 하드 마스크(301)는 부분적으로 제거된다. 특히, SiN 층(304)이 제거된다. SiN 층(304)을 제거하기 위한 에칭 프로세스는 실리콘 산화물의 에칭 없이 실리콘 질화물을 선택적으로 제거하기 위해 에천트를 이용한다. 일 예에서, 이 에칭 프로세스의 에천트는 H3PO4(hot phosphoric acid) 용액을 포함한다.
도 11 및 도 18을 참조하면, 방법(200)은 기판(102)에 대한 이온 주입 프로세스(312)를 수행함으로써 n-웰들 또는 p-웰들과 같은 다양한 웰 영역들을 형성하는 단계(218)로 진행한다. 본 예에서, 실리콘 산화물층(302)이 감소된 주입 채널링 이슈(reduced implantation channeling issue)와 같은 개선된 주입 효과를 위해 주입 스크린으로서 이용된다. 주입 프로세스(312)는 적절한 주입 종들 및 적합한 주입량(dose)을 이용한다. 예를 들어, 주입 종들은 n-웰들을 위한 인 또는 p-웰들을 위한 붕소를 포함할 수 있다.
도 11 및 도 19를 참조하면, 방법(200)은 제 1 영역(108) 및 제 2 영역(110) 둘 다의 STI 피처들(308)을 에칭하기 위해 STI 피처들(308)에 대해 다른 에칭 프로세스를 수행함으로써 단계(220)로 진행할 수 있다. 핀 활성 영역(104)이 형성되어 STI 피처들(308)로부터 돌출한다. 단계(220) 이후에, 제 1 영역(108)의 STI 피처들은 여전히 제 2 영역(110)의 STI 피처들에 상대적으로 리세스된다. 이 단계에서 이용되는 에천트는 단계(214)에서 이용되는 에천트와 유사할 수 있다.
도 11 및 도 20을 참조하면, 방법(200)은 핀 활성 영역들(104) 상에 유전체 층(112)을 형성함으로써 단계(222)로 진행할 수 있다. 유전체 층(112)은 핀 활성 영역(104)의 상부 표면들 및 측벽들 상에 형성된다. 유전체 층(112)은 실리콘 산화물, 고 k 유전체 물질 또는 이들의 조합들을 포함할 수 있다. 유전체 층(112)은 ALD(atomic layer deposition), MOCVD(metal organic chemical vapor deposition), PVD(physical vapor deposition), 또는 MBE(molecular beam epitaxy)와 같은 적합한 기법에 의해 형성될 수 있다. 일 예에서, 유전체 층(112)은 실리콘을 포함하는 핀 활성 영역들(104)에 적용되는 열적 산화에 의해 형성된 실리콘 산화물을 포함한다. 다른 예에서, 고 k 유전체 물질이 이용되고 지르코늄 산화물(ZrO2), 알루미늄 산화물(Al2O3), 또는 하프늄 산화물(HfO2)과 같은 금속 산화물을 포함한다. 또 다른 예에서, 고 k 유전체 물질은 자외선(UV) 광의 존재시에 O2에 의한 금속막의 인-시추(in-situ) 산화 및 금속막을 형성하기 위한 스퍼터링을 포함하는 UV-O존 산화에 의해 형성된다. 또 다른 예에서, 유전체 층(112)은 실리콘 산화물 막 및 고 k 유전체 막을 포함한다. 듀얼 유전체 두께 또는 듀얼 유전체 물질이 이용될 때, 상이한 조성물들 및/또는 상이한 두께를 갖는 유전체 피처들이 개별적으로 형성된다.
도 11 및 도 21을 참조하면, 방법(200)은 유전체 층(112) 상에 도전성 층(114)을 형성함으로써 단계(224)로 진행할 수 있다. 도전성 층(114)은 폴리실리콘, 금속(예를 들어, 알루미늄, 구리 또는 텅스텐), 실리사이드, (각각 n-형 FET 및 p-형 FET를 위한) 적절한 일함수들을 갖는 다른 도전성 물질, 또는 이들의 조합들을 포함한다. 도전성 층(114)은 PVD와 같은 적합한 기법에 의해 형성된다.
도 11 및 도 21을 여전히 참조하면, 방법(200)은 도전성 피처들 및 유전체 피처들을 각각 형성하기 위해 도전성 층(114) 및 유전체 층(112)을 패터닝함으로써 단계(226)로 진행할 수 있다. 도전성 층(114) 및 유전체 층(112)의 패터닝은 하나 이상의 에칭 단계들을 포함한다. 일 예에서, 하드 마스크가 에칭 프로세스 동안 에칭 마스크로서 도전성 층(114) 상에 형성된다. 다른 예에서, 패터닝된 포토레지스트 층은 도전성 층(114) 및 유전체 층(112)을 패터닝하기 위한 에칭 마스크로서 이용된다.
구체적으로, 도전성 층(114)은 도전성 피처들(및 대응하는 유전체 피처 역시) 중 하나가 리세스된 제 1 영역(108)을 덮도록 패터닝된다. 본 예에서, 제 1 영역(108)의 도전성 피처 중 하나는 제 2 영역(110)으로 연장된다. 리세스된 제 1 영역(108)의 핀 활성 영역(104)이 높은 종횡비를 가지며 핀 활성 영역들(140)의 간극들 내에서 도전성 층의 에칭을 완료하기 위해 경식(hard)이기 때문에, 도전성 층(114)에 의한 리세스된 제 1 영역의 전체 커버리지는 리세스된 제 1 영역(108)의 도전성 층의 직접 에칭을 방지한다.
다른 프로세스 단계들이 방법(200) 이전에, 그동안, 또는 그 이후에 구현될 수 있다. 일 실시예에서, 소스 영역 및 드레인 영역을 형성하기 위한 다른 프로시저가 하나 이상의 FinFET들을 형성하기 위해 구현될 수 있다. 일 예에서, 소스 및 드레인 영역들은 다양한 이온 주입 프로세스들에 의해 형성되는, 집합적으로는 소스 영역 및 드레인 영역으로서 지칭되는 경하게 도핑된 드레인(light doped drain; LDD) 영역들 및 중하게 도핑된 소스 및 드레인(S/D) 피처들을 포함한다. 반도체 구조(300)가 n-형 FET들(nFET들) 및 p-형 FET들(pFET들) 둘 다를 포함할 때, 소스 영역 및 드레인 영역은 적절한 도핑 종들을 이용하여 각각 n-형 FET들 및 p-형 FET들을 위해 형성된다. nFET들에 대한 일 예로서, LDD 피처들은 경한 도핑 주입량(light doping dose)을 갖는 이온 주입에 의해 형성된다. 그 후, 스페이서들은 플라즈마 에칭과 같은 유전체 증착 및 이방성 에칭에 의해 형성된다. 그 후 중하게 도핑된 S/D 피처들은 중한 도핑 주입량을 갖는 이온 주입에 의해 형성된다. pFET들의 다양한 소스 및 드레인 피처들은 유사한 프로시저에서 형성되지만 반대의 도핑 타입을 갖는다. nFET들 및 pFET들 둘 다를 위한 다양한 소스 및 드레인 퍼처들을 형성하기 위한 프로시저의 일 실시예에서, nFET들의 LDD 피처들은 이온 주입에 의해 형성되는 반면에, pFET들의 영역들은 패터닝된 포토레지스트 층에 의해 커버되고; pFET들의 LDD 피처들이 이온 주입에 의해 형성되는 반면에, nFET들의 영역들은 패터닝된 포토레지스트 층에 의해 커버되고; 그 후 스페이서들은 증착 및 에칭에 의해 nFET 게이트 스택들 및 pFET 게이트 스택들에 대해 형성된다. nFET들의 S/D 피처들은 이온 주입에 의해 형성되는 반면에 pFET들의 영역들은 다른 패터닝된 포토레지스트 층에 의해 커버되고, pFET들의 S/D 피처들이 이온 주입에 의해 형성되는 반면에, nFET들의 영역들은 다른 패터닝된 포토레지스트 층에 의해 커버된다. 일 실시예에서, 소스 영역 및 드레인 영역들에서 다양한 도핑 종들을 활성화시키기 위해 고온 어닐링 프로세스가 이어진다.
다른 실시예에서, 레벨간 유전체(ILD) 층이 반도체 기판(102) 상에 형성된다. ILD 층은 실리콘 산화물, 저 k 유전체 물질, 다른 적합한 유전체 물질들, 또는 이들의 조합을 포함한다. ILD 층은 CVD와 같은 적합한 기법에 의해 형성된다. 예를 들어, 고 밀도 플라즈마 CVD가 ILD 층을 형성하기 위해 구현될 수 있다.
또 다른 실시예들에서, 다양한 상호연결 피처들은 기능적 회로들을 형성하도록 다양한 디바이스들을 결합하기 위해 형성된다. 상호연결 피처들은 접촉들, 및 비아들과 같은 수직 상호연결들 및 금속 라인들과 같은 수평 상호연결들을 포함한다. 다양한 상호연결 피처들은 구리, 텅스텐 및 실리사이드를 포함하는 다양한 도전성 물질들을 이용할 수 있다. 일 예에서, 다마신 프로세스(damascene process)가 구리-기반 다중층 상호연결 구조를 형성하기 위해 이용된다. 또 다른 실시예에서, 텅스텐이 접촉 홀들에 텅스텐 플러그(plug)를 형성하기 위해 이용된다. 다른 예에서, 실리사이드가 감소된 접촉 저항을 위해 소스 영역 및 드레인 영역 상에 다양한 접촉을 형성하는데 이용된다.
또 다른 실시예에서, pFET는 강화된 캐리어 이동성 및 개선된 디바이스 성능을 위해 스트레인 구조(strained structure)를 갖는다. 이 실시예의 증진에서, 실리콘 게르마늄(SiGe)이 적절한 응력(stress) 효과를 달성하기 위헤 pFET의 소스 영역 및 드레인 영역에 형성된다. 다른 실시예에서, nFET는 강화된 캐리어 이동성 및 개선된 디바이스 성능을 위해 스트레인 구조를 갖는다. 이 실시예의 증진에서, 실리콘 탄화물(SiC)이 적절한 응력 효과를 달성하기 위해 nFET들의 소스 영역 및 드레인 영역에 형성된다.
반도체 구조의 다양한 실시예들 및 이를 제조하는 방법이 제공되었다. 다른 대안들 및 부가물들이 본 개시의 범위로부터 벗어남 없이 존재할 수 있다. 본 개시는 다양한 애플리케이션들에서 이용될 수 있다. 예를 들어, FinFET 및 커패시터를 갖는 반도체 구조(100)는 정적 랜덤 액세스 메모리(SRAM) 셀들을 형성하는데 이용될 수 있다. 다른 예들에서, 반도체 구조(100)는 논리 회로, 동적 랜덤 액세스 메모리(DRAM), 플래시 메모리, 또는 이미징 센서와 같은 다양한 집적 회로에 집적될 수 있다.
따라서, 본 개시는 제 1 영역 및 제 2 영역을 갖는 반도체 기판; 반도체 기판에 형성된 STI(shallow trench isolation) 피처를 포함하는 반도체 구조의 일 실시예를 제공한다. STI 피처는 제 1 영역에 배치되고 제 1 두께(T1)를 갖는 제 1 부분 및 제 2 영역에 배치되고 제 1 두께보다 큰 제 2 두께(T2)를 갖는 제 2 부분을 포함하며, STI 피처의 제 1 부분은 STI 피처의 제 2 부분으로부터 리세스된다. 반도체 구조는 또한 반도체 기판 상의 복수의 핀 활성 영역들; 및 핀 활성 영역들 및 STI 피처 상에 배치된 복수의 도전성 피처들을 포함하고, 도전성 피처들 중 하나는 제 1 영역의 STI 피처의 제 1 부분을 커버(cover)한다.
반도체 구조의 일 실시예에서, STI 피처의 제 1 부분은 제 1 부분의 상부 표면이 (T2-T1)만큼 제 2 부분의 상부 표면보다 낮게 되도록 STI 피처의 제 2 부분으로부터 리세스된다.
다른 실시예에서, 반도체 구조는 도전성 피처들 아래에 놓이고 핀 활성 영역들로부터 도전성 피처들을 분리하는 복수의 유전체 피처들을 더 포함한다.
또 다른 실시예에서, 반도체 구조는 제 1 영역에 배치된 커패시터 및 제 2 영역에 배치된 트랜지스터를 더 포함한다. 커패시터는 핀 활성 영역들 중 하나, 도전성 피처들 중 하나, 및 도전성 피처들 중 하나로부터 도전성 피처 중 하나를 분리하는 유전체 층 중 하나를 포함한다. 일 예에서, 커패시터는 디-커플링 커패시터(de-coupling capacitor)이고, 터치스크린는 전계 효과 트랜지스터이다.
또 다른 실시예에서, 반도체 구조는 제 1 영역에 배치된 제 1 트랜지스터로서, 상기 제 1 트랜지스터는 유전체 피처들의 제 1 유전체 피처 및 유전체 피처들의 제 1 유전체 피처 위에 놓이는 도전성 피처들의 제 1 도전성 피처를 갖는 제 1 게이트 스택(gate stack)을 포함하는, 상기 제 1 트랜지스터; 및 제 2 영역에 배치된 제 2 트랜지스터를 더 포함하고, 상기 제 2 트랜지스터는 유전체 피처들의 제 2 유전체 피처 및 제 2 유전체 피처들의 제 2 유전체 피처 위에 놓이는 도전성 피처들의 제 2 도전성 피처를 갖는 제 2 게이트 스택을 포함한다. 유전체 피처들의 제 1 유전체 피처는 제 1 유전체 두께를 갖고, 유전체 피처들의 제 2 유전체 피처는 제 1 유전체 두께와 상이한 제 2 유전체 두께를 갖는다.
또 다른 실시예에서, 복수의 도전성 피처들은 제 1 영역에 배치되고 제 1 폭을 갖는 제 1 도전성 피처; 및 제 2 영역에 배치되고 제 1 폭보다 적은 제 2 폭을 갖는 제 2 도전성 피처를 포함한다.
또 다른 실시예에서, 복수의 유전체 피처들은 제 1 영역에 배치되고 제 1 도전성 피처 아래 놓이고 제 1 유전체 물질을 갖는 제 1 유전체 피처 및 제 2 영역에 배치되고 제 2 도전성 피처 아래 놓이고 제 1 유전체 물질과 상이한 제 2 유전체 물질을 갖는 제 2 유전체 피처를 포함한다.
또 다른 실시예에서, 제 1 도전성 피처, 제 2 유전체 피처 및 핀 활성 영역의 제 1 서브셋은 커패시터를 형성하도록 구성되고, 제 2 도전성 피처, 제 2 유전체 피처 및 핀 활성 영역들의 제 2 서브셋은 핀 전계 효과 트랜지스터(FinFET)를 형성하도록 구성된다.
본 개시는 또한 반도체 구조의 다른 실시예를 제공하며, 이 반도체 구조는 제 1 영역 및 제 2 영역을 갖는 반도체 기판; 반도체 기판 상에 형성되고 제 1 영역 및 제 2 영역으로 확장되는 핀 활성 영역(fin active region); 반도체 기판에 형성되고 핀 활성 영역에 인접한 쉘로우 트랜치 분리(STI) 피처를 포함한다. STI 피처는 제 1 영역에 배치된 제 1 부분 및 제 2 영역에 배치된 제 2 부분을 포함하고, STI 피처의 제 1 부분은 제 1 상부 표면을 갖고, STI 피처의 제 2 부분은 제 1 상부 표면보다 높은 제 2 상부 표면을 갖는다. 반도체 구조는 또한 핀 활성 영역 및 STI 피처 상에 형성된 제 1 도전성 피처로서, 제 1 도전성 피처는 제 1 영역에 배치되고 STI 피처의 제 1 부분을 커버하는, 상기 제 1 도전성 피처; 및 핀 활성 영역 및 STI 피처 상에 형성된 제 2 도전성 피처를 포함하고, 여기서 상기 제 2 도전성 피처는 상기 제 2 영역에 배치된다.
일 실시예에서, 반도체 구조는 제 1 도전성 피처와 정렬되고 제 1 도전성 피처 아래 놓이는 제 1 유전체 피처; 및 제 2 도전성 피처와 정렬되고 제 2 도전성 피처 아래 놓이는 제 2 유전체 피처를 더 포함한다.
다른 실시예에서, 핀 활성 영역, 제 1 유전체 피처, 및 제 1 도전성 피처는 커패시터를 형성하도록 구성 및 결합되고, 핀 활성 영역, 제 2 유전체 피처, 및 제 2 도전성 피처는 전계 효과 트랜지스터(field effect transistor)를 형성하도록 구성 및 결합된다.
또 다른 실시예에서, 제 1 도전성 피처는 제 1 폭을 포함하고, 제 2 도전성 피처는 제 1 폭보다 적은 제 2 폭을 포함한다.
또 다른 실시예에서, 제 1 유전체 피처는 제 1 두께를 포함하고, 제 2 유전체 피처는 제 1 두께와 상이한 제 2 두께를 포함한다.
또 다른 실시예에서, 제 1 유전체 피처는 제 1 유전체 물질을 포함하고, 제 2 유전체 피처는 제 1 유전체 물질과 상이한 제 2 유전체 물질을 포함한다.
또 다른 실시예에서, 핀 활성 영역은 제 1 방향으로 배향되고, 제 1 도전성 피처 및 제 2 도전성 피처는 제 1 방향과 수직인 제 2 방향으로 배향된다.
본 개시는 또한 방법의 일 실시예를 제공하며, 이 방법은 복수의 트랜치(trench)들을 형성하고 복수의 핀 활성 영역들을 정의하도록 반도체 기판을 에칭하는 단계; 쉘로우 트랜치 분리(STI) 피처들을 형성하도록 유전체 물질로 복수의 트랜치들을 채우는 단계; 제 1 치수만큼 제 1 영역에서의 STI 피처들의 제 1 서브셋(subset)을 리세스(recess)시키는 단계; 제 1 치수보다 적은 제 2 치수만큼 제 2 영역에서의 STI 피처들의 제 2 서브셋을 리세스시키는 단계; 및 STI 피처들 및 핀 활성 영역들 상에 도전성 피처를 형성하는 단계를 포함하고, 여기서 상기 도전성 피처는 STI 피처들의 제 1 서브셋을 커버한다.
일 실시예에서, 제 1 치수만큼 제 1 영역에서의 STI 피처들의 제 1 서브셋을 리세스시키는 상기 단계; 및 제 2 치수만큼 제 2 영역에서의 STI 피처들의 제 2 서브셋을 리세스시키는 상기 단계는, 반도체 기판 상에 패터닝된 레지스트층을 형성하는 단계로서, 상기 패터닝된 레지스트 층은 제 1 영역을 노출시키고 제 2 영역을 커버하는 개구들을 갖는, 상기 패터닝된 레지스트층을 형성하는 단계; 패터닝된 레지스트층의 개구들을 통해 제 1 영역에서의 STI 피처들의 제 1 서브셋에 대해 제 1 STI 에칭을 수행하는 단계; 패터닝된 레지스트층을 제거하는 단계; 및 STI 피처들의 제 1 서브셋이 STI 피처들의 제 2 서브셋으로부터 리세스되도록, 제 1 영역에서의 STI 피처들의 제 1 서브셋 및 제 2 영역에서의 STI 피처들의 제 2 서브셋에 대해 제 2 STI 에칭을 수행하는 단계를 포함한다.
다른 실시예에서, 방법은 제 1 STI 에칭을 수행하는 단계 이후에 그리고 제 2 STI 에칭을 수행하기 이전에 반도체 기판에 웰 주입(well implantation)을 수행하는 단계를 더 포함한다.
다른 실시예에서, 방법은 반도체 기판 상에 하드 마스크 층을 증착하는 단계; 리소그라피 프로세스를 이용하여 하드 마스크 층을 패터닝하는 단계로서, 상기 복수의 트랜치(trench)들을 형성하고 복수의 핀 활성 영역들을 정의하도록 반도체 기판을 에칭하는 단계는 하드 마스크 층의 개구들을 통해 반도체 기판을 에칭하는 단계를 포함하는, 상기 패터닝하는 단계; 및 제 1 STI 에칭 이후에 웰 주입을 수행하는 단계 이전에 하드 마스크 층을 제거하는 단계를 더 포함한다.
위의 내용은 몇 개의 실시예들의 특징들을 약술하였다. 당업자는 이들이 동일한 목적을 수행하고 그리고/또는 여기서 소개된 실시예들의 동일한 이점을 달성하기 위한 다른 프로세스들 및 구조들을 설계 또는 변형하기 위한 근간(basis)으로서 본 개시를 쉽게 이용할 수 있을 것임을 인지해야 한다. 당업자들은 또한 이러한 등가의 구성물들이 본 개시의 사상 및 범위로부터 벗어나지 않으며 이들은 본 개시의 사상 및 범위로부터 벗어남 없이 여기서의 다양한 변경들, 대체물들 및 변형물들을 제조할 수 있다는 것을 인식해야 한다.

Claims (10)

  1. 반도체 구조에 있어서,
    제 1 영역 및 제 2 영역을 갖는 반도체 기판;
    상기 반도체 기판에 형성된 쉘로우 트랜치 분리(shallow trench isolation; STI) 피처(feature)로서, 상기 STI 피처는 상기 제 1 영역에 배치되고 제 1 두께(T1)를 갖는 제 1 부분 및 상기 제 2 영역에 배치되고 상기 제 1 두께(T1)보다 큰 제 2 두께(T2)를 갖는 제 2 부분을 포함하고, 상기 STI 피처의 제 1 부분은 상기 STI 피처의 제 2 부분으로부터 리세스(recess)되는, 상기 STI 피처;
    상기 반도체 기판 상의 복수의 핀 활성 영역들;
    상기 핀 활성 영역들 및 상기 STI 피처 상에 배치된 복수의 도전성 피처들; 및
    상기 도전성 피처들 아래에 놓이고 상기 핀 활성 영역들로부터 상기 도전성 피처들을 분리하는 복수의 유전체 피처들을
    포함하고,
    상기 도전성 피처들 중 하나는 상기 제 1 영역의 상기 STI 피처의 제 1 부분을 커버(cover)하는 것인, 반도체 구조.
  2. 제 1 항에 있어서, 상기 제 1 부분의 상부 표면이 (T2-T1)만큼 상기 제 2 부분의 상부 표면보다 낮게 되도록 상기 STI 피처의 제 1 부분이 상기 STI 피처의 제 2 부분으로부터 리세스되는 것인, 반도체 구조.
  3. 삭제
  4. 제 1 항에 있어서,
    상기 제 1 영역에 배치된 제 1 트랜지스터로서, 상기 제 1 트랜지스터는 상기 유전체 피처들의 제 1 유전체 피처 및 상기 유전체 피처들의 상기 제 1 유전체 피처 위에 놓이는 상기 도전성 피처들의 제 1 도전성 피처를 갖는 제 1 게이트 스택(gate stack)을 포함하는, 상기 제 1 트랜지스터; 및
    상기 제 2 영역에 배치된 제 2 트랜지스터로서, 상기 제 2 트랜지스터는 상기 유전체 피처들의 제 2 유전체 피처 및 상기 제 2 유전체 피처들의 상기 제 2 유전체 피처 위에 놓이는 상기 도전성 피처들의 제 2 도전성 피처를 갖는 제 2 게이트 스택을 포함하는, 상기 제 2 트랜지스터를
    또한 포함하고,
    상기 유전체 피처들의 상기 제 1 유전체 피처는 제 1 유전체 두께를 갖고, 상기 유전체 피처들의 상기 제 2 유전체 피처는 상기 제 1 유전체 두께와 상이한 제 2 유전체 두께를 갖는 것인, 반도체 구조.
  5. 반도체 구조에 있어서,
    제 1 영역 및 제 2 영역을 갖는 반도체 기판;
    상기 반도체 기판 상에 형성되고 상기 제 1 영역 및 상기 제 2 영역으로 확장되는 핀 활성 영역(fin active region);
    상기 반도체 기판에 형성되고 상기 핀 활성 영역에 인접한 쉘로우 트랜치 분리(STI) 피처로서, 상기 STI 피처는,
    상기 제 1 영역에 배치된 제 1 부분 및 상기 제 2 영역에 배치된 제 2 부분을 포함하고,
    상기 STI 피처의 제 1 부분은 제 1 상부 표면을 갖고, 상기 STI 피처의 제 2 부분은 상기 제 1 상부 표면보다 높은 제 2 상부 표면을 갖는,
    상기 STI 피처;
    상기 핀 활성 영역 및 상기 STI 피처 상에 형성된 제 1 도전성 피처로서, 상기 제 1 도전성 피처는 상기 제 1 영역에 배치되고 상기 STI 피처의 제 1 부분을 커버하는, 상기 제 1 도전성 피처;
    상기 핀 활성 영역 및 상기 STI 피처 상에 형성된 제 2 도전성 피처로서, 상기 제 2 도전성 피처는 상기 제 2 영역에 배치되는, 상기 제 2 도전성 피처;
    상기 제 1 도전성 피처와 정렬되고 상기 제 1 도전성 피처 아래 놓이는 제 1 유전체 피처; 및
    상기 제 2 도전성 피처와 정렬되고 상기 제 2 도전성 피처 아래 놓이는 제 2 유전체 피처를
    포함하는, 반도체 구조.
  6. 삭제
  7. 제 5 항에 있어서,
    상기 핀 활성 영역, 상기 제 1 유전체 피처, 및 상기 제 1 도전성 피처는 커패시터를 형성하도록 구성 및 결합되고,
    상기 핀 활성 영역, 상기 제 2 유전체 피처, 및 상기 제 2 도전성 피처는 전계 효과 트랜지스터(field effect transistor)를 형성하도록 구성 및 결합되는 것인, 반도체 구조.
  8. 제 5 항에 있어서,
    상기 핀 활성 영역은 제 1 방향으로 배향되고,
    상기 제 1 도전성 피처 및 상기 제 2 도전성 피처는 상기 제 1 방향과 수직인 제 2 방향으로 배향되는 것인, 반도체 구조.
  9. 반도체 디바이스를 제조하기 위한 방법에 있어서,
    복수의 트랜치(trench)들을 형성하고 복수의 핀 활성 영역들을 정의하도록 반도체 기판을 에칭하는 단계;
    쉘로우 트랜치 분리(STI) 피처들을 형성하도록 유전체 물질로 상기 복수의 트랜치들을 채우는 단계;
    제 1 치수만큼 제 1 영역에서의 상기 STI 피처들의 제 1 서브셋(subset)을 리세스(recess)시키는 단계;
    상기 제 1 치수보다 적은 제 2 치수만큼 제 2 영역에서의 상기 STI 피처들의 제 2 서브셋을 리세스시키는 단계; 및
    상기 STI 피처들 및 상기 핀 활성 영역들 상에 도전성 피처를 형성하는 단계를
    포함하고,
    상기 도전성 피처는 상기 STI 피처들의 제 1 서브셋을 커버하는 것인, 반도체 디바이스를 제조하기 위한 방법.
  10. 제 9 항에 있어서,
    상기 제 1 치수만큼 상기 제 1 영역에서의 상기 STI 피처들의 제 1 서브셋을 리세스시키는 단계 및 상기 제 2 치수만큼 상기 제 2 영역에서의 상기 STI 피처들의 제 2 서브셋을 리세스시키는 단계는,
    상기 반도체 기판 상에 패터닝된 레지스트층을 형성하는 단계로서, 상기 패터닝된 레지스트층은 상기 제 1 영역을 노출시키고 상기 제 2 영역을 커버하는 개구들을 갖는, 상기 패터닝된 레지스트층을 형성하는 단계;
    상기 패터닝된 레지스트층의 개구들을 통해 상기 제 1 영역에서의 상기 STI 피처들의 제 1 서브셋에 대해 제 1 STI 에칭을 수행하는 단계;
    상기 패터닝된 레지스트층을 제거하는 단계; 및
    상기 STI 피처들의 제 1 서브셋이 상기 STI 피처들의 제 2 서브셋으로부터 리세스되도록, 상기 제 1 영역에서의 상기 STI 피처들의 제 1 서브셋 및 상기 제 2 영역에서의 상기 STI 피처들의 제 2 서브셋에 대해 제 2 STI 에칭을 수행하는 단계를
    포함하는 것인, 반도체 디바이스를 제조하기 위한 방법.
KR1020120063709A 2012-04-11 2012-06-14 커패시터가 집적된 FinFET를 위한 구조 및 방법 KR101435712B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/444,623 US8860148B2 (en) 2012-04-11 2012-04-11 Structure and method for FinFET integrated with capacitor
US13/444,623 2012-04-11

Publications (2)

Publication Number Publication Date
KR20130115062A KR20130115062A (ko) 2013-10-21
KR101435712B1 true KR101435712B1 (ko) 2014-09-01

Family

ID=49324308

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020120063709A KR101435712B1 (ko) 2012-04-11 2012-06-14 커패시터가 집적된 FinFET를 위한 구조 및 방법

Country Status (4)

Country Link
US (3) US8860148B2 (ko)
KR (1) KR101435712B1 (ko)
CN (1) CN103378153B (ko)
SG (2) SG10201504485RA (ko)

Families Citing this family (582)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9105506B2 (en) * 2011-06-21 2015-08-11 Etron Technology, Inc. Dynamic memory structure
US8860148B2 (en) 2012-04-11 2014-10-14 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET integrated with capacitor
US9142548B2 (en) 2012-09-04 2015-09-22 Qualcomm Incorporated FinFET compatible capacitor circuit
US9941271B2 (en) * 2013-10-04 2018-04-10 Avago Technologies General Ip (Singapore) Pte. Ltd. Fin-shaped field effect transistor and capacitor structures
US20150137201A1 (en) * 2013-11-20 2015-05-21 Qualcomm Incorporated High density linear capacitor
KR102066000B1 (ko) * 2013-12-11 2020-01-14 삼성전자주식회사 반도체 소자의 제조하는 방법
US9773696B2 (en) 2014-01-24 2017-09-26 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
KR20150101398A (ko) * 2014-02-24 2015-09-03 아이엠이씨 브이제트더블유 기판 내 반도체 장치의 핀 구조체 제조방법
US9401357B2 (en) * 2014-02-28 2016-07-26 Qualcomm Incorporated Directional FinFET capacitor structures
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
US9443769B2 (en) 2014-04-21 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Wrap-around contact
CN105097701B (zh) * 2014-04-25 2017-11-03 中芯国际集成电路制造(上海)有限公司 静态存储单元的形成方法
US10177133B2 (en) 2014-05-16 2019-01-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including source/drain contact having height below gate stack
US9608116B2 (en) 2014-06-27 2017-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. FINFETs with wrap-around silicide and method forming the same
US9966471B2 (en) 2014-06-27 2018-05-08 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked Gate-All-Around FinFET and method forming the same
US9793269B2 (en) 2014-08-07 2017-10-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US9614088B2 (en) 2014-08-20 2017-04-04 Taiwan Semiconductor Manufacturing Company Ltd. Metal gate structure and manufacturing method thereof
US9324619B2 (en) * 2014-08-25 2016-04-26 Samsung Electronics Co., Ltd. Semiconductor device and method of fabricating the same
US9437484B2 (en) 2014-10-17 2016-09-06 Taiwan Semiconductor Manufacturing Company, Ltd. Etch stop layer in integrated circuits
US9734276B2 (en) 2014-10-22 2017-08-15 Samsung Electronics Co., Ltd. Integrated circuit and method of designing layout of the same
US9466494B2 (en) 2014-11-18 2016-10-11 Taiwan Semiconductor Manufacturing Company, Ltd. Selective growth for high-aspect ration metal fill
US9508858B2 (en) 2014-11-18 2016-11-29 Taiwan Semiconductor Manufacturing Company, Ltd. Contacts for highly scaled transistors
US9478536B2 (en) 2014-12-09 2016-10-25 Samsung Electronics Co., Ltd. Semiconductor device including fin capacitors
US9412817B2 (en) 2014-12-19 2016-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Silicide regions in vertical gate all around (VGAA) devices and methods of forming same
US9613850B2 (en) 2014-12-19 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Lithographic technique for feature cut by line-end shrink
US9876114B2 (en) 2014-12-30 2018-01-23 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for 3D FinFET metal gate
US9673112B2 (en) 2015-02-13 2017-06-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method of semiconductor fabrication with height control through active region profile
US9502502B2 (en) 2015-03-16 2016-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US9698048B2 (en) 2015-03-27 2017-07-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating semiconductor device
US9768261B2 (en) 2015-04-17 2017-09-19 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method of forming the same
KR102026772B1 (ko) * 2015-05-08 2019-09-30 시러스 로직 인터내셔널 세미컨덕터 리미티드 FinFET들과 같은 얇은 수직 반도체 구조체들로부터 형성된 고밀도 커패시터들
GB2539774B (en) * 2015-05-08 2019-10-30 Cirrus Logic Int Semiconductor Ltd High density capacitors formed from thin vertical semiconductor structures such as FinFETs
US9576796B2 (en) 2015-05-15 2017-02-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US9761683B2 (en) 2015-05-15 2017-09-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9741829B2 (en) 2015-05-15 2017-08-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10062779B2 (en) 2015-05-22 2018-08-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
KR102449901B1 (ko) 2015-06-23 2022-09-30 삼성전자주식회사 집적회로 소자 및 그 제조 방법
US9685368B2 (en) 2015-06-26 2017-06-20 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure having an etch stop layer over conductive lines
US10403744B2 (en) 2015-06-29 2019-09-03 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices comprising 2D-materials and methods of manufacture thereof
US11424399B2 (en) 2015-07-07 2022-08-23 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated thermoelectric devices in Fin FET technology
US9455251B1 (en) * 2015-07-15 2016-09-27 International Business Machines Corporation Decoupling capacitor using finFET topology
JP2017027982A (ja) * 2015-07-16 2017-02-02 ルネサスエレクトロニクス株式会社 撮像装置およびその製造方法
US9418886B1 (en) 2015-07-24 2016-08-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming conductive features
US9536980B1 (en) 2015-07-28 2017-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Gate spacers and methods of forming same
US9564363B1 (en) 2015-08-19 2017-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming butted contact
US9698100B2 (en) 2015-08-19 2017-07-04 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for interconnection
US9831090B2 (en) 2015-08-19 2017-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for semiconductor device having gate spacer protection layer
US9721887B2 (en) 2015-08-19 2017-08-01 Taiwan Semiconductor Manufacturing Company, Ltd Method of forming metal interconnection
US9576980B1 (en) 2015-08-20 2017-02-21 International Business Machines Corporation FinFET devices having gate dielectric structures with different thicknesses on same semiconductor structure
US9786602B2 (en) 2015-08-21 2017-10-10 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnection structure and methods of fabrication the same
US9728402B2 (en) 2015-08-21 2017-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Flowable films and methods of forming flowable films
US9490136B1 (en) 2015-08-31 2016-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming trench cut
US9472620B1 (en) * 2015-09-04 2016-10-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including fin structures and manufacturing method thereof
US9373618B1 (en) * 2015-09-04 2016-06-21 International Business Machines Corporation Integrated FinFET capacitor
US9613856B1 (en) 2015-09-18 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming metal interconnection
US9972529B2 (en) 2015-09-28 2018-05-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming metal interconnection
US10163797B2 (en) 2015-10-09 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Forming interlayer dielectric material by spin-on metal oxide deposition
US9735052B2 (en) 2015-10-12 2017-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Metal lines for interconnect structure and method of manufacturing same
US9711533B2 (en) 2015-10-16 2017-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices having different source/drain proximities for input/output devices and non-input/output devices and the method of fabrication thereof
US9659864B2 (en) 2015-10-20 2017-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for forming self-aligned via with selectively deposited etching stop layer
KR102323943B1 (ko) 2015-10-21 2021-11-08 삼성전자주식회사 반도체 장치 제조 방법
US9647116B1 (en) 2015-10-28 2017-05-09 Taiwan Semiconductor Manufacturing Co., Ltd. Method for fabricating self-aligned contact in a semiconductor device
US9818690B2 (en) 2015-10-30 2017-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned interconnection structure and method
US9627531B1 (en) 2015-10-30 2017-04-18 Taiwan Semiconductor Manufacturing Company, Ltd. Field-effect transistor with dual vertical gates
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US10164051B2 (en) 2015-11-16 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US9899387B2 (en) 2015-11-16 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US9633999B1 (en) 2015-11-16 2017-04-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for semiconductor mid-end-of-line (MEOL) process
US9773879B2 (en) 2015-11-30 2017-09-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
US10340348B2 (en) 2015-11-30 2019-07-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing finFETs with self-align contacts
US10163719B2 (en) 2015-12-15 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming self-alignment contact
US9873943B2 (en) 2015-12-15 2018-01-23 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for spatial atomic layer deposition
US9954081B2 (en) 2015-12-15 2018-04-24 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor, semiconductor device and fabricating method thereof
US9728501B2 (en) 2015-12-21 2017-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming trenches
CN106910705B (zh) 2015-12-22 2019-12-06 中芯国际集成电路制造(北京)有限公司 具有浅沟槽隔离结构的器件及其制造方法
US9887128B2 (en) 2015-12-29 2018-02-06 Taiwan Semiconductor Manufacturing Co., Ltd. Method and structure for interconnection
US10163704B2 (en) 2015-12-29 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
DE102016116026B4 (de) 2015-12-29 2024-02-01 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleitervorrichtung und Herstellungsverfahren
US9614086B1 (en) 2015-12-30 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Conformal source and drain contacts for multi-gate field effect transistors
US11088030B2 (en) 2015-12-30 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
US9899269B2 (en) 2015-12-30 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd Multi-gate device and method of fabrication thereof
US10115796B2 (en) 2016-01-07 2018-10-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method of pulling-back sidewall metal layer
US10811262B2 (en) 2016-01-14 2020-10-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having a uniform and thin silicide layer on an epitaxial source/ drain structure and manufacturing method thereof
US9881872B2 (en) 2016-01-15 2018-01-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method for fabricating a local interconnect in a semiconductor device
US10283605B2 (en) 2016-01-29 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd Self-aligned metal gate etch back process and device
US9722081B1 (en) 2016-01-29 2017-08-01 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device and method of forming the same
US10727094B2 (en) 2016-01-29 2020-07-28 Taiwan Semiconductor Manufacturing Co., Ltd Thermal reflector device for semiconductor fabrication tool
US10163912B2 (en) 2016-01-29 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method for semiconductor device fabrication with improved source drain proximity
US9812451B2 (en) 2016-02-03 2017-11-07 Taiwan Semiconductor Manufacturing Company, Ltd Field effect transistor contact with reduced contact resistance
US9847330B2 (en) 2016-02-05 2017-12-19 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor and method for fabricating the same
US9768170B2 (en) 2016-02-05 2017-09-19 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor and method for fabricating the same
US10535558B2 (en) 2016-02-09 2020-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming trenches
US9543161B1 (en) 2016-02-10 2017-01-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method of planarizating film
US9947756B2 (en) 2016-02-18 2018-04-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US9754822B1 (en) 2016-03-02 2017-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure and method
US9570556B1 (en) 2016-03-03 2017-02-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9755019B1 (en) 2016-03-03 2017-09-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10056407B2 (en) 2016-03-04 2018-08-21 Taiwan Semiconductor Manufacturing Co., Ltd Semiconductor device and a method for fabricating the same
US10109627B2 (en) 2016-03-08 2018-10-23 Taiwan Semiconductor Manufacturing Co., Ltd. Enlarging spacer thickness by forming a dielectric layer over a recessed interlayer dielectric
US9711402B1 (en) 2016-03-08 2017-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming contact metal
US9911611B2 (en) 2016-03-17 2018-03-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming openings in a material layer
DE102016114724B4 (de) 2016-03-25 2021-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. Verfahren zum Ausbilden von Gräben mit unterschiedlichen Tiefen und Vorrichtung
US9779984B1 (en) 2016-03-25 2017-10-03 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming trenches with different depths
US9548366B1 (en) 2016-04-04 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. Self aligned contact scheme
US9847477B2 (en) 2016-04-12 2017-12-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a bottom electrode of a magnetoresistive random access memory cell
US9805951B1 (en) 2016-04-15 2017-10-31 Taiwan Semiconductor Manufacturing Co., Ltd. Method of integration process for metal CMP
US9893062B2 (en) 2016-04-28 2018-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
US10475847B2 (en) 2016-04-28 2019-11-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having stress-neutralized film stack and method of fabricating same
US9941348B2 (en) * 2016-04-29 2018-04-10 Globalfoundries Inc. Method of forming a capacitor structure and capacitor structure
US9899266B2 (en) 2016-05-02 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET structures and methods of forming the same
US10304936B2 (en) 2016-05-04 2019-05-28 International Business Machines Corporation Protection of high-K dielectric during reliability anneal on nanosheet structures
US11127629B2 (en) 2016-05-17 2021-09-21 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and fabricating method thereof
US10276662B2 (en) 2016-05-31 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming contact trench
US9917085B2 (en) 2016-05-31 2018-03-13 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate isolation structure and method forming same
US10109467B2 (en) 2016-06-01 2018-10-23 Taiwan Semiconductor Manufacturing Co., Ltd. Advanced exhaust system
US9941386B2 (en) 2016-06-01 2018-04-10 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with fin structure and method for forming the same
US9893070B2 (en) * 2016-06-10 2018-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and fabrication method therefor
US9627258B1 (en) 2016-06-15 2017-04-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming a contact
US10164032B2 (en) 2016-06-17 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned contact and manufacturing method thereof
US10515822B2 (en) 2016-06-20 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for preventing bottom layer wrinkling in a semiconductor device
US10008414B2 (en) 2016-06-28 2018-06-26 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for widening Fin widths for small pitch FinFET devices
US10685873B2 (en) 2016-06-29 2020-06-16 Taiwan Semiconductor Manufacturing Co., Ltd. Etch stop layer for semiconductor devices
US9859302B1 (en) 2016-06-29 2018-01-02 International Business Machines Corporation Fin-type field-effect transistor
US9985097B2 (en) 2016-06-30 2018-05-29 International Business Machines Corporation Integrated capacitors with nanosheet transistors
WO2018004672A1 (en) * 2016-07-01 2018-01-04 Intel Corporation Thin film resistor with reduced capacitance
US9768064B1 (en) 2016-07-14 2017-09-19 Taiwan Semiconductor Manufacturing Co., Ltd. Formation method of semiconductor device structure
US9640540B1 (en) 2016-07-19 2017-05-02 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and method for an SRAM circuit
US10121873B2 (en) 2016-07-29 2018-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate and contact plug design and method forming same
US9721805B1 (en) 2016-07-29 2017-08-01 Taiwan Semiconductor Manufacturing Co., Ltd. Formation method of semiconductor device structure
US10199500B2 (en) 2016-08-02 2019-02-05 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-layer film device and method
US10043886B2 (en) 2016-08-03 2018-08-07 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate formation through etch back process
US9991205B2 (en) 2016-08-03 2018-06-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10510850B2 (en) 2016-08-03 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US9929271B2 (en) 2016-08-03 2018-03-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10522536B2 (en) 2016-08-03 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with gate stacks
US10164111B2 (en) 2016-08-03 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and methods of manufacture
US10269926B2 (en) 2016-08-24 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Purging deposition tools to reduce oxygen and moisture in wafers
US9997524B2 (en) 2016-08-24 2018-06-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor memory device and manufacturing method thereof
US9865697B1 (en) 2016-08-25 2018-01-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US9812358B1 (en) 2016-09-14 2017-11-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET structures and methods of forming the same
US9653480B1 (en) 2016-09-22 2017-05-16 International Business Machines Corporation Nanosheet capacitor
US10784378B2 (en) 2016-09-30 2020-09-22 Intel Corporation Ultra-scaled fin pitch having dual gate dielectrics
US9865589B1 (en) 2016-10-31 2018-01-09 Taiwan Semiconductor Manufacturing Co., Ltd. System and method of fabricating ESD FinFET with improved metal landing in the drain
US10043665B2 (en) 2016-11-28 2018-08-07 Taiwan Semiconductor Manufacturing Co., Ltd. Formation method of semiconductor device structure with semiconductor nanowire
US10049930B2 (en) 2016-11-28 2018-08-14 Taiwan Semiconductor Manufacturing Co., Ltd. Memory device and operation method thereof
US10157770B2 (en) * 2016-11-28 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having isolation structures with different thickness and method of forming the same
US10326003B2 (en) 2016-11-28 2019-06-18 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and methods of forming
US10700181B2 (en) 2016-11-28 2020-06-30 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (finFET) device structure and method for forming the same
US10008497B2 (en) 2016-11-29 2018-06-26 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US9985134B1 (en) 2016-11-29 2018-05-29 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods of forming FinFETs
US9837539B1 (en) 2016-11-29 2017-12-05 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of forming
US10515818B2 (en) * 2016-11-29 2019-12-24 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor methods and devices
US10290546B2 (en) 2016-11-29 2019-05-14 Taiwan Semiconductor Manufacturing Co., Ltd. Threshold voltage adjustment for a gate-all-around semiconductor structure
US10510598B2 (en) 2016-11-29 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned spacers and method forming same
US9881834B1 (en) 2016-11-29 2018-01-30 Taiwan Semiconductor Manufacturing Company, Ltd. Contact openings and methods forming same
US10510851B2 (en) 2016-11-29 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Low resistance contact method and structure
DE102017118364B4 (de) 2016-11-29 2021-10-14 Taiwan Semiconductor Manufacturing Co. Ltd. Verfahren mit Herstellung von Source/Drain- und Gate-Kontakten und Struktur mit solchen
US10553720B2 (en) 2016-11-29 2020-02-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method of removing an etch mask
US10269906B2 (en) 2016-11-30 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having two spacers
US10008416B2 (en) 2016-11-30 2018-06-26 Taiwan Semiconductor Manufacturing Co., Ltd. Forming a protective layer to prevent formation of leakage paths
US10707316B2 (en) 2016-12-09 2020-07-07 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure with gate structure
US10453741B2 (en) 2016-12-13 2019-10-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor device contact
US10037912B2 (en) 2016-12-14 2018-07-31 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacturing the same
US10522642B2 (en) 2016-12-14 2019-12-31 Taiwan Semiconductor Manufacturing Co. Ltd. Semiconductor device with air-spacer
US9865595B1 (en) 2016-12-14 2018-01-09 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device with epitaxial structures that wrap around the fins and the method of fabricating the same
US10157781B2 (en) 2016-12-14 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor structure using polishing process
DE102017113681A1 (de) 2016-12-14 2018-06-14 Taiwan Semiconductor Manufacturing Co. Ltd. Halbleiter-bauelement mit luft-abstandshalter
US10651171B2 (en) 2016-12-15 2020-05-12 Taiwan Semiconductor Manufacturing Co. Ltd. Integrated circuit with a gate structure and method making the same
DE102017127208A1 (de) 2016-12-15 2018-06-21 Taiwan Semiconductor Manufacturing Co., Ltd. Finfet-strukturen und verfahren zu deren herstellung
US10269646B2 (en) 2016-12-15 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
DE102017117794A1 (de) 2016-12-15 2018-06-21 Taiwan Semiconductor Manufacturing Co., Ltd. Zurückätzen und selektive abscheidung eines metall-gates
US11476349B2 (en) 2016-12-15 2022-10-18 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET structures and methods of forming the same
US10879370B2 (en) 2016-12-15 2020-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Etching back and selective deposition of metal gate
US10497811B2 (en) 2016-12-15 2019-12-03 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET structures and methods of forming the same
US9972571B1 (en) 2016-12-15 2018-05-15 Taiwan Semiconductor Manufacturing Co., Ltd. Logic cell structure and method
US10079289B2 (en) 2016-12-22 2018-09-18 Taiwan Semiconductor Manufacturing Co., Ltd. Metal gate structure and methods thereof
US10164106B2 (en) 2016-12-29 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
US10121675B2 (en) 2016-12-29 2018-11-06 Taiwan Semiconductor Manufacturing Co., Ltd Semiconductor device and a method for fabricating the same
US10325911B2 (en) 2016-12-30 2019-06-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10516030B2 (en) 2017-01-09 2019-12-24 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs and methods forming same
US9985023B1 (en) 2017-02-21 2018-05-29 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure
US9859364B1 (en) 2017-03-03 2018-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10950605B2 (en) 2017-03-24 2021-03-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device
US10304945B2 (en) 2017-03-24 2019-05-28 Taiwan Semiconductor Manufacturing Co., Ltd. High-speed semiconductor device and method for forming the same
US10090325B1 (en) 2017-03-31 2018-10-02 Taiwan Semiconductor Manufacturing Co., Ltd. Circuit cells having separated gate electrodes
US10355095B2 (en) 2017-03-31 2019-07-16 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET structure with composite gate helmet
WO2018182736A1 (en) * 2017-03-31 2018-10-04 Intel Corporation Resistor between gates in self-aligned gate edge architecture
KR102330087B1 (ko) 2017-04-03 2021-11-22 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US10153198B2 (en) 2017-04-07 2018-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. Low-resistance contact plugs and method forming same
US10056473B1 (en) 2017-04-07 2018-08-21 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10312332B2 (en) 2017-04-18 2019-06-04 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of forming the same
US10269621B2 (en) 2017-04-18 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs and methods forming same
US10186456B2 (en) 2017-04-20 2019-01-22 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for forming contact plugs with reduced corrosion
US10062784B1 (en) 2017-04-20 2018-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned gate hard mask and method forming same
US10872980B2 (en) 2017-04-25 2020-12-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10522643B2 (en) 2017-04-26 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Device and method for tuning threshold voltage by implementing different work function metals in different segments of a gate
US10522417B2 (en) 2017-04-27 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device with different liners for PFET and NFET and method of fabricating thereof
US10157997B2 (en) 2017-04-27 2018-12-18 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods of forming the same
US10332786B2 (en) 2017-04-27 2019-06-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manufacturing a semiconductor device
DE102017122702B4 (de) 2017-04-28 2023-11-09 Taiwan Semiconductor Manufacturing Co. Ltd. Struktur und Verfahren für FinFET-Vorrichtung mit asymmetrischem Kontakt
US10115825B1 (en) * 2017-04-28 2018-10-30 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and method for FinFET device with asymmetric contact
JP6885779B2 (ja) * 2017-04-28 2021-06-16 ルネサスエレクトロニクス株式会社 半導体装置
US10141225B2 (en) 2017-04-28 2018-11-27 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gates of transistors having reduced resistivity
US10157785B2 (en) 2017-05-01 2018-12-18 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10332965B2 (en) 2017-05-08 2019-06-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of fabricating the same
US10050149B1 (en) 2017-05-18 2018-08-14 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structure for semiconductor device
US10269636B2 (en) 2017-05-26 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of fabricating the same
US10522392B2 (en) 2017-05-31 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of fabricating the same
US10163621B1 (en) 2017-05-31 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method and structure for FinFET devices
US9991268B1 (en) 2017-06-08 2018-06-05 Taiwan Semiconductor Manufacturing Co., Ltd. SRAM cell structure
US10283414B2 (en) 2017-06-20 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Isolation manufacturing method for semiconductor structures
US11334703B2 (en) 2017-06-29 2022-05-17 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit layouts with fill feature shapes
US10720358B2 (en) 2017-06-30 2020-07-21 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having a liner layer with a configured profile and method of fabricating thereof
DE102018104944A1 (de) 2017-06-30 2019-01-03 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleiter-Bauelement mit einer Auskleidungsschicht mit einem konfigurierten Profil und Verfahren zu dessen Herstellung
US10468529B2 (en) 2017-07-11 2019-11-05 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure with etch stop layer
US10157988B1 (en) 2017-07-18 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure with dual spacers and method for forming the same
US10290635B2 (en) 2017-07-26 2019-05-14 Taiwan Semiconductor Manufacturing Co., Ltd. Buried interconnect conductor
US10283623B2 (en) 2017-07-27 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuits with gate stacks
US10685884B2 (en) 2017-07-31 2020-06-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including a Fin-FET and method of manufacturing the same
DE102017126027B4 (de) 2017-07-31 2022-02-24 Taiwan Semiconductor Manufacturing Co., Ltd. Metallgatestruktur und Verfahren
US10510875B2 (en) 2017-07-31 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Source and drain structure with reduced contact resistance and enhanced mobility
US10269624B2 (en) 2017-07-31 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs and methods of forming same
US10283503B2 (en) 2017-07-31 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Metal gate structure and methods thereof
CN109390338B (zh) * 2017-08-08 2021-06-22 联华电子股份有限公司 互补式金属氧化物半导体元件及其制作方法
US10515850B2 (en) 2017-08-25 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method and IC design with non-linear power rails
US10403714B2 (en) 2017-08-29 2019-09-03 Taiwan Semiconductor Manufacturing Co., Ltd. Fill fins for semiconductor devices
US10685880B2 (en) 2017-08-30 2020-06-16 Taiwan Semiconductor Manufacturing Co., Ltd. Methods for reducing contact depth variation in semiconductor fabrication
US10535654B2 (en) 2017-08-30 2020-01-14 Taiwan Semiconductor Manufacturing Co., Ltd. Cut metal gate with slanted sidewalls
US10446555B2 (en) 2017-08-31 2019-10-15 Taiwan Semiconductor Manufacturing Company, Ltd. Buried metal track and methods forming same
US10535525B2 (en) 2017-08-31 2020-01-14 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor device structure
US10164053B1 (en) 2017-08-31 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10276720B2 (en) 2017-08-31 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming fin field effect transistor (FINFET) device structure
US10453753B2 (en) * 2017-08-31 2019-10-22 Taiwan Semiconductor Manufacturing Co., Ltd. Using a metal-containing layer as an etching stop layer and to pattern source/drain regions of a FinFET
US10515896B2 (en) 2017-08-31 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structure for semiconductor device and methods of fabrication thereof
US10475654B2 (en) 2017-08-31 2019-11-12 Taiwan Semiconductor Manufacturing Company, Ltd. Wrap-around contact plug and method manufacturing same
US10374058B2 (en) 2017-09-15 2019-08-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method for manufacturing the same
US10700177B2 (en) 2017-09-27 2020-06-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with low resistivity contact structure and method for forming the same
US10868181B2 (en) 2017-09-27 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with blocking layer and method for forming the same
US10636673B2 (en) 2017-09-28 2020-04-28 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor device structure
US10515687B2 (en) 2017-09-28 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Strap cell design for static random access memory (SRAM) array
US10074558B1 (en) 2017-09-28 2018-09-11 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET structure with controlled air gaps
US10686074B2 (en) 2017-09-28 2020-06-16 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure with doped region in source/drain structure and method for forming the same
US10276697B1 (en) 2017-10-27 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Negative capacitance FET with improved reliability performance
US10522557B2 (en) 2017-10-30 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Surface topography by forming spacer-like components
US10217815B1 (en) 2017-10-30 2019-02-26 Taiwan Semiconductor Manufacturing Co., Ltd Integrated circuit device with source/drain barrier
US10872762B2 (en) 2017-11-08 2020-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming silicon oxide layer and semiconductor structure
US10403551B2 (en) 2017-11-08 2019-09-03 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain features with an etch stop layer
US10367078B2 (en) 2017-11-09 2019-07-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and FinFET devices having shielding layers
US10439135B2 (en) 2017-11-09 2019-10-08 Taiwan Semiconductor Manufacturing Co., Ltd. VIA structure and methods of forming the same
DE102018107038B4 (de) 2017-11-09 2022-06-09 Taiwan Semiconductor Manufacturing Co., Ltd. Verfahren zur herstellung einer durchkontaktierungsstruktur
US10680084B2 (en) 2017-11-10 2020-06-09 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial structures for fin-like field effect transistors
US10283624B1 (en) 2017-11-14 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and method for forming the same
US10727178B2 (en) 2017-11-14 2020-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Via structure and methods thereof
US10629708B2 (en) 2017-11-14 2020-04-21 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with barrier layer and method for forming the same
US10515809B2 (en) 2017-11-15 2019-12-24 Taiwan Semiconductor Manufacturing Company, Ltd. Selective high-K formation in gate-last process
US10468527B2 (en) 2017-11-15 2019-11-05 Taiwan Semiconductor Manufacturing Co., Ltd. Metal gate structure and methods of fabricating thereof
US10366915B2 (en) 2017-11-15 2019-07-30 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET devices with embedded air gaps and the fabrication thereof
US10396184B2 (en) 2017-11-15 2019-08-27 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit device fins
US10468530B2 (en) 2017-11-15 2019-11-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with source/drain multi-layer structure and method for forming the same
US10170322B1 (en) 2017-11-16 2019-01-01 Taiwan Semiconductor Manufacturing Co., Ltd. Atomic layer deposition based process for contact barrier layer
US10978351B2 (en) 2017-11-17 2021-04-13 Taiwan Semiconductor Manufacturing Co., Ltd. Etch stop layer between substrate and isolation structure
US10658508B2 (en) 2017-11-17 2020-05-19 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with low resistance contact
US10629693B2 (en) 2017-11-17 2020-04-21 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with barrier layer and method for forming the same
US10727117B2 (en) 2017-11-20 2020-07-28 Taiwan Semiconductor Manufacturing Company Ltd. Method for manufacturing semiconductor structure
US11037924B2 (en) 2017-11-21 2021-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming source/drain contacts
US10418453B2 (en) 2017-11-22 2019-09-17 Taiwan Semiconductor Manufacturing Co., Ltd. Forming metal contacts on metal gates
US10867986B2 (en) 2017-11-24 2020-12-15 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device having fin structure
US10840376B2 (en) 2017-11-29 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structure and method with enhanced gate contact and threshold voltage
US10164048B1 (en) 2017-11-29 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming source/drain contacts
US10319581B1 (en) 2017-11-30 2019-06-11 Taiwan Semiconductor Manufacturing Co., Ltd. Cut metal gate process for reducing transistor spacing
US11011618B2 (en) 2017-11-30 2021-05-18 Taiwan Semiconductor Manufacturing Co., Ltd. Circuit devices with gate seals
US10847413B2 (en) 2017-11-30 2020-11-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming contact plugs for semiconductor device
US10861745B2 (en) 2017-11-30 2020-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US10460994B2 (en) 2017-11-30 2019-10-29 Taiwan Semiconductor Manufacturing Co., Ltd. Residue-free metal gate cutting for fin-like field effect transistor
US10366982B2 (en) 2017-11-30 2019-07-30 Taiwan Semiconductor Manufacturing Co., Ltd. Structure with embedded memory device and contact isolation scheme
US10510894B2 (en) 2017-11-30 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Isolation structure having different distances to adjacent FinFET devices
US10177038B1 (en) 2017-11-30 2019-01-08 Taiwan Semiconductor Manufacturing Co., Ltd. Prevention of contact bottom void in semiconductor fabrication
US10756114B2 (en) 2017-12-28 2020-08-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor circuit with metal structure and manufacturing method
US10608094B2 (en) 2018-01-23 2020-03-31 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of forming the same
US10461078B2 (en) 2018-02-26 2019-10-29 Taiwan Semiconductor Manufacturing Co., Ltd. Creating devices with multiple threshold voltage by cut-metal-gate process
US10867851B2 (en) 2018-02-26 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure and semiconductor device and method of forming the same
US10290535B1 (en) 2018-03-22 2019-05-14 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit fabrication with a passivation agent
US10867844B2 (en) 2018-03-28 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Wet cleaning with tunable metal recess for VIA plugs
US10854615B2 (en) 2018-03-30 2020-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET having non-merging epitaxially grown source/drains
US10629492B2 (en) 2018-04-27 2020-04-21 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structure having a dielectric gate and methods thereof
US10867848B2 (en) 2018-04-30 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10699943B2 (en) 2018-04-30 2020-06-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming contacts in a semiconductor device
US10685966B2 (en) 2018-05-16 2020-06-16 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuits with contacting gate structures
US10529860B2 (en) 2018-05-31 2020-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and method for FinFET device with contact over dielectric gate
US10504775B1 (en) 2018-05-31 2019-12-10 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of forming metal layer structures in semiconductor devices
US10529414B2 (en) 2018-05-31 2020-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. SRAM cell having SiGe PMOS fin lines
US11107902B2 (en) 2018-06-25 2021-08-31 Taiwan Semiconductor Manufacturing Company, Ltd. Dielectric spacer to prevent contacting shorting
US10950434B2 (en) 2018-06-27 2021-03-16 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of reducing gate spacer loss during semiconductor manufacturing
US10840153B2 (en) 2018-06-27 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Notched gate structure fabrication
US10665506B2 (en) 2018-06-27 2020-05-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with reduced via bridging risk
US11302535B2 (en) 2018-06-27 2022-04-12 Taiwan Semiconductor Manufacturing Co., Ltd. Performing annealing process to improve fin quality of a FinFET semiconductor
US10790352B2 (en) 2018-06-28 2020-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. High density capacitor implemented using FinFET
US10388771B1 (en) 2018-06-28 2019-08-20 Taiwan Semiconductor Manufacturing Co., Ltd. Method and device for forming cut-metal-gate feature
US11410890B2 (en) 2018-06-28 2022-08-09 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial layers in source/drain contacts and methods of forming the same
US11694933B2 (en) 2018-06-28 2023-07-04 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of forming metal gate spacer
US10665673B2 (en) 2018-06-28 2020-05-26 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit structure with non-gated well tap cell
US11081356B2 (en) 2018-06-29 2021-08-03 Taiwan Semiconductor Manufacturing Co., Ltd. Method for metal gate cut and structure thereof
US10867805B2 (en) 2018-06-29 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Selective removal of an etching stop layer for improving overlay shift tolerance
US10868128B2 (en) 2018-06-29 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Ohmic contact structure, semiconductor device including an ohmic contact structure, and method for forming the same
US11018053B2 (en) 2018-06-29 2021-05-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with material modification and low resistance plug
US10468500B1 (en) 2018-06-29 2019-11-05 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET fabrication methods
US11081403B2 (en) 2018-06-29 2021-08-03 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of forming contact features in field-effect transistors
US11244898B2 (en) 2018-06-29 2022-02-08 Taiwan Semiconductor Manufacturing Co., Ltd Integrated circuit interconnect structures with air gaps
US11315933B2 (en) 2018-06-29 2022-04-26 Taiwan Semiconductor Manufacturing Co., Ltd. SRAM structure and method for forming the same
US10755917B2 (en) 2018-06-29 2020-08-25 Taiwan Semiconductor Manufacturing Company, Ltd. Treatment for adhesion improvement
TWI776911B (zh) 2018-07-02 2022-09-11 聯華電子股份有限公司 半導體元件及其製作方法
US11127631B2 (en) 2018-07-13 2021-09-21 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with contact structures
US10541175B1 (en) 2018-07-13 2020-01-21 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with fin structures
US10755945B2 (en) 2018-07-16 2020-08-25 Taiwan Semiconductor Manufacturing Co., Ltd. Metal contacts on metal gates and methods thereof
US10854503B2 (en) 2018-07-16 2020-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with air gap and method sealing the air gap
US11171053B2 (en) 2018-07-27 2021-11-09 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor device and related methods
US10840189B2 (en) 2018-07-30 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit devices having raised via contacts and methods of fabricating the same
US10734474B2 (en) 2018-07-30 2020-08-04 Taiwan Semiconductor Manufacturing Co., Ltd. Metal-insulator-metal structure and methods of fabrication thereof
US11031300B2 (en) 2018-07-31 2021-06-08 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method for manufacturing the same
US10886226B2 (en) 2018-07-31 2021-01-05 Taiwan Semiconductor Manufacturing Co, Ltd. Conductive contact having staircase barrier layers
US11978802B2 (en) 2018-07-31 2024-05-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices and methods of forming the same
US10868182B2 (en) 2018-07-31 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Field effect transistor and manufacturing method thereof
US11121129B2 (en) 2018-07-31 2021-09-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device
US11081395B2 (en) 2018-07-31 2021-08-03 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field effect transistor having air gap and method for manufacturing the same
US11069692B2 (en) 2018-07-31 2021-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET SRAM cells with dielectric fins
US10658237B2 (en) 2018-07-31 2020-05-19 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices
US10868184B2 (en) 2018-07-31 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure with hard mask layer over gate structure and method for forming the same
US11038059B2 (en) 2018-07-31 2021-06-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of forming the same
US10714342B2 (en) * 2018-07-31 2020-07-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of forming the same
US11217479B2 (en) 2018-07-31 2022-01-04 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple metallization scheme
CN110828376A (zh) * 2018-08-09 2020-02-21 中芯国际集成电路制造(天津)有限公司 一种半导体器件的形成方法
US10693004B2 (en) 2018-08-14 2020-06-23 Taiwan Semiconductor Manufactruing Co., Ltd. Via structure with low resistivity and method for forming the same
US10797161B2 (en) 2018-08-14 2020-10-06 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manufacturing semiconductor structure using selective forming process
US10679856B2 (en) 2018-08-14 2020-06-09 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure with insulating structure over fin isolation structure and method for forming the same
US10840342B2 (en) 2018-08-14 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of forming source/drain contacts in field-effect transistors
DE102019120821A1 (de) 2018-08-15 2020-02-20 Taiwan Semiconductor Manufacturing Co., Ltd. Struktur und prozess einer integrierten schaltung mit einer latch-up-unterdrückung
US11062963B2 (en) 2018-08-15 2021-07-13 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and process of integrated circuit having latch-up suppression
US10868020B2 (en) 2018-08-29 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Well strap structures and methods of forming the same
US11018011B2 (en) 2018-08-29 2021-05-25 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of forming contact features in semiconductor devices
US10930564B2 (en) 2018-08-31 2021-02-23 Taiwan Semiconductor Manufacturing Co., Ltd. Metal gate structure cutting process
US11222951B2 (en) 2018-08-31 2022-01-11 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial source/drain structure and method
US10868118B2 (en) 2018-08-31 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of forming epitaxial source/drain features in semiconductor devices
US11043425B2 (en) 2018-08-31 2021-06-22 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of reducing parasitic capacitance in semiconductor devices
US10861928B2 (en) 2018-09-18 2020-12-08 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuits with capacitors
US11101385B2 (en) 2018-09-19 2021-08-24 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure with air gap and method for forming the same
US10998241B2 (en) 2018-09-19 2021-05-04 Taiwan Semiconductor Manufacturing Co., Ltd. Selective dual silicide formation using a maskless fabrication process flow
US11437385B2 (en) 2018-09-24 2022-09-06 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET SRAM cells with reduced fin pitch
US10923393B2 (en) 2018-09-24 2021-02-16 Taiwan Semiconductor Manufacturing Co., Ltd. Contacts and interconnect structures in field-effect transistors
US11217585B2 (en) 2018-09-25 2022-01-04 Taiwan Semiconductor Manufacturing Co., Ltd. Forming dielectric dummy fins with different heights in different regions of a semiconductor device
US10872891B2 (en) 2018-09-25 2020-12-22 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuits with gate cut features
US11508827B2 (en) 2018-09-26 2022-11-22 Taiwan Semiconductor Manufacturing Co., Ltd. Air spacer for a gate structure of a transistor
US11563167B2 (en) 2018-09-26 2023-01-24 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and method for an MRAM device with a multi-layer top electrode
US11210447B2 (en) 2018-09-26 2021-12-28 Taiwan Semiconductor Manufacturing Co., Ltd. Reconfiguring layout and sizing for transistor components to simultaneously optimize logic devices and non-logic devices
US11411090B2 (en) 2018-09-27 2022-08-09 Taiwan Semiconductor Manufacturing Co., Ltd. Contact structures for gate-all-around devices and methods of forming the same
US11349008B2 (en) 2018-09-27 2022-05-31 Taiwan Semiconductor Manufacturing Co., Ltd. Negative capacitance transistor having a multilayer ferroelectric structure or a ferroelectric layer with a gradient doping profile
US11004740B2 (en) 2018-09-27 2021-05-11 Taiwan Semicondctor Manufacturing Co., Ltd. Structure and method for interconnection with self-alignment
US11171209B2 (en) 2018-09-27 2021-11-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US11011636B2 (en) 2018-09-27 2021-05-18 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure with hard mask layer over gate structure and method for forming the same
US10840133B2 (en) 2018-09-27 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with staggered selective growth
US10964816B2 (en) 2018-09-27 2021-03-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method and device for boosting performance of FinFETs via strained spacer
US11031397B2 (en) 2018-09-27 2021-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-gate device integration with separated Fin-like field effect transistor cells and gate-all-around transistor cells
US11374126B2 (en) 2018-09-27 2022-06-28 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET structure with fin top hard mask and method of forming the same
US11069793B2 (en) 2018-09-28 2021-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Reducing parasitic capacitance for gate-all-around device by forming extra inner spacers
US10923474B2 (en) 2018-09-28 2021-02-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure having gate-all-around devices
US11205714B2 (en) 2018-09-28 2021-12-21 Taiwan Semiconductor Manufacturing Co., Ltd. Dummy structure at fin cut
US11222958B2 (en) 2018-09-28 2022-01-11 Taiwan Semiconductor Manufacturing Co., Ltd. Negative capacitance transistor with external ferroelectric structure
US10672665B2 (en) 2018-09-28 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor device structure and method for forming the same
US11257671B2 (en) 2018-09-28 2022-02-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system of control of epitaxial growth
US11107925B2 (en) 2018-09-28 2021-08-31 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of forming contact features in field-effect transistors
US10971605B2 (en) 2018-10-22 2021-04-06 Taiwan Semiconductor Manufacturing Co., Ltd. Dummy dielectric fin design for parasitic capacitance reduction
US11139203B2 (en) 2018-10-22 2021-10-05 Taiwan Semiconductor Manufacturing Co., Ltd. Using mask layers to facilitate the formation of self-aligned contacts and vias
US10825721B2 (en) 2018-10-23 2020-11-03 Taiwan Semiconductor Manufacturing Co., Ltd. Insulating cap on contact structure and method for forming the same
US11380682B2 (en) 2018-10-23 2022-07-05 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuits with FinFET gate structures
US10847373B2 (en) 2018-10-23 2020-11-24 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of forming silicide contact in field-effect transistors
US10868018B2 (en) 2018-10-25 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. SRAM structure and connection
US10985022B2 (en) 2018-10-26 2021-04-20 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structures having interfacial layers
US10950729B2 (en) 2018-10-26 2021-03-16 Taiwan Semiconductor Manufacturing Co., Ltd. Contact structure with insulating cap
US10937876B2 (en) 2018-10-26 2021-03-02 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain feature to contact interfaces
US10943983B2 (en) 2018-10-29 2021-03-09 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuits having protruding interconnect conductors
US11145544B2 (en) 2018-10-30 2021-10-12 Taiwan Semiconductor Manufacturing Co., Ltd. Contact etchback in room temperature ionic liquid
US10916550B2 (en) 2018-10-30 2021-02-09 Taiwan Semiconductor Manufacturing Co., Ltd. Memory devices with gate all around transistors
US10971408B2 (en) 2018-10-31 2021-04-06 Taiwan Semiconductor Manufacturing Co., Ltd. Contact air gap formation and structures thereof
US10998238B2 (en) 2018-10-31 2021-05-04 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuits with buried interconnect conductors
US10867842B2 (en) 2018-10-31 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for shrinking openings in forming integrated circuits
US11043558B2 (en) 2018-10-31 2021-06-22 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain metal contact and formation thereof
US10944009B2 (en) 2018-10-31 2021-03-09 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of fabricating a FinFET device with wrap-around silicide source/drain structure
US10686033B2 (en) * 2018-11-09 2020-06-16 Applied Materials, Inc. Fin damage reduction during punch through implantation of FinFET device
US10692775B2 (en) 2018-11-09 2020-06-23 Applied Materials, Inc. Fin damage reduction during punch through implantation of FinFET device
US10923598B2 (en) 2018-11-27 2021-02-16 Taiwan Semiconductor Manufacturing Co., Ltd. Gate-all-around structure and methods of forming the same
US11476196B2 (en) 2018-11-27 2022-10-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with multi-layer dielectric
US11195951B2 (en) 2018-11-27 2021-12-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with self-aligned wavy contact profile and method of forming the same
US11264268B2 (en) 2018-11-29 2022-03-01 Taiwan Semiconductor Mtaiwananufacturing Co., Ltd. FinFET circuit devices with well isolation
US11271094B2 (en) 2018-11-29 2022-03-08 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method of manufacturing the same
US10879400B2 (en) 2018-12-24 2020-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Field effect transistor and method of manufacturing the same
US10868000B2 (en) 2019-01-25 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with epitaxial structure and method for forming the same
US10777455B2 (en) 2019-01-29 2020-09-15 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-etching process for forming via opening in semiconductor device structure
US11823896B2 (en) 2019-02-22 2023-11-21 Taiwan Semiconductor Manufacturing Co., Ltd. Conductive structure formed by cyclic chemical vapor deposition
US10868171B2 (en) 2019-02-26 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with gate dielectric layer and method for forming the same
US11469109B2 (en) 2019-03-14 2022-10-11 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure having metal contact features and method for forming the same
US10872810B2 (en) 2019-03-14 2020-12-22 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor device structure and method for forming the same
US10978354B2 (en) 2019-03-15 2021-04-13 Taiwan Semiconductor Manufacturing Co., Ltd. Selective dual silicide formation
US11043594B2 (en) 2019-03-26 2021-06-22 Taiwan Semiconductor Manufacturing Co., Ltd. Low parasitic resistance contact structure
US10727224B1 (en) 2019-04-10 2020-07-28 Nxp Usa, Inc. Decoupling capacitors using regularity finFET structures and methods for making same
US10971630B2 (en) 2019-04-24 2021-04-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure having both gate-all-around devices and planar devices
US11121234B2 (en) 2019-04-24 2021-09-14 Taiwan Semiconductor Manufacturing Co., Ltd. Stacked gate spacers
US11232943B2 (en) 2019-04-24 2022-01-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method and structure for semiconductor interconnect
US11031336B2 (en) 2019-04-25 2021-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor memory device having contact element of rectangular shape
US11094695B2 (en) 2019-05-17 2021-08-17 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit device and method of forming the same
US10818768B1 (en) 2019-05-30 2020-10-27 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming metal cap layers to improve performance of semiconductor structure
US11183580B2 (en) 2019-05-30 2021-11-23 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with metal gate stack
US10755964B1 (en) 2019-05-31 2020-08-25 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain isolation structure and methods thereof
US11276684B2 (en) * 2019-05-31 2022-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Recessed composite capacitor
US11004748B2 (en) * 2019-06-05 2021-05-11 Globalfoundries U.S. Inc. Semiconductor devices with wide gate-to-gate spacing
US11342229B2 (en) 2019-06-13 2022-05-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming a semiconductor device structure having an electrical connection structure
US11043595B2 (en) 2019-06-14 2021-06-22 Taiwan Semiconductor Manufacturing Co., Ltd. Cut metal gate in memory macro edge and middle strap
US10872821B1 (en) 2019-06-24 2020-12-22 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
US11245071B2 (en) 2019-06-25 2022-02-08 Taiwan Semiconductor Manufacturing Company, Ltd. Memory cell, method of forming the same, and semiconductor device having the same
US11515197B2 (en) 2019-07-11 2022-11-29 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and method of forming the semiconductor device
US11152486B2 (en) 2019-07-15 2021-10-19 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET semiconductor device having source/drain contact(s) separated by airgap spacer(s) from the gate stack(s) to reduce parasitic capacitance
US11476166B2 (en) 2019-07-30 2022-10-18 Taiwan Semiconductor Manufacturing Co., Ltd. Nano-sheet-based complementary metal-oxide-semiconductor devices with asymmetric inner spacers
US11532550B2 (en) 2019-07-31 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure having a multi-layer conductive feature and method making the same
US11145660B2 (en) 2019-07-31 2021-10-12 Taiwan Semiconductor Manufacturing Co., Ltd. Dual-port SRAM cell structure
US11152488B2 (en) 2019-08-21 2021-10-19 Taiwan Semiconductor Manufacturing Co., Ltd. Gate-all-around structure with dummy pattern top in channel region and methods of forming the same
US11069811B2 (en) 2019-08-22 2021-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US20210057273A1 (en) 2019-08-22 2021-02-25 Taiwan Semiconductor Manufacturing Co., Ltd. Barrier-Less Structures
US11127639B2 (en) 2019-08-22 2021-09-21 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with fin structures
US11189531B2 (en) 2019-08-23 2021-11-30 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistor device and method
US11710667B2 (en) 2019-08-27 2023-07-25 Taiwan Semiconductor Manufacturing Co., Ltd. Gate-all-around device with trimmed channel and dipoled dielectric layer and methods of forming the same
US11195934B2 (en) 2019-08-29 2021-12-07 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and method for bi-layer self-aligned contact
US11158721B2 (en) 2019-08-30 2021-10-26 Taiwan Semiconductor Manufacturing Co., Ltd. Metal oxide interlayer structure for nFET and pFET
US10867863B1 (en) 2019-09-16 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US11227828B2 (en) 2019-09-16 2022-01-18 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US10937652B1 (en) 2019-09-16 2021-03-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method and structure of cut end with self-aligned double patterning
US11239114B2 (en) 2019-09-16 2022-02-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with reduced contact resistance and methods of forming the same
US11227950B2 (en) 2019-09-16 2022-01-18 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of forming air spacers in semiconductor devices
US10937884B1 (en) 2019-09-16 2021-03-02 Taiwan Semiconductor Manufacturing Co., Ltd. Gate spacer with air gap for semiconductor device structure and method for forming the same
US11282920B2 (en) 2019-09-16 2022-03-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with air gap on gate structure and method for forming the same
US11315785B2 (en) 2019-09-17 2022-04-26 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial blocking layer for multi-gate devices and fabrication methods thereof
US11362212B2 (en) 2019-09-17 2022-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Contact interface engineering for reducing contact resistance
US11335592B2 (en) 2019-09-17 2022-05-17 Taiwan Semiconductor Manufacturing Co., Ltd. Contact resistance between via and conductive line
US11342231B2 (en) 2019-09-17 2022-05-24 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit device with low threshold voltage
US11177344B2 (en) 2019-09-25 2021-11-16 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-gate device with air gap spacer and fabrication methods thereof
US11508822B2 (en) 2019-09-25 2022-11-22 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain via having reduced resistance
US11387146B2 (en) 2019-09-26 2022-07-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with air gaps between metal gates and method of forming the same
US11282935B2 (en) 2019-09-26 2022-03-22 Taiwan Semiconductor Manufacturing Co., Ltd. Gate-all-around device with protective dielectric layer and method of forming the same
US11239121B2 (en) 2019-09-26 2022-02-01 Taiwan Semiconductor Manufacturing Co., Ltd. Metal gate contacts and methods of forming the same
US11342222B2 (en) 2019-09-26 2022-05-24 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned scheme for semiconductor device and method of forming the same
US11508624B2 (en) 2019-09-26 2022-11-22 Taiwan Semiconductor Manufacturing Co., Ltd. Gate-all-around device with different channel semiconductor materials and method of forming the same
US11145765B2 (en) 2019-09-26 2021-10-12 Taiwan Semiconductor Manufacturing Co., Ltd. Gate-all-around structure with self substrate isolation and methods of forming the same
US11621224B2 (en) 2019-09-26 2023-04-04 Taiwan Semiconductor Manufacturing Co. Ltd. Contact features and methods of fabricating the same in semiconductor devices
US11211116B2 (en) 2019-09-27 2021-12-28 Taiwan Semiconductor Manufacturing Co., Ltd. Embedded SRAM write assist circuit
US11443980B2 (en) 2019-09-27 2022-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating semiconductor device with metal pad extending into top metal layer
US11581226B2 (en) 2019-09-27 2023-02-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with tunable epitaxy structures and method of forming the same
US11587927B2 (en) 2019-09-27 2023-02-21 Taiwan Semiconductor Manufacturing Co., Ltd. Crown bulk for FinFET device
US11328990B2 (en) 2019-09-27 2022-05-10 Taiwan Semiconductor Manufacturing Co., Ltd. Via structure having a metal hump for low interface resistance
US11271083B2 (en) 2019-09-27 2022-03-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device, FinFET device and methods of forming the same
US11296084B2 (en) 2019-09-29 2022-04-05 Taiwan Semiconductor Manufacturing Company, Ltd. Deposition method, semiconductor device and method of fabricating the same
US11264393B2 (en) 2019-09-30 2022-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain contact having a protruding segment
US11289417B2 (en) 2019-09-30 2022-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and methods of forming the same
US11158539B2 (en) 2019-10-01 2021-10-26 Taiwan Semiconductor Manufacturing Co., Ltd. Method and structure for barrier-less plug
US11189708B2 (en) 2019-10-17 2021-11-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with gate stack and method for forming the same
US11201229B2 (en) 2019-10-18 2021-12-14 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with metal gate stack
US11037925B2 (en) 2019-10-18 2021-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and method of integrated circuit having decouple capacitance
US11251305B2 (en) 2019-10-25 2022-02-15 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor device structure and method for forming the same
US11322495B2 (en) 2019-10-28 2022-05-03 Taiwan Semiconductor Manufacturing Company, Ltd. Complementary metal-oxide-semiconductor device and method of manufacturing the same
US11233134B2 (en) 2019-12-19 2022-01-25 Taiwan Semiconductor Manufacturing Co., Ltd. Field effect transistors with dual silicide contact structures
US11508623B2 (en) 2019-12-31 2022-11-22 Taiwan Semiconductor Manufacturing Co., Ltd. Local gate height tuning by CMP and dummy gate design
US11476365B2 (en) 2020-01-16 2022-10-18 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor device structure and method for forming the same
US11495491B2 (en) 2020-01-16 2022-11-08 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with stacked conductive structures
US11302692B2 (en) 2020-01-16 2022-04-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices having gate dielectric layers of varying thicknesses and methods of forming the same
US11302784B2 (en) 2020-01-17 2022-04-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having contact feature and method of fabricating the same
US11355615B2 (en) 2020-01-17 2022-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET having fluorine-doped gate sidewall spacers
US11244899B2 (en) 2020-01-17 2022-02-08 Taiwan Semiconductor Manufacturing Co., Ltd. Butted contacts and methods of fabricating the same in semiconductor devices
US11201106B2 (en) 2020-01-24 2021-12-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with conductors embedded in a substrate
US11177383B2 (en) 2020-02-10 2021-11-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US11189706B2 (en) 2020-02-11 2021-11-30 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET structure with airgap and method of forming the same
US11830948B2 (en) 2020-02-19 2023-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US11201085B2 (en) 2020-02-25 2021-12-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure having air gap and method for forming the same
US11133230B2 (en) 2020-02-26 2021-09-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with dual isolation liner and method of forming the same
US11715781B2 (en) 2020-02-26 2023-08-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices with improved capacitors
US11211256B2 (en) 2020-02-26 2021-12-28 Taiwan Semiconductor Manufacturing Co., Ltd Method with CMP for metal ion prevention
US11373947B2 (en) 2020-02-26 2022-06-28 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming interconnect structures of semiconductor device
US11515216B2 (en) 2020-02-27 2022-11-29 Taiwan Semiconductor Manufacturing Co., Ltd. Dual silicide structure and methods thereof
CN113113359A (zh) 2020-02-27 2021-07-13 台湾积体电路制造股份有限公司 半导体装置的制造方法
US11404570B2 (en) 2020-02-27 2022-08-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices with embedded ferroelectric field effect transistors
TW202145443A (zh) 2020-02-27 2021-12-01 台灣積體電路製造股份有限公司 半導體裝置的形成方法
TW202139270A (zh) 2020-02-27 2021-10-16 台灣積體電路製造股份有限公司 半導體裝置的形成方法
US11374128B2 (en) 2020-02-27 2022-06-28 Taiwan Semiconductor Manufacturing Co., Ltd. Method and structure for air gap inner spacer in gate-all-around devices
US11515211B2 (en) 2020-02-27 2022-11-29 Taiwan Semiconductor Manufacturing Co., Ltd. Cut EPI process and structures
US11152475B2 (en) 2020-02-27 2021-10-19 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming source/drain contacts utilizing an inhibitor
CN113113311A (zh) 2020-02-27 2021-07-13 台湾积体电路制造股份有限公司 半导体装置的形成方法
US11545432B2 (en) 2020-02-27 2023-01-03 Taiwan Semiconductor Manufacturing Co., Ltd Semiconductor device with source and drain vias having different sizes
US11450659B2 (en) 2020-03-12 2022-09-20 International Business Machines Corporation On-chip decoupling capacitor
US11588038B2 (en) 2020-03-30 2023-02-21 Taiwan Semiconductor Manufacturing Co., Ltd. Circuit structure with gate configuration
DE102021104484A1 (de) 2020-03-30 2021-09-30 Taiwan Semiconductor Manufacturing Co., Ltd. Schaltungsstruktur mit gatekonfiguration
US11374105B2 (en) 2020-03-31 2022-06-28 Taiwan Semiconductor Manufacturing Co., Ltd. Nanosheet device with dipole dielectric layer and methods of forming the same
US12022643B2 (en) 2020-03-31 2024-06-25 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-layer high-k gate dielectric structure
DE102020126060A1 (de) 2020-03-31 2021-09-30 Taiwan Semiconductor Manufacturing Co., Ltd. Mehrschichtige high-k-gatedielektrikumstruktur
US11251073B2 (en) 2020-04-01 2022-02-15 Taiwan Semiconductor Manufacturing Co. Selective deposition of barrier layer
US11450602B2 (en) 2020-04-01 2022-09-20 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid method for forming semiconductor interconnect structure
US11302796B2 (en) 2020-04-01 2022-04-12 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming self-aligned source/drain metal contacts
US11309398B2 (en) 2020-04-01 2022-04-19 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and manufacturing method for the semiconductor device
US11158632B1 (en) 2020-04-01 2021-10-26 Taiwan Semiconductor Manufacturing Co., Ltd Fin-based strap cell structure for improving memory performance
US11296202B2 (en) 2020-04-01 2022-04-05 Taiwan Semiconductor Manufacturing Co., Ltd. Memory chip structure having GAA transistors with different threshold voltages and work functions for improving performances in multiple applications
US11177212B2 (en) 2020-04-13 2021-11-16 Taiwan Semiconductor Manufacturing Co., Ltd. Contact formation method and related structure
US11342501B2 (en) 2020-04-17 2022-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Memory cell, method of forming the same, and semiconductor device having the same
US11121138B1 (en) 2020-04-24 2021-09-14 Taiwan Semiconductor Manufacturing Co., Ltd. Low resistance pickup cells for SRAM
DE102020121223A1 (de) 2020-04-24 2021-10-28 Taiwan Semiconductor Manufacturing Co., Ltd. Selektive Auskleidung auf Rückseitendurchkontaktierung und deren Verfahren
US11342413B2 (en) 2020-04-24 2022-05-24 Taiwan Semiconductor Manufacturing Co., Ltd. Selective liner on backside via and method thereof
US11450660B2 (en) 2020-04-27 2022-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of fabricating the same
US11764220B2 (en) 2020-04-27 2023-09-19 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device by patterning a serpentine cut pattern
US11670692B2 (en) 2020-05-13 2023-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Gate-all-around devices having self-aligned capping between channel and backside power rail
US11257712B2 (en) 2020-05-13 2022-02-22 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain contact formation methods and devices
US11631745B2 (en) 2020-05-15 2023-04-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with uneven gate profile
US11769821B2 (en) 2020-05-15 2023-09-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having a corner spacer
US11996409B2 (en) 2020-05-20 2024-05-28 Taiwan Semiconductor Manufacturing Co., Ltd. Stacking CMOS structure
DE102020131611A1 (de) 2020-05-28 2021-12-02 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleitervorrichtung mit luftspalten und verfahren zu deren herstellung
US11929329B2 (en) 2020-05-28 2024-03-12 Taiwan Semiconductor Manufacturing Company, Ltd. Damascene process using cap layer
US11410876B2 (en) 2020-05-28 2022-08-09 Taiwan Semiconductor Manufacturing Co., Ltd Semiconductor device with air gaps and method of fabrication thereof
US11699742B2 (en) 2020-05-29 2023-07-11 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with varying numbers of channel layers and method of fabrication thereof
US11302798B2 (en) 2020-05-29 2022-04-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices with air gate spacer and air gate cap
US11527533B2 (en) 2020-05-29 2022-12-13 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET pitch scaling
US11527539B2 (en) 2020-05-29 2022-12-13 Taiwan Semiconductor Manufacturing Co., Ltd. Four-poly-pitch SRAM cell with backside metal tracks
US11443987B2 (en) 2020-05-29 2022-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices with backside air gap dielectric
US11637126B2 (en) 2020-05-29 2023-04-25 Taiwan Semiconductor Manufacturing Company, Ltd. Memory device and method of forming the same
US11361994B2 (en) 2020-06-08 2022-06-14 Taiwan Semiconductor Manufacturing Co., Ltd. Fully self-aligned interconnect structure
US11398550B2 (en) 2020-06-15 2022-07-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with facet S/D feature and methods of forming the same
US11316023B2 (en) 2020-06-15 2022-04-26 Taiwan Semiconductor Manufacturing Co., Ltd. Dumbbell shaped self-aligned capping layer over source/drain contacts and method thereof
US20210391470A1 (en) 2020-06-15 2021-12-16 Taiwan Semiconductor Manufacturing Company, Ltd. Layered structure, semiconductor device including the same, and manufacturing method thereof
US11631736B2 (en) 2020-06-15 2023-04-18 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial source/drain feature with enlarged lower section interfacing with backside via
US11367621B2 (en) 2020-06-15 2022-06-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US11637099B2 (en) 2020-06-15 2023-04-25 Taiwan Semiconductor Manufacturing Co., Ltd. Forming ESD devices using multi-gate compatible processes
US11282943B2 (en) 2020-06-15 2022-03-22 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-gate devices and fabricating the same with etch rate modulation
US12058867B2 (en) 2020-06-18 2024-08-06 Taiwan Semiconductor Manufacturing Company, Ltd. Memory device
US11444025B2 (en) 2020-06-18 2022-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Transistor and fabrication method thereof
US11145734B1 (en) 2020-06-29 2021-10-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with dummy fin and liner and method of forming the same
US11233005B1 (en) 2020-07-10 2022-01-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manufacturing an anchor-shaped backside via
US11276643B2 (en) 2020-07-22 2022-03-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with backside spacer and methods of forming the same
US11664278B2 (en) 2020-07-22 2023-05-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with L-shape conductive feature and methods of forming the same
US11532718B2 (en) 2020-07-30 2022-12-20 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET having a gate dielectric comprising a multi-layer structure including an oxide layer with different thicknesses on side and top surfaces of the fins
US11456211B2 (en) 2020-07-30 2022-09-27 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming interconnect structure
US11862701B2 (en) 2020-07-31 2024-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Stacked multi-gate structure and methods of fabricating the same
US11489057B2 (en) 2020-08-07 2022-11-01 Taiwan Semiconductor Manufacturing Co., Ltd. Contact structures in semiconductor devices
US11302816B2 (en) 2020-08-11 2022-04-12 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method for forming the same
US12046479B2 (en) 2020-08-13 2024-07-23 Taiwan Semiconductor Manufacturing Company, Ltd. Nitride-containing STI liner for SiGe channel
US11374088B2 (en) 2020-08-14 2022-06-28 Taiwan Semiconductor Manufacturing Co., Ltd. Leakage reduction in gate-all-around devices
US11935941B2 (en) 2020-08-14 2024-03-19 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and method for manufacturing thereof
US11482594B2 (en) 2020-08-27 2022-10-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices with backside power rail and method thereof
US11404321B2 (en) 2020-08-31 2022-08-02 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method of manufacturing the same
US11349002B2 (en) 2020-09-25 2022-05-31 Taiwan Semiconductor Manufacturing Company, Ltd. Isolation structure for for isolating epitaxially grown source/drain regions and method of fabrication thereof
US11658119B2 (en) 2020-10-27 2023-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Backside signal interconnection
US11735470B2 (en) 2020-11-13 2023-08-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming semiconductor device structure with source/drain contact
US11482451B2 (en) 2020-11-20 2022-10-25 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structures
US11658216B2 (en) 2021-01-14 2023-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for metal gate boundary isolation
US11670681B2 (en) 2021-01-14 2023-06-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming fully strained channels
US12089414B2 (en) 2021-01-15 2024-09-10 Taiwan Semiconductor Manufacturing Company, Ltd. Memory device and method of forming the same
US12057341B2 (en) 2021-01-27 2024-08-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with gate cut structure and method of forming the same
US11538927B2 (en) 2021-01-28 2022-12-27 Taiwan Semiconductor Manufacturing Company, Ltd. Nanostructures and method for manufacturing the same
US11621197B2 (en) 2021-02-15 2023-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with gate cut feature and method for forming the same
US11538858B2 (en) 2021-03-05 2022-12-27 Taiwan Semiconductor Manufacturing Company, Ltd. Memory device, method of forming the same, and memory array
US11876119B2 (en) 2021-03-05 2024-01-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with gate isolation features and fabrication method of the same
US11482518B2 (en) 2021-03-26 2022-10-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structures having wells with protruding sections for pickup cells
US11605558B2 (en) 2021-03-26 2023-03-14 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit interconnect structure having discontinuous barrier layer and air gap
US11901228B2 (en) 2021-03-31 2024-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned scheme for semiconductor device and method of forming the same
US11749729B2 (en) 2021-03-31 2023-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device, integrated circuit component and manufacturing methods thereof
US11646346B2 (en) 2021-04-08 2023-05-09 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure with air spacer for semiconductor device and method for forming the same
US11784228B2 (en) 2021-04-09 2023-10-10 Taiwan Semiconductor Manufacturing Company, Ltd. Process and structure for source/drain contacts
US11710664B2 (en) 2021-04-15 2023-07-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure with backside via contact and a protection liner layer
US11848372B2 (en) 2021-04-21 2023-12-19 Taiwan Semiconductor Manufacturing Co., Ltd. Method and structure for reducing source/drain contact resistance at wafer backside
US11908701B2 (en) 2021-04-22 2024-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning method and manufacturing method of semiconductor device
US11737287B2 (en) 2021-04-23 2023-08-22 Taiwan Semiconductor Manufacturing Company, Ltd. Memory device, method of forming the same, and semiconductor device having the same
US12010928B2 (en) 2021-04-23 2024-06-11 Taiwan Semiconductor Manufacturing Company, Ltd. Memory cell, semiconductor device having the same, and methods of manufacturing the same
US11792977B2 (en) 2021-05-13 2023-10-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor memory structure
US11996321B2 (en) 2021-06-17 2024-05-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method for forming the same
US11810919B2 (en) 2021-06-17 2023-11-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure with conductive via structure and method for forming the same
US11957070B2 (en) 2021-08-06 2024-04-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device, memory cell and method of forming the same
US12062692B2 (en) 2021-08-27 2024-08-13 Taiwan Semiconductor Manufacturing Company, Ltd. Tapered dielectric layer for preventing electrical shorting between gate and back side via
US11996453B2 (en) 2021-08-27 2024-05-28 Taiwan Semiconductor Manufacturing Company, Ltd. Introducing fluorine to gate after work function metal deposition
US12074063B2 (en) 2021-08-30 2024-08-27 Taiwan Semiconductor Manufacturing Company, Ltd. Contact formation method and related structure
US12080769B2 (en) 2022-02-15 2024-09-03 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure with silicide and method for forming the same
US12046476B2 (en) 2022-03-25 2024-07-23 Taiwan Semiconductor Manufacturing Company, Ltd. Wet etching chemistry and method of forming semiconductor device using the same
CN117491835B (zh) * 2023-12-29 2024-03-15 苏州元脑智能科技有限公司 测量方法、装置、系统、晶体管、集成电路、介质及设备

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20050094576A (ko) * 2004-03-23 2005-09-28 삼성전자주식회사 3차원 시모스 전계효과 트랜지스터 및 그것을 제조하는 방법
US7719043B2 (en) * 2004-07-12 2010-05-18 Nec Corporation Semiconductor device with fin-type field effect transistor and manufacturing method thereof.
KR20110056225A (ko) * 2009-11-20 2011-05-26 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 서로 다른 핀 높이를 갖는 finfet들

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100574340B1 (ko) * 2004-02-02 2006-04-26 삼성전자주식회사 반도체 장치 및 이의 형성 방법
EP2073267A1 (en) * 2007-12-19 2009-06-24 INTERUNIVERSITAIR MICROELEKTRONICA CENTRUM vzw (IMEC) Method of fabricating multi-gate semiconductor devices and devices obtained
US7687862B2 (en) * 2008-05-13 2010-03-30 Infineon Technologies Ag Semiconductor devices with active regions of different heights
US8980719B2 (en) * 2010-04-28 2015-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for doping fin field-effect transistors
US8519481B2 (en) * 2009-10-14 2013-08-27 Taiwan Semiconductor Manufacturing Company, Ltd. Voids in STI regions for forming bulk FinFETs
CN102074582B (zh) * 2009-11-20 2013-06-12 台湾积体电路制造股份有限公司 集成电路结构及其形成方法
US8373238B2 (en) * 2009-12-03 2013-02-12 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with multiple Fin heights
US8492226B2 (en) * 2011-09-21 2013-07-23 Globalfoundries Singapore Pte. Ltd. Trench transistor
US8853037B2 (en) * 2012-03-14 2014-10-07 GlobalFoundries, Inc. Methods for fabricating integrated circuits
US8860148B2 (en) 2012-04-11 2014-10-14 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET integrated with capacitor

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20050094576A (ko) * 2004-03-23 2005-09-28 삼성전자주식회사 3차원 시모스 전계효과 트랜지스터 및 그것을 제조하는 방법
US7719043B2 (en) * 2004-07-12 2010-05-18 Nec Corporation Semiconductor device with fin-type field effect transistor and manufacturing method thereof.
KR20110056225A (ko) * 2009-11-20 2011-05-26 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 서로 다른 핀 높이를 갖는 finfet들
US20110121406A1 (en) 2009-11-20 2011-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with Different Fin Heights

Also Published As

Publication number Publication date
CN103378153B (zh) 2016-06-08
US20130270620A1 (en) 2013-10-17
SG10201504485RA (en) 2015-07-30
SG194272A1 (en) 2013-11-29
KR20130115062A (ko) 2013-10-21
US9305918B2 (en) 2016-04-05
US20140377928A1 (en) 2014-12-25
US20160190122A1 (en) 2016-06-30
CN103378153A (zh) 2013-10-30
US9865592B2 (en) 2018-01-09
US8860148B2 (en) 2014-10-14

Similar Documents

Publication Publication Date Title
KR101435712B1 (ko) 커패시터가 집적된 FinFET를 위한 구조 및 방법
US9196543B2 (en) Structure and method for finFET device
KR101949605B1 (ko) 상이한 트랜지스터들의 소스/드레인 영역들을 형성하기 위한 주입들
US8878308B2 (en) Multi-fin device by self-aligned castle fin formation
KR101412906B1 (ko) 전계 효과 트랜지스터를 위한 구조 및 방법
US9673084B2 (en) Isolation scheme for high voltage device
KR101575452B1 (ko) Finfet 디바이스 및 방법
US9564486B2 (en) Self-aligned dual-height isolation for bulk FinFET
CN102376538B (zh) 形成多晶硅电阻装置的方法以及半导体装置
KR20200000784A (ko) 컨택 쇼팅을 방지하는 유전체 스페이서
US9685533B1 (en) Transistor with SiCN/SiOCN mulitlayer spacer
US20120280291A1 (en) Semiconductor device including gate openings
TW201735265A (zh) 半導體結構及其製造方法
US20100127333A1 (en) novel layout architecture for performance enhancement
US20050191812A1 (en) Spacer-less transistor integration scheme for high-k gate dielectrics and small gate-to-gate spaces applicable to Si, SiGe strained silicon schemes
KR20190063358A (ko) 강화된 게이트 컨택 및 임계 전압을 갖는 게이트 구조 및 방법
US8853052B2 (en) Method of manufacturing a semiconductor device
US20140015053A1 (en) Self-protected metal-oxide-semiconductor field-effect transistor
JP2023552930A (ja) N/p境界構造を有するナノシート半導体デバイス
CN108376683B (zh) 源极的制作方法及半导体器件
US20230094466A1 (en) Nanosheet transistors with buried power rails

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
FPAY Annual fee payment

Payment date: 20170810

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20180809

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20190808

Year of fee payment: 6