JP2023552930A - N/p境界構造を有するナノシート半導体デバイス - Google Patents
N/p境界構造を有するナノシート半導体デバイス Download PDFInfo
- Publication number
- JP2023552930A JP2023552930A JP2022563104A JP2022563104A JP2023552930A JP 2023552930 A JP2023552930 A JP 2023552930A JP 2022563104 A JP2022563104 A JP 2022563104A JP 2022563104 A JP2022563104 A JP 2022563104A JP 2023552930 A JP2023552930 A JP 2023552930A
- Authority
- JP
- Japan
- Prior art keywords
- layer
- nanosheet
- type
- sacrificial layer
- stack
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Pending
Links
- 239000002135 nanosheet Substances 0.000 title claims abstract description 209
- 239000004065 semiconductor Substances 0.000 title claims abstract description 178
- 238000000034 method Methods 0.000 claims abstract description 74
- 239000000758 substrate Substances 0.000 claims abstract description 32
- 230000005669 field effect Effects 0.000 claims abstract description 13
- 125000006850 spacer group Chemical group 0.000 claims description 49
- 239000000463 material Substances 0.000 claims description 48
- 229910000577 Silicon-germanium Inorganic materials 0.000 claims description 27
- 229910052751 metal Inorganic materials 0.000 claims description 27
- 239000002184 metal Substances 0.000 claims description 27
- 230000015572 biosynthetic process Effects 0.000 claims description 11
- 230000004888 barrier function Effects 0.000 claims 1
- 238000004590 computer program Methods 0.000 claims 1
- 238000004519 manufacturing process Methods 0.000 abstract description 101
- 239000010410 layer Substances 0.000 description 286
- 230000008569 process Effects 0.000 description 43
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 12
- 238000005530 etching Methods 0.000 description 10
- 239000003989 dielectric material Substances 0.000 description 9
- 238000000151 deposition Methods 0.000 description 8
- 238000000407 epitaxy Methods 0.000 description 8
- 238000001020 plasma etching Methods 0.000 description 8
- 238000001459 lithography Methods 0.000 description 7
- 229910052581 Si3N4 Inorganic materials 0.000 description 6
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 6
- 238000000059 patterning Methods 0.000 description 6
- 238000012545 processing Methods 0.000 description 6
- 229910052710 silicon Inorganic materials 0.000 description 6
- 239000010703 silicon Substances 0.000 description 6
- 239000000377 silicon dioxide Substances 0.000 description 6
- 235000012239 silicon dioxide Nutrition 0.000 description 6
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 6
- LEVVHYCKPQWKOP-UHFFFAOYSA-N [Si].[Ge] Chemical compound [Si].[Ge] LEVVHYCKPQWKOP-UHFFFAOYSA-N 0.000 description 5
- 239000011229 interlayer Substances 0.000 description 5
- 239000000126 substance Substances 0.000 description 5
- 229910021417 amorphous silicon Inorganic materials 0.000 description 4
- 238000000231 atomic layer deposition Methods 0.000 description 4
- 230000008021 deposition Effects 0.000 description 4
- 239000000203 mixture Substances 0.000 description 4
- WGTYBPLFGIVFAS-UHFFFAOYSA-M tetramethylammonium hydroxide Chemical compound [OH-].C[N+](C)(C)C WGTYBPLFGIVFAS-UHFFFAOYSA-M 0.000 description 4
- 229910010041 TiAlC Inorganic materials 0.000 description 3
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 description 3
- 239000004020 conductor Substances 0.000 description 3
- 238000005520 cutting process Methods 0.000 description 3
- 238000005516 engineering process Methods 0.000 description 3
- 238000011049 filling Methods 0.000 description 3
- 239000012212 insulator Substances 0.000 description 3
- 238000012546 transfer Methods 0.000 description 3
- 239000011800 void material Substances 0.000 description 3
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 description 2
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 2
- OAICVXFJPJFONN-UHFFFAOYSA-N Phosphorus Chemical compound [P] OAICVXFJPJFONN-UHFFFAOYSA-N 0.000 description 2
- 238000000137 annealing Methods 0.000 description 2
- 229910052796 boron Inorganic materials 0.000 description 2
- 238000005229 chemical vapour deposition Methods 0.000 description 2
- 238000010586 diagram Methods 0.000 description 2
- 239000002019 doping agent Substances 0.000 description 2
- 230000000694 effects Effects 0.000 description 2
- 238000004070 electrodeposition Methods 0.000 description 2
- 238000002955 isolation Methods 0.000 description 2
- 238000004943 liquid phase epitaxy Methods 0.000 description 2
- 150000002739 metals Chemical class 0.000 description 2
- 230000004048 modification Effects 0.000 description 2
- 238000012986 modification Methods 0.000 description 2
- 238000001451 molecular beam epitaxy Methods 0.000 description 2
- 239000002086 nanomaterial Substances 0.000 description 2
- 230000003071 parasitic effect Effects 0.000 description 2
- 229910052698 phosphorus Inorganic materials 0.000 description 2
- 239000011574 phosphorus Substances 0.000 description 2
- 238000005240 physical vapour deposition Methods 0.000 description 2
- 238000000927 vapour-phase epitaxy Methods 0.000 description 2
- VXEGSRKPIUDPQT-UHFFFAOYSA-N 4-[4-(4-methoxyphenyl)piperazin-1-yl]aniline Chemical compound C1=CC(OC)=CC=C1N1CCN(C=2C=CC(N)=CC=2)CC1 VXEGSRKPIUDPQT-UHFFFAOYSA-N 0.000 description 1
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 description 1
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 1
- 229910004298 SiO 2 Inorganic materials 0.000 description 1
- 229910052782 aluminium Inorganic materials 0.000 description 1
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 1
- 229910021529 ammonia Inorganic materials 0.000 description 1
- 229910052785 arsenic Inorganic materials 0.000 description 1
- RQNWIZPPADIBDY-UHFFFAOYSA-N arsenic atom Chemical compound [As] RQNWIZPPADIBDY-UHFFFAOYSA-N 0.000 description 1
- 238000004380 ashing Methods 0.000 description 1
- 230000000903 blocking effect Effects 0.000 description 1
- 239000000460 chlorine Substances 0.000 description 1
- 229910052801 chlorine Inorganic materials 0.000 description 1
- 229910052681 coesite Inorganic materials 0.000 description 1
- 238000009833 condensation Methods 0.000 description 1
- 230000005494 condensation Effects 0.000 description 1
- 238000007796 conventional method Methods 0.000 description 1
- 229910052802 copper Inorganic materials 0.000 description 1
- 239000010949 copper Substances 0.000 description 1
- 229910052906 cristobalite Inorganic materials 0.000 description 1
- 230000001419 dependent effect Effects 0.000 description 1
- 238000009792 diffusion process Methods 0.000 description 1
- 230000009977 dual effect Effects 0.000 description 1
- 229910052732 germanium Inorganic materials 0.000 description 1
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 1
- CJNBYAVZURUTKZ-UHFFFAOYSA-N hafnium(iv) oxide Chemical compound O=[Hf]=O CJNBYAVZURUTKZ-UHFFFAOYSA-N 0.000 description 1
- 238000007373 indentation Methods 0.000 description 1
- 239000011810 insulating material Substances 0.000 description 1
- 238000005468 ion implantation Methods 0.000 description 1
- MRELNEQAGSRDBK-UHFFFAOYSA-N lanthanum(3+);oxygen(2-) Chemical compound [O-2].[O-2].[O-2].[La+3].[La+3] MRELNEQAGSRDBK-UHFFFAOYSA-N 0.000 description 1
- 239000012705 liquid precursor Substances 0.000 description 1
- 239000012528 membrane Substances 0.000 description 1
- 229910001092 metal group alloy Inorganic materials 0.000 description 1
- 238000004377 microelectronic Methods 0.000 description 1
- 239000002070 nanowire Substances 0.000 description 1
- 150000004767 nitrides Chemical class 0.000 description 1
- ORQBXQOJMQIAOY-UHFFFAOYSA-N nobelium Chemical compound [No] ORQBXQOJMQIAOY-UHFFFAOYSA-N 0.000 description 1
- 239000012044 organic layer Substances 0.000 description 1
- 229920002120 photoresistant polymer Polymers 0.000 description 1
- 238000005498 polishing Methods 0.000 description 1
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 1
- 229920000642 polymer Polymers 0.000 description 1
- 229920005591 polysilicon Polymers 0.000 description 1
- 238000000926 separation method Methods 0.000 description 1
- 239000005049 silicon tetrachloride Substances 0.000 description 1
- 239000002210 silicon-based material Substances 0.000 description 1
- 229910052682 stishovite Inorganic materials 0.000 description 1
- 229910052723 transition metal Inorganic materials 0.000 description 1
- 150000003624 transition metals Chemical class 0.000 description 1
- 229910052905 tridymite Inorganic materials 0.000 description 1
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 1
- 229910052721 tungsten Inorganic materials 0.000 description 1
- 239000010937 tungsten Substances 0.000 description 1
- 238000001039 wet etching Methods 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/02—Semiconductor bodies ; Multistep manufacturing processes therefor
- H01L29/06—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
- H01L29/0657—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
- H01L29/0665—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
- H01L29/0669—Nanowires or nanotubes
- H01L29/0673—Nanowires or nanotubes oriented parallel to a substrate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66439—Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/41—Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
- H01L29/423—Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
- H01L29/42312—Gate electrodes for field effect devices
- H01L29/42316—Gate electrodes for field effect devices for field-effect transistors
- H01L29/4232—Gate electrodes for field effect devices for field-effect transistors with insulated gate
- H01L29/42384—Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
- H01L29/42392—Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/66545—Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/6656—Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/66742—Thin film unipolar transistors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/78—Field effect transistors with field effect produced by an insulated gate
- H01L29/786—Thin film transistors, i.e. transistors with a channel being at least partly a thin film
- H01L29/78696—Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/43—Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
- H01L29/49—Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
- H01L29/4966—Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
Landscapes
- Engineering & Computer Science (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Computer Hardware Design (AREA)
- General Physics & Mathematics (AREA)
- Ceramic Engineering (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Manufacturing & Machinery (AREA)
- Nanotechnology (AREA)
- Chemical & Material Sciences (AREA)
- Materials Engineering (AREA)
- Crystallography & Structural Chemistry (AREA)
- Insulated Gate Type Field-Effect Transistor (AREA)
- Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
- Thin Film Transistor (AREA)
- Electrodes Of Semiconductors (AREA)
Abstract
ナノシート電界効果トランジスタ(FET)デバイスを製造する方法が提供される。本方法は、基板上に、第1のタイプの犠牲層と活性半導体層との交互層を含む複数のナノシート・スタックを形成することを含む。本方法は、ナノシート・スタックの側壁に第1のタイプの犠牲層を形成することと、次いで、隣接するナノシート・スタックの第1のタイプの犠牲層の側壁部分間に誘電体ピラーを形成することと、次いで、第1のタイプの犠牲層を除去することとを含む。本方法はまた、ナノシート・スタックのうちの第1のナノシート・スタックについて第1のタイプの犠牲層を除去することによって形成された空間にPWFM層を形成することを含み、ナノシート・スタックのうちの隣接する第2のナノシート・スタックについて第1のタイプの犠牲層を除去することによって形成された空間にNWFM層を形成することを含む。
Description
本開示は、半導体デバイスのための製造方法および結果として得られる構造に関する。より詳細には、本開示は、ナノシート電界効果トランジスタ(FET)のための製造方法および結果として得られる構造に関する。
特定の半導体デバイス製造プロセスでは、n型電界効果トランジスタ(nFET)およびp型電界効果トランジスタ(pFET)などの多数の半導体デバイスが単一のウエハ上に製造される。非プレーナ・トランジスタ・デバイス・アーキテクチャ(例えば、フィン型FET(FinFET)およびナノシートFET)は、プレーナ・トランジスタよりも高いデバイス密度および高い性能を提供することができる。半導体集積回路(IC)またはチップあるいはその両方が小さくなるにつれて、積層ナノシートの半導体デバイスへの実装が増加している。
ナノシートは、一般に、約1ナノメートル(nm)~約100nmのオーダの厚さ範囲を有する2次元ナノ構造を指し、これらは、従来のプレーナ型半導体デバイスと比較してフットプリントを低減した非プレーナ型半導体デバイスの製造を容易にすることができる。
例えば、ナノシート・トランジスタは、従来のプレーナFETとは対照的に、デバイスのフットプリントを低減し、チャネル電流の制御を向上させるために、複数の積層されたナノシート・チャネル領域の全周を取り巻くゲート・スタックを含む。ナノシート・トランジスタは、ナノシート・チャネル領域の完全空乏化も可能にし、短チャネル効果を低減する。したがって、ナノシートおよびナノワイヤは、半導体トランジスタデバイスのフットプリントを7ナノメートル以下に低減するための実現可能な選択肢と考えられる。
本開示の実施形態は、ナノシート電界効果トランジスタ(FET)デバイスを製造する方法に関する。特に、特定の実施形態は、基板上に、第1のタイプの犠牲層と活性半導体層との交互層を含む複数のナノシート・スタックを形成することを含む。本方法は、ナノシート・スタックの側壁に第1のタイプの犠牲層を形成することと、次いで、隣接するナノシート・スタックの第1のタイプの犠牲層の側壁部分間に誘電体ピラーを形成することと、次いで、第1のタイプの犠牲層を除去することとを含む。本方法はまた、ナノシート・スタックのうちの第1のナノシート・スタックについて第1のタイプの犠牲層を除去することによって形成された空間にPWFM層を形成することを含み、ナノシート・スタックのうちの隣接する第2のナノシート・スタックについて第1のタイプの犠牲層を除去することによって形成された空間にNWFM層を形成することを含む。
他の実施形態は、ナノシートFETデバイスに関する。ナノシートFETデバイスは、基板と、基板上に形成された複数のナノシート・スタックとを含む。ナノシート・スタックのうちの第1のナノシート・スタックは、PWFM層と活性半導体層との交互層を含む。ナノシート・スタックのうちの隣接する第2のナノシート・スタックは、NWFM層と活性半導体層との交互層を含む。PWFM層およびNWFM層は、それぞれのナノシート・スタックの側壁にも形成されている。ナノシートFETデバイスはまた、PWFM層の側壁部分と隣接するナノシート・スタックのNWFM層の側壁部分との間に形成された誘電体ピラーを含み、誘電体ピラーは、基板からナノシート・スタックの上面より上のレベルまで延在している。
上記の概要は、本開示のそれぞれの例示された実施形態またはすべての実施態様を説明することは意図されていない。
本出願に含まれる図面は、本明細書に組み込まれ、その一部を形成する。これらの図面は、本開示の実施形態を例示し、説明と共に、本開示の原理を説明する。図面は、特定の実施形態の例示に過ぎず、本開示を限定するものではない。
本開示は、水平に積層されたゲート・オール・アラウンド(GAA)ナノシート構造(例えば、ナノシート電界効果トランジスタ(FET)デバイス)およびナノシート構造を製造する方法について説明する。特に、本開示は、ネガティブFET(NFET)とポジティブFET(PFET)間(すなわち、N-2-P)境界が改善されたナノシートFETデバイスについて説明する。
本明細書では、関連する図面を参照して本開示の様々な実施形態について説明する。本開示の範囲から逸脱することなく、代替の実施形態を考案することができる。以下の説明および図面において、要素間の様々な接続および位置関係(例えば、上、下、隣接など)が記載されていることに留意されたい。これらの接続または位置関係あるいはその両方は、別段の指定がない限り、直接的または間接的であってもよく、本開示は、この点において限定することは意図されていない。したがって、エンティティの結合は、直接的または間接的な結合のいずれかを指すことができ、エンティティ間の位置関係は、直接的または間接的な位置関係とすることができる。間接的な位置関係の例として、本明細書における層「B」の上に層「A」を形成することへの言及は、層「A」および層「B」の関連する特性および機能性が中間層によって実質的に変化しない限り、1つまたは複数の中間層(例えば、層「C」)が層「A」と層「B」との間にある状況を含む。
以下の定義および略語は、特許請求の範囲および本明細書の解釈のために使用される。本明細書で使用される場合、用語「備える(comprise)」、「備えている(comprising)」、「含む(include)」、「含んでいる(including)」、「有する(has)」、「有している(having)」、「含有する(contains)」もしくは「含有している(containing)」またはそれらの任意の他の変形は、非排他的な包含をカバーすることが意図されている。例えば、要素のリストを含む組成物、混合物、プロセス、方法、物品、または装置は、必ずしもそれらの要素のみに限定されず、明示的に列挙されていない、またはそのような組成物、混合物、プロセス、方法、物品、もしくは装置に固有の他の要素を含むことができる。
以下の説明の目的のために、用語「上」、「下」、「右」、「左」、「垂直」、「水平」、「頂部」、「底部」、およびそれらの派生語は、図面において配向されているような、説明される構造および方法に関連するものとする。「上にある(overlying)」、「の上に(atop)」、「上に(on top)」、「上に位置する(positioned on)」または「の上に位置する(positioned atop)」という用語は、第1の構造などの第1の要素が、第2の構造などの第2の要素上に存在し、界面構造などの介在要素が第1の要素と第2の要素との間に存在し得ることを意味する。「直接接触」という用語は、第1の構造などの第1の要素と、第2の構造などの第2の要素とが、2つの要素の界面において中間の導電層、絶縁層または半導体層なしに接続されることを意味する。例えば、「第2の要素に対して選択的な第1の要素」のような「に対して選択的な」という用語は、第1の要素をエッチングすることができ、第2の要素がエッチ・ストップとして作用することができることを意味することに留意されたい。
簡潔にするために、半導体デバイスおよび集積回路(IC)の製造に関連する従来の技術については、本明細書で詳細に説明する場合もあれば、説明しない場合もある。さらに、本明細書に記載される様々なタスクおよびプロセス・ステップは、本明細書で詳細に説明されない追加のステップまたは機能を有する、より包括的な手順またはプロセスに組み込むことができる。特に、半導体デバイスおよび半導体ベースのICの製造における様々なステップはよく知られており、したがって、簡潔にするために、多くの従来のステップは、本明細書では簡潔に言及されるだけであるか、またはよく知られているプロセスの詳細を提供することなく完全に省略される。
一般に、ICにパッケージングされるマイクロチップを形成するために使用される様々なプロセスは、4つの一般的なカテゴリ、すなわち、膜堆積、除去/エッチング、半導体ドーピング、およびパターニング/リソグラフィに分類される。堆積は、ウエハ上に材料を成長させ、コーティングし、またはその他の方法で転写させる任意のプロセスである。利用可能な技術としては、とりわけ、物理的気相堆積(PVD)、化学気相堆積(CVD)、電気化学堆積(ECD)、分子線エピタキシ(MBE)、およびより最近では原子層堆積(ALD)が挙げられる。除去/エッチングは、ウエハから材料を除去する任意のプロセスである。例としては、エッチング・プロセス(湿式または乾式のいずれか)、および化学機械平坦化(CMP)などが挙げられる。半導体ドーピングは、一般に拡散またはイオン注入あるいはその両方によって、例えばトランジスタのソースおよびドレインをドーピングすることによって電気的特性を変更することである。これらのドーピング・プロセスに続いて、炉アニールまたは急速熱アニール(RTA)が行われる。アニーリングは、注入されたドーパントを活性化させる働きをする。導体(例えば、ポリシリコン、アルミニウム、銅など)および絶縁体(例えば、様々な形態の二酸化ケイ素、窒化ケイ素など)の両方の膜を使用して、トランジスタおよびそれらの構成要素を接続および分離する。半導体基板の様々な領域を選択的にドーピングすることにより、電圧の印加によって基板の導電性を変化させることができる。これらの様々な構成要素の構造を作成することによって、何百万ものトランジスタを構築し、一緒に配線して、現代のマイクロ電子デバイスの複雑な回路を形成することができる。半導体リソグラフィは、半導体基板上に3次元レリーフ像またはパターンを形成し、その後そのパターンを基板に転写することである。半導体リソグラフィでは、パターンは、フォトレジストと呼ばれる感光性ポリマによって形成される。トランジスタを構成する複雑な構造と、回路の何百万ものトランジスタを接続する多数のワイヤとを構築するために、リソグラフィおよびエッチング・パターン転写ステップが複数回繰り返される。ウエハ上に印刷される各パターンは、以前に形成されたパターンに位置合わせされ、導体、絶縁体および選択的にドープされた領域が徐々に構築されて、最終的なデバイスが形成される。
ここで、本開示の態様に、より具体的に関連する技術の概要に目を向けると、半導体ナノシート・デバイスは、典型的には、チャネルとして機能する1つまたは複数の懸架されたナノシートを含む。ソース/ドレイン・エピタキシ構造をウエハの表面から成長させて、ナノシートの両端部に接触させるために、典型的にはエピタキシャル・プロセスが行われる。次いで、ソース/ドレイン・エピタキシ構造の上面に、典型的には、金属ソース/ドレイン・コンタクトを形成して、デバイスの最終的なソース/ドレイン・コンタクトを設ける。製造のトレンドが半導体デバイスのフットプリントを縮小し続けることを目指すにつれ、ソース/ドレイン・エピタキシ構造の上面と金属ソース/ドレイン・コンタクトの下面との間の総接触面積が低減される。
図中の流れ図および断面図は、様々な実施形態によるナノシートFETデバイスを製造する方法を示す。一部の代替の実施態様では、製造ステップは、図に記されている順序とは異なる順序で行われてもよく、特定の追加の製造ステップが、図に記されているステップの間に実施されてもよい。さらに、図に描かれた層状構造のいずれもが、複数の副層を含んでもよい。
ここで、同様の数字が同一または類似の要素を表す図面を参照し、まず図1Aを参照すると、製造プロセスの中間段階における半導体ナノシート・デバイス100の上から見た図が示されている。図1Aに示すように、いくつかの切断線X、Y、およびZが図示されており、本明細書では、これらは、異なる視点から製造プロセスのいくつかの段階における半導体ナノシート・デバイス100の断面図を説明するために使用される。半導体ナノシート・デバイス100は、p型半導体電界効果トランジスタ(PFET)領域およびn型半導体電界効果トランジスタ(NFET)領域を含むシリコン・ベースの基板(図1B、要素102参照)を含む。したがって、特定の実施形態では、シリコン・ベースの基板102は、PFET領域およびNFET領域を形成するために、異なる領域に異なる材料がドープされる。デバイスは、ナノシート・スタック150(図2A参照)を含み、これについては、図1Bを参照してさらに詳細に説明する。図1Aに示す半導体ナノシート・デバイス100は、ダミー・ゲート202も含む。このダミー・ゲート202は、図8Aおよび図8Bを参照して以下でさらに説明するように、後で除去される。
ここで図1Bを参照すると、この図は、製造プロセスの中間段階における図1Aの半導体ナノシート・デバイス100の線Yに沿ってとられた断面図を示す。図1Aに関して上述したように、半導体ナノシート・デバイス100は、PFET領域およびNFET領域を有するシリコン・ベースの基板102を含む。特定の実施形態では、第1のタイプの犠牲層106を含む多層ナノシート・スタック150(図2Aも参照)が形成され、その後、第2のタイプの犠牲層108と活性半導体層110の交互層が形成され、その後、別の第1のタイプの犠牲層106が形成される。第1のタイプの犠牲層106は、基板102の上面に直接形成される。一例では、第1のタイプの犠牲層106は、65%のシリコン・ゲルマニウム(SiGe65)で構成されている。次いで、第1タイプの犠牲層106の上面に第2のタイプの犠牲層108が形成される。一例では、第2のタイプの犠牲層108は、30%のシリコン・ゲルマニウム(SiGe30)で構成されている。次に、活性半導体層110が第2のタイプの犠牲層108の上面に形成される。一例において、活性半導体層110は、シリコンで構成されている。第2のタイプの犠牲層108と活性半導体層110のうちのいくつかの追加の層が交互に形成される。図1Bに示す例では、交互に形成された合計4つの第2のタイプの犠牲層108と3つの活性半導体層110とがある。しかしながら、任意の適切な数の交互層が形成されてもよいことを理解されたい。別の第1のタイプの犠牲層106は、最上部の第2のタイプの犠牲層108の上面に形成される。
第1のタイプの犠牲層106は、第1の半導体材料で構成され、第2のタイプの犠牲層108は、第2の半導体材料で構成され、活性半導体層110は、第3の半導体材料で構成され、第1、第2、および第3の半導体材料は、互いに異なる。例えば、第1のタイプの犠牲層106は、65%のシリコン・ゲルマニウム(SiGe65)で構成され、第2のタイプの犠牲層108は、30%のシリコン・ゲルマニウム(SiGe30)で構成され、活性半導体層110は、シリコン(Si)で構成されている。上記の例で説明したシリコン・ゲルマニウム濃度は30%および65%であるが、図3A、図3B、および図3Cに関して以下でさらに詳細に説明するように、これらの濃度は、第2のタイプの犠牲層108を除去することなく(すなわち、選択的に)第1のタイプの犠牲層106の選択的除去を可能にするのに十分な差がある限り、他の量であってもよいことを理解されたい。
特定の実施形態では、第1のタイプの犠牲層106および第2のタイプの犠牲層108は、例えば、約3nm~約20nmの範囲の垂直方向厚さを有する。特定の実施形態において、活性半導体層110は、例えば、約3nm~約10nmの範囲の垂直方向厚さを有する。合計9つの層が図示されているが、ナノシート・スタック150は、任意の適切な数の層を含むことができることを理解されたい。3~20nmの範囲が例示的な厚さの範囲として挙げられているが、これらの層の他の厚さが使用されてもよい。
特定の実施形態では、HNS-FETに関連付けられた寄生容量を低減し、回路速度を向上させるために、ナノシートのスタックにおいて隣接するナノシート層間の垂直間隔(VSP)を小さくすることが望ましいことがある。例えば、VSP(第1のナノシート層の底面と隣接する第2のナノシート層の頂面との間の距離)は、5nm~15nmの範囲であってもよい。しかしながら、VSPは、その後形成されるゲート・スタックをその間の空間に収容するのに十分な値でなければならない。ゲート・スタックは、一般に、デバイスのしきい値電圧(Vt)を設定する仕事関数金属(WFM)と、WFMをナノシートから分離する高κゲート誘電体材料と、実効的な仕事関数(eWF)をさらに微調整するために、またはゲート・スタックを通るナノシートの平面に平行な方向の電流に関連付けられた所望の抵抗値を達成するために、あるいはその両方のために望まれることがある他の金属とを含む。
図1Bにおいて、第1のタイプの犠牲層106、第2のタイプの犠牲層108、および活性半導体層110を形成するために、複数のエピタキシャル成長プロセスを行うことができる。基板102の上面に直接SiGe65の第1のタイプの犠牲層106を実現するために、例えば、まずSi基板の上面に、または最初のシリコン・オン・インシュレータ(SOI)層(図示せず)の上にSiGe65層をエピタキシャル成長させ、続いて、SiGe凝結を行う。このようにして、図1Bに示すように、基板102の上面に単一の最初のSiGe65の第1のタイプの犠牲層106が形成される。最初のSiGe65の第1のタイプの犠牲層106を形成した後、この第1のタイプの犠牲層106の上面に、SiGe30の第2のタイプの犠牲層108を形成する。次いで、最初の第2のタイプの犠牲層108の上面に、第1の活性半導体層110をエピタキシャル成長させる。その後、追加のエピタキシャル成長プロセスを行って、図1Bに示すように、最初の第1のタイプの犠牲層106、それに続く交互の一連の第2のタイプの犠牲層108と活性半導体層110、それに続く最後の第1のタイプの犠牲層106を含むナノシート・スタック150(図2A参照)としての多層構造を形成することができる。
再び図1Bを参照すると、ナノシート・スタック150(例えば、106、108および110の層のすべて)の形成に続いて、ナノシート・パターニングのためにハードマスク・キャップ112が形成される。ハードマスク・キャップ112は、窒化ケイ素(SiN)を含むがこれに限定されない様々な窒化物材料で構成することができる。次いで、半導体ナノシート・デバイス100にフィン・エッチング・プロセスを施して、基板102の一部を露出させる。フィン・エッチング・プロセスは、例えば、リソグラフィ・パターニング・プロセスと、それに続く指向性反応性イオン・エッチング(RIE)プロセスとを使用して達成され、このプロセスは、ハードマスク・キャップ112によって覆われていない第1のタイプの犠牲層106、第2のタイプの犠牲層108、および活性半導体層110の部分を除去することが可能である。RIEは、例えば、ホウ素系化学物質または塩素系化学物質を使用することができ、基板を攻撃することなく、第1のタイプの犠牲層106、第2のタイプの犠牲層108、および活性半導体層110の露出部分を選択的にリセスする。
上述のナノシート・パターニング・プロセスに続いて、半導体ナノシート・デバイス100に対して、ボックス・アイソレーション技術(box isolation technique)としても知られているシャロー・トレンチ・アイソレーション(STI)が行われる。一般に、STIは、隣接する半導体デバイス構成要素間の電流リークを防止する集積回路特徴である。STIは、一般に、250ナノメートル以下のCMOSプロセス技術ノードで使用される。一般に、STIプロセスは、シリコン基板102にトレンチのパターンをエッチングし、次いで1つまたは複数の誘電体材料(二酸化ケイ素など)を堆積させてトレンチを充填し、STI領域104を形成することを含む。
ここで図1Cを参照すると、この図は、実施形態による、追加の製造操作後の、図1Aの線Yに沿ってとられた図1Bの半導体ナノシート・デバイス100の断面図を示す。図1Cに示すように、第2のタイプの犠牲層108の追加の層(例えば、SiGe30)を、以前に形成された第2のタイプの犠牲層108、活性半導体層110、および最後の(すなわち、最上部の)第1のタイプの犠牲層106の側壁に沿って共形に堆積させる。特定の実施形態では、第2のタイプの犠牲層108の追加の材料は、STI領域104の上面の一部を覆う。特定の実施形態では、水平表面から層108を除去するために、異方性反応性イオン・エッチング(RIE)が行われる。
ここで図1Dを参照すると、この図は、実施形態による、追加の製造操作後の、図1Aの線Yに沿ってとられた図1Cの半導体ナノシート・デバイス100の断面図を示す。特に、図1Dでは、ハードマスク・キャップ112は、当業者に知られている任意の適切な方法によって除去されている。例えば、第1の指向性RIEプロセスまたは熱リンのような等方性湿式エッチングを行って、ハードマスク・キャップ112を除去し、下にあるナノシート・スタック150を露出させることができる。
ここで図2Aおよび図2Bを参照すると、これらの図は、実施形態による、追加の製造操作後の、図1Aの線XおよびYにそれぞれ沿ってとられた図1Dの半導体ナノシート・デバイス100の断面図を示す。図2Aにおいて、ダミー・ゲート202は、当業者に知られている任意の適切な堆積技術によって形成される。一例では、ダミー・ゲート202は、薄いSiO2層を堆積させ、続いてアモルファスSi(a-Si)の層を堆積させることによって形成される。ダミー・ゲート202は、図1Aの上面図にも示されている。ダミー・ゲート202の堆積に続いて、ハードマスク層204が形成される。ハードマスク層204パターンの形成後、ハードマスク層204によって覆われていないダミー・ゲート202の部分を除去するために、リソグラフィ・パターニングおよびエッチングが行われる。ダミー・ゲート202の除去は、図2Aの断面図では明らかであるが、図2Bでは明らかではない。ダミー・ゲート202の部分の除去は、図1Aの上から見た図でも見ることができ、X切断線は、ダミー・ゲート202の3つの部分を通過し、Y切断線は、エッチング・プロセスによって除去されたダミー・ゲートの部分がない。ダミー・ゲート202は、以下で詳細に論じるように、後で除去される。
ここで図2Cを参照すると、この図は、実施形態による、追加の製造操作後の、図1Aの線Zに沿ってとられた図1Bの半導体ナノシート・デバイスの断面図を示す。図2Cでは、STI領域104の一部は、下側の第1のタイプの犠牲層106(例えば、SiGe65)の側壁部分を露出させるようにリセスされている。STI領域104の厚さの一部が除去されるため、第2のタイプの犠牲層108(例えば、SiGe30)の下側部分も露出する。
ここで図3Aを参照すると、この図は、実施形態による、追加の製造操作後の、図1Aの線Xに沿ってとられた図2Aの半導体ナノシート・デバイスの断面図を示す。特に、製造プロセスのこの段階では、第1のタイプの犠牲層106が除去されている。したがって、図3Aに示すように、基板102と最下部の第2のタイプの犠牲層108との間に空隙(void space)が存在し、最上部の第2のタイプの犠牲層108とダミー・ゲート202との間にも空隙が存在する。
ここで図3Bを参照すると、この図は、図3Aと同じ製造段階での、図1Aの線Yに沿った半導体ナノシート・デバイス100の断面図を示す。この図では、第1のタイプの犠牲層106が除去された後、基板102と最下部の第2のタイプの犠牲層108との間にも空隙が存在し、最上部の第2のタイプの犠牲層108とダミー・ゲート202との間にも空隙が存在する。第1のタイプの犠牲層106の除去後、多層ナノシート・スタック150(図3Aも参照)は、STI領域104およびダミー・ゲート202によって(すなわち、第1のタイプの犠牲層106の除去によって生成された空隙にも関わらず)物理的に支持されることを理解されたい。
ここで図3Cを参照すると、この図は、図3Aおよび図3Bと同じ製造段階での、図1Aの線Zに沿った半導体ナノシート・デバイス100の断面図を示す。上述したように、製造プロセスのこの段階では、第1のタイプの犠牲層106が除去されている。したがって、図3Cに示すように、基板102と最下部の第2のタイプの犠牲層108との間に空隙が存在し、隣接するナノシート・スタック150間にも空隙が存在する(図2Aも参照)。
ここで図4A、図4B、および図4Cを参照すると、これらの図は、実施形態による、追加の製造操作後の、図1Aの切断線X、YおよびZにそれぞれ沿ってとられた図3A、図3B、および図3Cの半導体ナノシート・デバイス100の断面図を示す。特に、スペーサ402が形成されている(図1Aも参照)。スペーサ402は、二重の機能を有する。特定の位置において、スペーサ402は、その後の半導体処理ステップを可能にするためのスペーサとして単に機能する。他の位置では、スペーサ402層は、第2のタイプの犠牲層108の半導体材料と基板102のシリコン材料との間の電気的接触を防止するための絶縁層としても機能する。第1のタイプの犠牲層106を除去する前は、第1のタイプの犠牲層106の半導体材料が、基板102の半導体材料および第2のタイプの犠牲層108の半導体材料の両方に直接接触するという問題があった。このスペーサ402は、第1のタイプの犠牲層106の除去によって生じた、以前に生成された空隙を充填し、したがって、第1のタイプの犠牲層106の半導体材料を絶縁材料(すなわち、誘電体材料)で置き換えることによって、ゲート電極およびナノシート・スタック150と基板102との電気的接触を防止する。特定の実施形態では、スペーサ402の材料は、SiN、SiO、SiBCN、SiOCN、SiCOなどの誘電体材料である。スペーサ402ライナを共形に堆積させた後、異方性エッチングを施して、露出した水平面からスペーサを除去する。
ここで図5A、図5B、および図5Cを参照すると、これらの図は、実施形態による、追加の製造操作後の、図1Aの切断線X、Y、およびZにそれぞれ沿ってとられた図4A、図4B、および図4Cの半導体ナノシート・デバイス100の断面図を示す。まず、図5Aに示すように、スペーサ402間のナノシート・スタック150の部分がエッチング除去されて(すなわち、リセスされて)、当初はスペーサ402の側壁に対応していたナノシート・スタック150の側壁を露出させる。
その後の製造ステップにおいて、図5Aおよび図5Cに示すように、第2のタイプの犠牲層108の一部が選択的にエッチ・バックされる。図5Cでは、この位置で、第2のタイプの犠牲層108材料がすべて除去されている。図5Bでは、この位置では、第2のタイプの犠牲層108材料は何も除去されていない。しかしながら、図5Aに示すように、第2のタイプの犠牲層108の材料の一部のみが除去されているため、第2のタイプの犠牲層108に窪み(indentation)が残っている。したがって、図5Aに示すように、第2のタイプの犠牲層108の幅は、活性半導体層110の幅よりも小さい。したがって、選択的エッチングを行って、図5Aに示す活性半導体層110のSi材料および図5Bに示すダミー・ゲート202のアモルファスSi(a-Si)の除去を防止または最小限に抑えながら、第2のタイプの犠牲層108のSiGe30材料の一部を除去する。一例では、選択的エッチングは、HClを用いて行われる。
ここで図6A、図6B、および図6Cを参照すると、これらの図は、実施形態による、追加の製造操作後の、図1Aの切断線X、Y、およびZにそれぞれ沿ってとられた図5A、図5B、および図5Cの半導体ナノシート・デバイス100の断面図を示す。最初の製造ステップでは、図5Aに関して上述したように、第2のタイプの犠牲層108のSiGe30材料の除去中に形成された窪みを充填するために、内部スペーサ602が形成される。内部スペーサ602は、適切な誘電体材料で形成される。図6Cでは、内部スペーサ602は、活性半導体層110を完全に取り囲むように形成されていることも分かる。図6Bは、図5Bに対して変更されていない。特定の実施形態では、窪み領域を除くあらゆる場所の内部スペーサ・ライナを除去するために、新たに形成された内部スペーサ602の側壁に対して等方性エッチング・プロセスが行われる。
さらなる製造ステップにおいて、図6Aに示すように、エピタキシ・プロセス後の半導体ナノシート・デバイス100が図示されている。様々なよく知られているエピタキシ・プロセスを使用して、活性半導体層110の側面、スペーサ402の上面、および内部スペーサ602の側面から、例えば、Si、SiGe、またはゲルマニウム(Ge)などの高導電性材料を成長させることができる。S/Dエピタキシャル構造604を成長させるために使用されるエピタキシ・プロセスは、気相エピタキシ(VPE)、分子線エピタキシ(MBE)、または例えば四塩化ケイ素などの気体または液体前駆体を用いる液相エピタキシ(LPE)を含むがこれらに限定されない様々なエピタキシ技術を使用して行うことができる。S/Dエピタキシャル構造604にドープすることもできる。例えば、p型半導体デバイス(例えば、PFET)を製造する場合、エピタキシャル成長材料は、ホウ素(B)がドープされたSiGe材料で構成することができる。n型半導体デバイス(例えば、NFET)を製造する場合、エピタキシャル成長材料は、リン(P)またはヒ素(As)がドープされたSi材料で構成することができる。
特定の実施形態では、図6Aに示すように、S/Dエピタキシャル構造604の上面が、ダミー・ゲート202の下面とスペーサ402との間の界面に対して面一(すなわち、同一平面)になるように、エピタキシ・プロセスを行うことができる。他の実施形態では、S/Dエピタキシャル構造604の上面は、ダミー・ゲート202の下面とスペーサ402との間の界面を越えて延在することができる。1つまたは複数の実施形態では、アニール・プロセスを行って、S/Dエピタキシャル構造604に注入された任意のドーパントを活性化することができる。
ここで図7A、図7B、および図7Cを参照すると、これらの図は、実施形態による、追加の製造操作後の、図1Aの切断線X、YおよびZにそれぞれ沿ってとられた図6A、図6B、および図6Cの半導体ナノシート・デバイス100の断面図を示す。図7Aに示すように、層間誘電体(ILD)層702を、スペーサ402間およびS/Dエピタキシャル構造604の上に堆積させる。層間誘電体層702の形成に続いて、ポリ・オープン(poly open)化学機械研磨(POC)を利用して、半導体ナノシート・デバイス100を平坦化し、特定の材料の層を除去する。POCは、すべての層をダミー・ゲート202の頂面のレベルまで除去する。特に、図7Aおよび図7Bに示すように、図2Aで形成されたハードマスク204は、POCプロセスによって除去される。図7Aおよび図7Cに示すように、POCプロセスは、スペーサ402の上部もダミー・ゲート202の頂面のレベルまで除去する。
ここで図8A、図8B、および図8Cを参照すると、これらの図は、実施形態による、追加の製造操作後の、図1Aの切断線X、YおよびZにそれぞれ沿ってとられた図7A、図7B、および図7Cの半導体ナノシート・デバイス100の断面図を示す。具体的には、図8Aおよび図8Bは、図7Aおよび7Bに示す半導体ナノシート・デバイス100から残っているダミー・ゲート202の部分を除去することによって得られる半導体ナノシート構造を示す。一実施形態では、ダミー・ゲート202を除去するために選択的エッチングが施される。例えば、他の周囲の材料に対してダミー・ゲートを除去するための選択性の高い湿式化学エッチャントは、熱アンモニア、または水酸化テトラメチルアンモニウム(TMAH)湿式エッチング・プロセスとすることができる。
ここで図9A、図9B、および図9Cを参照すると、これらの図は、実施形態による、追加の製造操作後の、図1Aの切断線X、Y、およびZにそれぞれ沿ってとられた図8A、図8B、および図8Cの半導体ナノシート・デバイス100の断面図を示す。これらの図では、有機平坦化層(OPL)902が半導体ナノシート・デバイス100の頂部に形成されている。有機平坦化層902の形成に続いて、図9Bに示すように、自己整合ゲート・カット・パターニング・プロセスを行って、隣接するナノシート・スタック150間のOPL材料を除去する。OPL材料は、STI領域104に至るまですべて除去される。OPLエッチング・プロセスは、SiN、SiGe、SiO2などの他の周囲材料に対して選択性があり、したがって、PFET領域とNFET領域との間の開口部は、純粋に2つの領域間の当初の分離によって画定され、図9Bに示す切断開口部の位置合わせまたは限界寸法に依存しない。したがって、NFETとPFETとの間のゲート・カット位置は、自己整合され、リソグラフィ・プロセスのばらつきによって影響を受けない。図9Bでは、OPL層902の切り取られた部分にわずかな角度があるように見えるが、これは角度のある表面ではなく垂直な表面であってもよいことを理解されたい。OPL層902の切り取られた部分に角度がある例では、切り取られた部分の頂部に、より大きな円直径(CD)領域があり、底部に、より小さなCD領域がある。特定の実施形態では、頂部のCD領域は、位置ずれする可能性があるが、底部のCD領域は、ナノシート・スタック150間の中央に常に完全に位置合わせされる。
ここで図10A、図10B、および図10Cを参照すると、これらの図は、実施形態による、追加の製造操作後の、図1Aの切断線X、YおよびZにそれぞれ沿ってとられた図9A、図9B、および図9Cの半導体ナノシート・デバイス100の断面図を示す。図10Bに示すように、誘電体充填層1002が、隣接するナノシート・スタック150間の以前に切り取られた空間に形成されている。この誘電体充填層1002(すなわち、誘電体ピラー)は、以下でさらに詳細に説明するように、ピラーの右側および左側に別個のPFET仕事関数金属(PWFM)およびNFET仕事関数金属(NWFM)構造を後で形成することを可能にする分離層として機能する。図10Bに示すように、誘電体充填層1002は、第2のタイプの犠牲層108の上に形成された、スペーサ402の頂面側まで延在する(左のPFET側ナノシート・スタックに近い)部分を有する。これは、図10A、図10B、および図10Cに関して上述した切り取りプロセス中のわずかな位置ずれによるものである。
ここで図11A、図11B、および図11Cを参照すると、これらの図は、実施形態による、追加の製造操作後の、図1Aの切断線X、YおよびZにそれぞれ沿ってとられた図10A、図10B、および図10Cの半導体ナノシート・デバイス100の断面図を示す。図11A、図11B、および図11Cに示すように、OPL層902は有機層であるため、例えばCO2を利用したアッシング・プロセスによって除去することができる。次に、第2のタイプの犠牲層108のSiGe30材料を、例えばHClを使用する湿式エッチング技術によって除去する。したがって、製造プロセスのこの段階でSiGe30材料はすべて除去されている。図11Bに示すように、誘電体充填層1002の左中央のコーナは、半導体ナノシート・デバイス100のPFET側のスペーサ402の上のコーナに接触している(またはわずかに重なっている)。また、図11Bに示すように、誘電体充填層1002の下部の右側および左側には、誘電体充填層1002と活性半導体層110との間に空間が存在する。誘電体充填層1002の下部の右側および左側とスペーサ402との間にも空間が存在する。これは、図1Cに関して示され説明したように、第2のタイプの犠牲層108の側壁が以前に形成されていたためである。
ここで図12A、図12B、および図12Cを参照すると、これらの図は、実施形態による、追加の製造操作後の、図1Aの切断線X、YおよびZにそれぞれ沿ってとられた図11A、図11B、および図11Cの半導体ナノシート・デバイス100の断面図を示す。まず、活性半導体材料層110のすべての露出面の周囲、内部スペーサ602のすべての露出面、スペーサ402のすべての露出面、およびSTI領域104の露出面に、共形の高κ誘電体層1202を堆積させる。これは、図12Aおよび図12Bに示すように、これらの表面に太い黒線として示されている。共形の高κ誘電体層1202は、高κゲート誘電体材料を含む。一般に、高κという用語は、二酸化ケイ素と比較して高い誘電率(κ、カッパ)を有する材料を指す。高κ誘電体は、半導体製造プロセスにおいて使用され、通常、デバイスの二酸化ケイ素のゲート誘電体または別の誘電体層を置き換えるために使用される。したがって、本明細書で使用される高κという用語は、二酸化ケイ素の比誘電率よりもはるかに高い、比誘電率κを有する材料を指す(例えば、二酸化ケイ素の4ではなく、酸化ハフニウム(HfO2)の比誘電率κ=25)。適切な高κゲート誘電体材料の例としては、HfO2または酸化ランタン(La2O3)あるいはその両方が挙げられるが、これらに限定されない。
第2に、図12Aおよび図12Bにも示すように、PFET仕事関数金属1204(PWFM)が、共形の高κ誘電体層1202上に形成される。特定の実施形態では、WFM層の堆積は、原子層堆積(ALD)を利用することによって行われてもよい。具体的には、PWFM層1204は、垂直方向にはスペーサ402と活性半導体層110との間の内部空間に、水平方向には内部スペーサ602間の内部空間に形成される。また、図12Aに示すように、PWFM層1204は、活性半導体層110の上方のスペーサ402の上部の側壁間に形成されている。図12Bに示すように、PWFM層1204は、活性半導体層110およびスペーサ402の表面の周りすべてに形成されている。PWFM層1204は、半導体ナノシート・デバイス100のPFET側およびNFET側の両方に形成されているが、NFET側のPWFMは、NWFM材料のために後で除去される。
nチャネル電界効果トランジスタ(nFET)の場合、WFM層は、TiAlC、TiC、TiN/TiAlCなどの、nFET用の1つまたは複数のWFM層を含むことができる。pチャネル電界効果トランジスタ(pFET)の場合、WFM層は、TiN、TaN、TiN/TiAlC、またはWFM層の厚さの変化によってVtを調節することができる任意の他の適切な遷移金属を含むことができる。
特定の実施形態では、PWFM層は、WFM面取り(chamfering)(またはより一般的には面取り)として知られるプロセスによってリセスされる。図12Aに示すように、スペーサ402間に形成されたPWFM層1204の上部は、スペーサ間の空間を部分的に充填するように形成されているだけである。しかしながら、当初WFM面取りプロセスでは、PWFM層1204は、半導体ナノシート・デバイス100の頂部に至るまで高κ誘電体層1202の側壁すべてに形成される(図12Aには図示せず)。次いで、半導体ナノシート・デバイス100の頂部に至るまで高κ誘電体層1202間のすべての空間を充填するように、有機平坦化層1206(OPL)の犠牲材料を形成する(これも図12Aには図示せず)。次いで、OPL層1206を図12Aおよび図12Bに示すレベルまでリセスして、PWFM層1204を依然として半導体ナノシート・デバイス100の頂部に至るまで側壁のすべてに形成されたままにする(これも図12Aには図示せず)。最後に、図12Aに示すように、PWFM層1204の一部を、リセスされたOPL層1206のレベルまで除去して、PWFM層1204の頂部がOPL層1206の頂面と概ね同じレベルになるようにする。同じWFM面取りプロセスをPWFM層1204およびOPL層1206に使用することで図12Bに示す層状構造(layering)が得られる。図12Bでは、PWFM層1204およびOPL層1206の頂面は、部分的に高κ誘電体層1202および誘電体充填層1002の側面の上にある。図12Cの断面図は、図11Cに対して変更されていない。
ここで図13A、図13B、および図13Cを参照すると、これらの図は、実施形態による、追加の製造操作後の、図1Aの切断線X、Y、およびZにそれぞれ沿ってとられた図12A、図12B、および図12Cの半導体ナノシート・デバイス100の断面図を示す。まず、図13Aおよび図13Bに示すように、WFM面取りに使用された以前のOPLを除去し、追加のOPL材料1206を、高κ誘電体層1202、層間誘電体層702、およびスペーサ402の上面より上のレベルまで堆積させる。第2に、図13Bに示すように、OPL層1206の一部に対してWFMパターニングおよび反応性イオン・エッチング(RIE)を行って、半導体ナノシート・デバイス100のNFET側のOPL層1206の部分を除去する。OPL層1206のこれらの部分の除去は、デバイスのNFET側のPWFM層1204を露出させ、誘電体充填層1002ピラーの右側部分、および頂面の一部、ならびに誘電体充填層1002を覆う高κ誘電体層1202も露出させる。一時的に残るOPL層1206は、半導体ナノシート・デバイスのPFET側のPWFM層1204がその後の処理ステップにおいて除去されないように保護するが、同時に、デバイス100のNFET側のPWFM層1204が除去されることを可能にする。誘電体充填層1002のピラーも、以下でさらに詳細に説明するように、半導体ナノシート・デバイス100のPFET側のPWFM層1204がその後の処理ステップにおいて除去されないように保護する。図13Cの断面図は、図12Cに対して変更されていない。
ここで図14A、図14B、および図14Cを参照すると、これらの図は、実施形態による、追加の製造操作後の、図1Aの切断線X、YおよびZにそれぞれ沿ってとられた図13A、図13B、および図13Cの半導体ナノシート・デバイス100の断面図を示す。まず、図14Bに示すように、等方性エッチング・プロセスによって、PWFM層1204を半導体ナノシート・デバイス100のNFET側(すなわち、図14Bの右側)から除去する。これらの実施形態では、誘電体充填層1002ピラーが存在することで、半導体ナノシート・デバイス100のPFET側のPWFM層1204のいずれの部分もエッチング・プロセスによってアンダーカットされないことが保証される。言い換えれば、誘電体充填層1002が存在しない場合、普通ならばデバイスのPFET側で露出するPWFM層1204の側方エッジ部分のアンダーカット(すなわち、除去)の可能性がある。したがって、誘電体充填層1002は、デバイスの製造のこの段階の間、PFET側のPWFM層1204を保護する。図14Aおよび図14Cは、図13Aおよび図13Cに対して変更されていない。
ここで図15A、図15B、および図15Cを参照すると、これらの図は、実施形態による、追加の製造操作後の、図1Aの切断線X、Y、およびZにそれぞれ沿ってとられた図14A、図14B、および図14Cの半導体ナノシート・デバイス100の断面図を示す。図15Aおよび図15Bには示されていないが、まず、以前に形成されたPWFM層1204が剥離され、以下に説明する負の仕事関数金属(NWFM)層1508を形成することができるようにする。図15Bに示すように、NWFM層1508は、半導体ナノシート・デバイス100のNFET側の共形の高κ誘電体層1202上に形成される。具体的には、NWFM層1508は、垂直方向にはスペーサ402と活性半導体層110との間の内部空間、および水平方向には内部スペーサ602間の内部空間に形成される。したがって、NWFM層1508は、PWFM層1204が以前にデバイスのこの同じ側に形成された方法と同様の仕方で、半導体ナノシート・デバイス100のNFET側に形成される。しかしながら、デバイスのPFET側のPWFM層1204は、誘電体充填層1002が存在するため、このNWFM層1508の形成によって影響を受けない。図15Bに示すように、NWFM層1508は、活性半導体層110およびスペーサ402の表面の周りすべてに形成されている。また、図15Aに示すように、NWFM層1508は、活性半導体層110の上方のスペーサ402の上部の側壁間に形成されている。
特定の実施形態では、NWFM層1508は、上述したPWFM層1204の形成と同様に、WFM面取りによって形成される。図15Aに示すように、スペーサ402間に形成されたNWFM層1508の上部は、スペーサ間の空間を部分的に充填するようにしか形成されていない。しかしながら、当初WFM面取りプロセスでは、NWFM層1508は、半導体ナノシート・デバイス100の頂部に至るまで高κ誘電体層1202の側壁すべてに形成されている(図15Aには図示せず)。次いで、半導体ナノシート・デバイス100の頂部に至るまで高κ誘電体層1202間のすべての空間を充填するように、有機平坦化層1510(OPL)の犠牲材料を形成する(これも図15Aには図示せず)。次いで、OPL層1510を図15Aおよび図15Bに示すレベルまでリセスして、NWFM層1508を依然として半導体ナノシート・デバイス100の頂部に至るまで側壁のすべてに形成されたままにする(これも図15Aには図示せず)。最後に、図15Aおよび図15Bに示すように、NWFM層1508の一部を、リセスされたOPL層1510のレベルまで除去して、NWFM層1508の頂部がOPL層1510の頂面と概ね同じレベルになるようにする。このWFM面取りプロセスをNWFM層1508およびOPL層1510に使用することで、図15Aおよび図15Bに示す層状構造が得られる。図15Bでは、NWFM層1508およびOPL層1510の頂面は、部分的に高κ誘電体層1202および誘電体充填層1002の側面の上にある。図15Cの断面図は、図14Cに対して変更されていない。
ここで図16A、図16B、および図16Cを参照すると、これらの図は、実施形態による、追加の製造操作後の、図1Aの切断線X、YおよびZにそれぞれ沿ってとられた図15A、図15B、および図15Cの半導体ナノシート・デバイス100の断面図を示す。まず、図15Aおよび図15Bで形成されたOPL層1510を除去する。次いで、金属層1602を堆積させる。特定の例では、金属層1602は、タングステン(W)で構成されている。しかしながら、他の適切な金属または金属合金が金属層1602に使用されてもよいことを理解されたい。図16Aおよび図16Bには示されていないが、最初に、金属層1602を層間誘電体(ILD)層702の頂面のレベルに、またはそれよりも上に堆積させる。次いで、半導体ナノシート・デバイス100にCMPプロセスを施して、金属層1602の上部を層間誘電体(ILD)層702の頂面のレベルまで除去する(この中間ステップも図16Aおよび図16Bには示されていない)。次いで、図16Aおよび図16Bに示すように、金属層1602は、層間誘電体(ILD)層702の頂面より下のレベルまでリセスされる。同じプロセス、または後のプロセスで、図16Aに示すように、高κ誘電体層1202の上部も金属層1602の頂面と同じレベルまで除去される。最後に、自己整合コンタクト(SAC)キャップ層(これはスペーサ402の延長として示されている)が、金属層1602および既存のスペーサ402の上に形成される。特定の実施形態では、SACキャップ層は、スペーサ層402と同じ材料で構成され、単にその層の延長であると考えることができる。例えば、このSACキャップ層は、図4A、図4B、および図4Cならびにスペーサ402の形成に関して上述したのと同じ材料であるSiNで構成することができる。このSACキャップは、ILD層702がその後の処理ステップにおいて後で除去される際に、ゲートを保護するのに有用である。
上記で詳細に説明したように、半導体ナノシート・デバイス100のNFETとPFET間(N-2-P)の境界を改善することを可能にする図16Bに示すいくつかの構造的特徴がある。例えば、図16Bに示すように、PWFM層1204は、活性半導体層110間の材料を含むだけでなく、活性半導体層110の側壁の材料も含む。これは、図16Bにも示すように、NWFM層1508にも当てはまる。別の例では、図16Bに示すように、誘電体充填層1002(すなわち、誘電体ピラー)は、PWFM層1204の側壁とNWFM層1508の側壁との間に形成され、デバイスの形成中にPWFM層1204とNWFM層1508との間にブロッキング特徴を生成するのに役立つ。この誘電体充填層1002は、下部CDが底部で小さくなっており、ナノシート・スタック150間の中央に常に完全に位置合わせされるように自己整合方式で形成される。別の構造的特徴は、図6Cに関して上述したように、内部スペーサ602が、活性半導体層110を完全に取り囲むように形成されていることである。
他の実施形態では、ここで図17A、図17B、および図17Cを参照すると、これらの図は、実施形態による、追加の製造操作後の、図1Aの切断線X、YおよびZにそれぞれ沿ってとられた図15A、図15B、および図15Cの半導体ナノシート・デバイス100の断面図を示す。これらの実施形態では、処理ステップは、図1A~図15Cに関して上述した実施形態と概ね同じであるが、誘電体充填層1002および金属層1802の形成に関して異なる処理ステップが存在する(図18B参照)。特に、これらの実施形態のうちの特定の実施形態では、図17Bに示すように、誘電体充填層1002ピラーは、金属層1802の形成の前にリセスされる(図18B参照)。図17Aおよび図17Cは、図15Aおよび図15Cに対して変更されていない。
ここで図18A、図18B、および図18Cを参照すると、これらの図は、実施形態による、追加の製造操作後の、図1Aの切断線X、Y、およびZにそれぞれ沿ってとられた図17A、図17B、および図17Cの半導体ナノシート・デバイス100の断面図を示す。特に、図18Bに示すように、誘電体充填層1002ピラーを以前にリセスしているため、金属層1802は、半導体ナノシート・デバイス100のPFET側からデバイスのNFET側へ渡り、誘電体充填層1002の以前に形成されたリセス内に形成されている。この金属層1802は、ゲートの頂部および底部を通る共有ゲート構造を可能にし、これにより、PWFM層1204およびNWFM層1508のパターニングを支援することができる。したがって、金属層1802は、コンタクト・レベルではなくデバイス・レベルでゲートを共有することができる共有ゲート構造である。共有金属層1802は、図15A~図15Cに関して上述した実施形態と比較して、ゲートとデバイスの残りの部分との間の寄生容量が減少する効果も有することができる。
様々な実施形態の説明は、例示の目的で提示されており、網羅的であることも、開示された実施形態に限定されることも意図されていない。記載された実施形態の範囲から逸脱することなく、当業者には多くの変更形態および変形形態が明らかであろう。本明細書で使用される用語は、実施形態の原理、市場で見出される技術に対する実際の適用または技術的改善を最もよく説明するために、または当業者が本明細書に開示された実施形態を理解できるようにするために選択された。
Claims (21)
- ナノシート電界効果トランジスタ(FET)デバイスを形成する方法であって、
基板上に、第1のタイプの犠牲層と活性半導体層との交互層を含む複数のナノシート・スタックを形成することと、
前記ナノシート・スタックの側壁に前記第1のタイプの犠牲層の側壁部分を形成することと、
隣接するナノシート・スタックの前記第1のタイプの犠牲層の前記側壁部分間に誘電体ピラーを形成することと、
前記第1のタイプの犠牲層を除去することと、
前記ナノシート・スタックのうちの第1のナノシート・スタックについて前記第1のタイプの犠牲層の前記除去によって形成された空間にPWFM層を形成することと、
前記ナノシート・スタックのうちの隣接する第2のナノシート・スタックについて前記第1のタイプの犠牲層の前記除去によって形成された空間にNWFM層を形成することと
を含む、方法。 - 前記基板と前記ナノシート・スタックの下面との間に下側の第2のタイプの犠牲層を形成することと、前記ナノシート・スタックの上面に上側の第2のタイプの犠牲層を形成することとをさらに含み、前記第1のタイプの犠牲層の前記側壁部分が前記上側の第2のタイプの犠牲層の側壁にも形成される、請求項1に記載の方法。
- 前記第1のタイプの犠牲層の前記側壁部分を前記形成した後に、前記上側および下側の第2のタイプの犠牲層を除去することと、
前記第2のタイプの犠牲層の前記除去によって形成された空間に誘電体スペーサ層を形成することと
をさらに含む、請求項2に記載の方法。 - 前記ナノシート・スタック上に有機平坦化層(OPL)を形成することと、
隣接するナノシート・スタック間の前記OPLの部分を除去して、前記第1のタイプの犠牲層の前記側壁部分の垂直な側面を露出させることと、
前記OPLの前記部分の前記除去によって形成された空間に前記誘電体ピラーを形成することと
をさらに含む、請求項1に記載の方法。 - 前記OPLの部分を除去することが、前記ナノシート・スタックのうちの第1のナノシート・スタックの前記第1のタイプの犠牲層の前記側壁部分の頂面も露出させ、前記誘電体ピラーが、前記第1のタイプの犠牲層の前記側壁部分の頂面も覆うように形成される、請求項4に記載の方法。
- 前記誘電体ピラーが、前記NWFM層の形成中に前記NWFM層の材料が前記PWFM層の材料に接触することを防止する、請求項1に記載の方法。
- 前記PWFM層が、前記第1および第2のナノシート・スタックの両方について前記第2のタイプの犠牲層の前記除去によって形成された前記空間に最初に形成され、
前記第1のナノシート・スタックおよび前記誘電体ピラーの上面の一部に有機平坦化層(OPL)を形成することと、
前記第2のナノシート・スタックから前記PWFM層を除去して、前記第2のタイプの犠牲層の前記除去によって形成された前記空間を再び開くことと、
前記第2のタイプの犠牲層の前記除去によって、および前記第2のナノシート・スタックの前記PWFM層のその後の除去によって形成された前記空間に前記NWFM層を形成することと
をさらに含む、請求項1に記載の方法。 - 前記第2のナノシート・スタックから前記PWFM層を除去する間、前記誘電体ピラーが前記第1のナノシート・スタックからの前記PWFM層のアンダーカットを防止するための障壁である、請求項7に記載の方法。
- 前記PWFM層および前記NWFM層上に金属層を形成することをさらに含む、請求項1に記載の方法。
- 前記金属層上に自己整合コンタクト(SAC)キャップを形成することをさらに含む、請求項9に記載の方法。
- 前記第1のタイプの犠牲層が第1の含有率のSiGe材料で構成され、前記第2のタイプの犠牲層が第2の含有率のSiGe材料で構成され、前記第2の含有率が前記第1の含有率よりも高い、請求項2に記載の方法。
- 基板と、
前記基板上に形成された複数のナノシート・スタックであり、前記ナノシート・スタックのうちの第1のナノシート・スタックがPWFM層と活性半導体層との交互層を含み、前記ナノシート・スタックのうちの隣接する第2のナノシート・スタックがNWFM層と前記活性半導体層との交互層を含み、前記PWFM層の側壁部分および前記NWFM層の側壁部分がそれぞれの前記ナノシート・スタックの側壁に形成されている、前記複数のナノシート・スタックと、
前記PWFM層の前記側壁部分と前記隣接するナノシート・スタックの前記NWFM層の前記側壁部分との間に形成された誘電体ピラーであり、前記基板から前記ナノシート・スタックの上面よりも上のレベルまで延在する、前記誘電体ピラーと
を備える、ナノシート電界効果トランジスタ(FET)デバイス。 - 前記誘電体ピラーの一部が、前記PWFM層の前記側壁部分の上面に形成されている、請求項12に記載のナノシートFETデバイス。
- 前記基板と前記第1のナノシート・スタックの前記PWFM層との間に形成され、前記基板と前記第2のナノシート・スタックの前記NWFM層との間にも形成された第1の誘電体スペーサ層をさらに備える、請求項12に記載のナノシートFETデバイス。
- 前記第1のナノシート・スタックの最上部の活性半導体層および前記第2のナノシート・スタックの最上部の活性半導体層の上方に形成された第2の誘電体スペーサ層をさらに備える、請求項14に記載のナノシートFETデバイス。
- 前記誘電体ピラーの一部が、前記第1のナノシート・スタックの前記第2の誘電体スペーサ層の一部に直接接触する、請求項14に記載のナノシートFETデバイス。
- 前記第1および第2ナノシートシートスタックの前記層の外面間に形成された高κ層をさらに備える、請求項16に記載のナノシートFETデバイス。
- 前記PWFM層および前記NWFM層上に形成された金属層をさらに含む、請求項12に記載のナノシートFETデバイス。
- 前記金属層上に形成された自己整合コンタクト(SAC)キャップをさらに備える、請求項18に記載のナノシートFETデバイス。
- 前記誘電体ピラーが、上部の直径が下部の直径より大きい、請求項12に記載のナノシートFETデバイス。
- コンピュータ上で実行されると、請求項1ないし11のいずれかに記載の方法を実行するように適合されたプログラム・コードを含むコンピュータ・プログラム。
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US17/128,351 | 2020-12-21 | ||
US17/128,351 US11502169B2 (en) | 2020-12-21 | 2020-12-21 | Nanosheet semiconductor devices with n/p boundary structure |
PCT/CN2021/131488 WO2022134969A1 (en) | 2020-12-21 | 2021-11-18 | Nanosheet semiconductor devices with n/p boundary structure |
Publications (1)
Publication Number | Publication Date |
---|---|
JP2023552930A true JP2023552930A (ja) | 2023-12-20 |
Family
ID=82021651
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2022563104A Pending JP2023552930A (ja) | 2020-12-21 | 2021-11-18 | N/p境界構造を有するナノシート半導体デバイス |
Country Status (6)
Country | Link |
---|---|
US (1) | US11502169B2 (ja) |
JP (1) | JP2023552930A (ja) |
CN (1) | CN116569340A (ja) |
DE (1) | DE112021006105T5 (ja) |
GB (1) | GB2617740A (ja) |
WO (1) | WO2022134969A1 (ja) |
Families Citing this family (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20230290776A1 (en) * | 2022-03-11 | 2023-09-14 | International Business Machines Corporation | Isolation between vertically stacked nanosheet devices |
Family Cites Families (16)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US8791502B2 (en) | 2011-10-09 | 2014-07-29 | The Institute of Microelectronics Chinese Academy of Science | Semiconductor device and method of manufacturing the same |
US9331088B2 (en) | 2014-03-25 | 2016-05-03 | Sandisk 3D Llc | Transistor device with gate bottom isolation and method of making thereof |
US9812449B2 (en) | 2015-11-20 | 2017-11-07 | Samsung Electronics Co., Ltd. | Multi-VT gate stack for III-V nanosheet devices with reduced parasitic capacitance |
WO2018090001A1 (en) | 2016-11-14 | 2018-05-17 | Tokyo Electron Limited | Method of forming gate spacer for nanowire fet device |
FR3060838B1 (fr) | 2016-12-15 | 2019-05-31 | Commissariat A L'energie Atomique Et Aux Energies Alternatives | Procede de realisation d'un dispositif semi-conducteur a canal contraint en compression |
US10084055B2 (en) | 2017-02-03 | 2018-09-25 | International Business Machines Corporation | Uniform threshold voltage for nanosheet devices |
US10424663B2 (en) | 2017-05-23 | 2019-09-24 | International Business Machines Corporation | Super long channel device within VFET architecture |
US10410933B2 (en) | 2017-05-23 | 2019-09-10 | Globalfoundries Inc. | Replacement metal gate patterning for nanosheet devices |
US10276452B1 (en) | 2018-01-11 | 2019-04-30 | International Business Machines Corporation | Low undercut N-P work function metal patterning in nanosheet replacement metal gate process |
US10319846B1 (en) * | 2018-05-09 | 2019-06-11 | International Business Machines Corporation | Multiple work function nanosheet field-effect transistors with differential interfacial layer thickness |
US10510620B1 (en) | 2018-07-27 | 2019-12-17 | GlobalFoundries, Inc. | Work function metal patterning for N-P space between active nanostructures |
US10566248B1 (en) * | 2018-07-27 | 2020-02-18 | Globalfoundries Inc. | Work function metal patterning for N-P spaces between active nanostructures using unitary isolation pillar |
US10867867B2 (en) * | 2019-03-14 | 2020-12-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Methods of fabricating semiconductor devices with mixed threshold voltages boundary isolation of multiple gates and structures formed thereby |
CN109904219A (zh) | 2019-03-18 | 2019-06-18 | 上海新微技术研发中心有限公司 | 场效应管的制作方法及场效应管 |
US10978356B2 (en) | 2019-05-10 | 2021-04-13 | International Business Machines Corporation | Tri-layer STI liner for nanosheet leakage control |
US11594610B2 (en) * | 2020-10-15 | 2023-02-28 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method |
-
2020
- 2020-12-21 US US17/128,351 patent/US11502169B2/en active Active
-
2021
- 2021-11-18 DE DE112021006105.4T patent/DE112021006105T5/de active Pending
- 2021-11-18 GB GB2310380.7A patent/GB2617740A/en active Pending
- 2021-11-18 WO PCT/CN2021/131488 patent/WO2022134969A1/en active Application Filing
- 2021-11-18 JP JP2022563104A patent/JP2023552930A/ja active Pending
- 2021-11-18 CN CN202180084350.9A patent/CN116569340A/zh active Pending
Also Published As
Publication number | Publication date |
---|---|
GB2617740A (en) | 2023-10-18 |
US20220199772A1 (en) | 2022-06-23 |
WO2022134969A1 (en) | 2022-06-30 |
DE112021006105T5 (de) | 2023-09-21 |
US11502169B2 (en) | 2022-11-15 |
CN116569340A (zh) | 2023-08-08 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US10566248B1 (en) | Work function metal patterning for N-P spaces between active nanostructures using unitary isolation pillar | |
CN108962994B (zh) | 用于形成不同晶体管的源极/漏极区的注入 | |
US10700203B2 (en) | Semiconductor devices | |
TWI648773B (zh) | 半導體裝置及其形成方法 | |
US9842914B1 (en) | Nanosheet FET with wrap-around inner spacer | |
US8871597B2 (en) | High gate density devices and methods | |
KR101435712B1 (ko) | 커패시터가 집적된 FinFET를 위한 구조 및 방법 | |
KR101412906B1 (ko) | 전계 효과 트랜지스터를 위한 구조 및 방법 | |
US9559000B1 (en) | Hybrid logic and SRAM contacts | |
TWI573274B (zh) | 半導體結構及其製造方法 | |
US20210020644A1 (en) | Asymmetric gate cut isolation for sram | |
US20190259673A1 (en) | Stacked short and long channel finfets | |
CN112447715A (zh) | Finfet器件和方法 | |
US10615082B2 (en) | VFET metal gate patterning for vertical transport field effect transistor | |
TW202131389A (zh) | 半導體結構及其形成方法 | |
US11322495B2 (en) | Complementary metal-oxide-semiconductor device and method of manufacturing the same | |
WO2022134969A1 (en) | Nanosheet semiconductor devices with n/p boundary structure | |
US10177039B2 (en) | Shallow trench isolation structures and contact patterning | |
US20220406914A1 (en) | Semiconductor device | |
US11158741B2 (en) | Nanostructure device and method | |
US20230402520A1 (en) | Staircase stacked field effect transistor | |
US11355401B1 (en) | Field effect transistor | |
US12068368B2 (en) | Shallow trench isolation (STI) contact structures and methods of forming same | |
US20220352309A1 (en) | Semiconductor device | |
TW202143392A (zh) | 半導體裝置及其形成方法 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20240516 |