KR20110056225A - 서로 다른 핀 높이를 갖는 finfet들 - Google Patents

서로 다른 핀 높이를 갖는 finfet들 Download PDF

Info

Publication number
KR20110056225A
KR20110056225A KR1020100109232A KR20100109232A KR20110056225A KR 20110056225 A KR20110056225 A KR 20110056225A KR 1020100109232 A KR1020100109232 A KR 1020100109232A KR 20100109232 A KR20100109232 A KR 20100109232A KR 20110056225 A KR20110056225 A KR 20110056225A
Authority
KR
South Korea
Prior art keywords
fin
forming
region
sti region
semiconductor
Prior art date
Application number
KR1020100109232A
Other languages
English (en)
Other versions
KR101229691B1 (ko
Inventor
청-린 리
치-치에 예
창-윤 창
펭 유안
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20110056225A publication Critical patent/KR20110056225A/ko
Application granted granted Critical
Publication of KR101229691B1 publication Critical patent/KR101229691B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/266Bombardment with radiation with high-energy radiation producing ion implantation using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • H10B10/12Static random access memory [SRAM] devices comprising a MOSFET load element
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • H10B10/18Peripheral circuit regions

Abstract

실시예의 일 양상에 따르면, 집적 회로 구조체는 제1 디바이스 영역 내의 제1 부분과 제2 디바이스 영역 내의 제2 부분을 포함한 반도체 기판을 포함한다. 제1 반도체 핀은 반도체 기판 상에 있고, 제1 핀 높이를 갖는다. 제2 반도체 핀은 반도체 기판 상에 있고, 제2 핀 높이를 갖는다. 제1 핀 높이는 제2 핀 높이보다 더 크다.

Description

서로 다른 핀 높이를 갖는 FINFET들{FINFETS WITH DIFFERENT FIN HEIGHTS}
본 출원은 2009년 11월 20일에 출원되고 발명의 명칭이 "FinFETs with Different Fin Heights"인 미국 가출원 번호 제61/263,164호를 우선권으로 주장하며, 여기서는 그 전체 내용을 참조로서 포함한다.
본 출원은 일반적으로 집적 회로에 관한 것으로, 보다 자세하게는 반도체 핀(fin)들 및 핀 전계 효과 트랜지스터(FinFET; Fin field-effect transistor) 및 그 제조 방법에 관한 것이다.
집적회로의 증가하는 다운스케일링과, 더 고속의 집적 회로에 대한 요건을 점점더 요구함에 따라, 트랜지스터들은 점점 더 작은 치수와 함께 더 높은 구동 전류를 갖는 것이 필요하다. 따라서, 핀 전계 효과 트랜지스터(FinFET)가 개발되었다. FinFET들은 그 채널이 핀들의 상단 표면 상의 부분에 더하여 측벽 부분도 포함하고 있기 때문에 증가된 채널 폭을 갖는다. 트랜지스터들의 구동 전류는 채널 폭에 비례하기 때문에, FinFET들의 구동 전류는 플래너 트랜지스터(planar transistor)의 것에 비해 증가된다.
서로 다른 핀 높이들을 갖는 반도체 핀(들) 및 핀 전계 효과 트랜지스터(들)(FinFET)을 형성하는 신규한 방법을 제공하고자 한다.
실시예의 일 양상에 따르면, 집적 회로 구조체는 제1 디바이스 영역 내의 제1 부분과 제2 디바이스 영역 내의 제2 부분을 포함한 반도체 기판을 포함한다. 제1 반도체 핀은 반도체 기판 상에 있고, 제1 핀 높이를 갖는다. 제2 반도체 핀은 반도체 기판 상에 있고, 제2 핀 높이를 갖는다. 제1 핀 높이는 제2 핀 높이보다 더 크다.
다른 실시예들도 또한 개시되어 있다.
본 발명의 구성에 따르면, 결과적인 FinFET의 채널 영역에 인가되는 바람직한 인장 응력 또는 압축 응력이, 응력을 받는 소스 및 드레인 영역의 증가된 체적으로 인해 증가함으로써, 실리사이드 영역 내의 전류 크라우딩 효과를 감소시킬 수 있다.
본 실시예들 및 이들의 이점에 대한 보다 완벽한 이해를 위하여, 이하에서는 첨부된 도면과 결합한 다음 설명들을 참조한다.
도 1 내지 도 10은 일 실시예에 따른 서로 다른 핀 높이들을 갖는 반도체 핀의 제조시 중간 단계들의 횡단면도를 나타낸다.
도 11a 내지 도 16b는 다른 실시예에 따른 FinFET의 제조시 중간 단계들의 횡단면도 및 사시도를 나타낸다.
도 17은 반도체 칩에서의 디바이스 영역들을 나타낸다.
도 18은 서로 다른 핀 높이들을 갖는 핀들을 구비한 두개의 FinFET들을 포함한 스테이틱 랜덤 액세스 메모리를 나타낸다.
본 발명의 실시예들의 실시 및 이용을 아래 보다 자세히 설명한다. 그러나, 본 실시예들은 광범위한 특정 문맥 내에서 구현될 수 있는 많은 적용가능한 진보적 개념들을 제공함을 알아야 한다. 설명된 특정 실시예들은 단지 본 실시예를 실시 및 이용하는 특정 방식의 예시이며 본 발명의 범위를 제한하기 위한 것이 아니다.
서로 다른 핀 높이들을 갖는 반도체 핀(들) 및 핀 전계 효과 트랜지스터(들)(FinFET)을 형성하는 신규한 방법이 제공된다. 일 실시예의 제조시 중간 단계들이 예시되어 있다. 본 실시예의 변형예가 설명되어 있다. 여러 도면들 및 예시적인 실시예들 전반에 걸쳐, 동일한 도면 부호는 동일한 요소를 지정하는데 이용된다.
도 1을 참조하여, 반도체 기판(20)이 제공된다. 일 실시예에서, 반도체 기판(20)은 실리콘을 포함한다. 탄소, 게르마늄, 갈륨, 비소, 질소, 인듐 및/또는 인 등과 같은 통상적으로 이용되는 다른 물질들도 또한 반도체 기판(20)에 포함될 수 있다.
반도체 기판(20)은 디바이스 영역(100)의 일부분과 디바이스 영역(200)의 일부분을 포함한다. 일 실시예에서, 디바이스 영역(100 및 200)은 본질적으로 로직 코어 영역, (내장형 스테이틱 랜덤 액세스 메모리(SRAM) 영역과 같은) 메모리 영역, 아날로그 영역, 입력/출력(I/O, 또한 주변 장치로서 불림), (더미 패턴을 형성하기 위한) 더미 영역 등으로 구성된 그룹으로부터 선택된 서로 다른 영역이다. 위에서 인용된 디바이스 영역은 도 17에 개략적으로 나타나 있다. 예시적인 일 실시예에서, 디바이스 영역(100)은 로직 코어 영역인 반면, 디바이스 영역(200)은 IO 영역이다. 대안의 실시예에서, 디바이스 영역(100)은 p형 FinFET 영역인 반면, 디바이스 영역(200)은 n형 FinFET 영역이다.
패드 층(22) 및 마스크 층(24)은 반도체 기판(20) 상에 형성될 수 있다. 패드 층(22)은 예를 들어, 열 산화 프로세스를 이용하여 형성된 실리콘 산화물을 포함한 박막일 수 있다. 패드 층(22)은 반도체 기판(20)과 마스크 층(24) 사이의 접착층으로서 역할을 할 수 있다. 패드 층(22)은 또한 마스크 층(24)을 에칭하기 위한 에칭 중단 층으로서 역할을 할 수 있다. 일 실시예에서, 마스크 층(24)은 예를 들어 저압 화학 기상 증착(LPCVD)을 이용한 실리콘 질화물로 형성된다. 다른 실시예에서, 마스크 층(24)은 실리콘 열 질화, 플라즈마 강화된 화학 기상 증착(PECVD) 또는 플라즈마 애노드 질화(plasma anodic nitridation)에 의해 형성된다. 마스크 층(24)은 후속하는 포토리소그래피 프로세스 동안에 하드 마스크로서 이용된다.
STI 영역(30; 30_1 및 30_2로서 표시됨)은 기판(20) 내에 형성된다. STI 영역(30)의 깊이는 약 100 nm 내지 약 250 nm 사이에 있지만, 다른 깊이들도 또한 적용가능하다. 그러나, 설명 전반에 걸쳐 인용된 치수들은 단지 예시적인 것에 불과하며, 다른 형성 기술이 이용되면 변경될 수 있음을 알아야 한다. STI 영역(30)의 형성은 알려진 방법들을 이용하여 수행될 수 있으며 따라서 그 프로세스를 여기서는 자세히 설명하지 않는다.
도 2를 참조하여, 디바이스 영역(100)은 포토레지스트(134) 의해 마스킹되고 디바이스 영역(200)은 노출된 상태로 남겨진다. 그 후, 노출된 STI 영역(30_2)은 에칭 단계를 통하여 오목처리되며, 그 결과, 오목부(236)가 기판(20) 내에 형성된다. 결과적인 구조체는 도 3에 도시된다. 따라서, 오목부(236) 사이에 있는 반도체 기판(20)의 부분들은 핀(238)으로 되며, 이 핀은 Hfin2로서 표시되는 핀 높이를 갖는다. 예시적인 일 실시예에서, 핀 높이(Hfin2)는 15 nm 내지 약 30 nm 사이에 있지만, 이것은 또한 더 클수도 또는 더 작을 수도 있다. 그 후, 포토레지스트(134)를 제거한다.
도 4를 참조하여, 디바이스 영역(200)은 포토레지스트(234)에 의해 마스킹되고 디바이스 영역(100)은 노출된 상태로 남겨진다. 그 후, 노출된 STI 영역(30_1)은 에칭 단계를 통하여 오목처리되며, 그 결과, 오목부(136)가 도 5에 도시된 바와 같이 형성된다. 따라서, 오목부(136) 사이에 있는 반도체 기판(20)의 부분들은 핀(138)으로 되며, 이 핀은 Hfin1로서 표시되는 핀 높이를 갖는다. 예시적인 일 실시예에서, 핀 높이(Hfin1)는 25 nm 내지 약 40 nm 사이에 있지만, 이것은 또한 더 클수도 또는 더 작을 수도 있다. 핀 높이들(Hfin1 및 Hfin2)은 서로 다르다. 핀 높이 차(Hfin2 - Hfin1)는 약 5 nm보다 더 클 수 있고 또는 약 10 nm 보다 훨씬 더 클 수도 있다. 또한, Hfin1/ Hfin2의 비는 약 1.25보다 더 클 수 있고 또는 약 1.33보다 훨씬 더 클 수도 있다.
다음, 도 6에 도시된 바와 같이, 마스크 층(24)과 패드 층(22)을 제거한다. 마스크 층(24)은, 실리콘 질화물로 형성된 경우, 고온의 H3PO4를 이용한 웨트 프로세스에 의해 제거될 수 있는 반면, 패드 층(22)은 실리콘 산화물로 형성된 경우, 묽은 HF 산을 이용하여 제거될 수 있다. 도 6에 도시된 구조체에서, STI 영역(30) 하단부 밑에 있는 기판(20)의 부분은 반도체 기판으로서 처리될 수 있는 반면, 핀들(138 및 238)은 반도체 기판 상에 있는 것으로서 처리될 수 있음을 알아야 한다.
도 7은 각각 디바이스 영역(100 및 200) 내의 FinFET들(160 및 260)의 형성을 나타낸다. 첫번째로, 예를 들어, 주입에 의해 웰 도펀트를 노출된 핀들(138 및 238) 내에 도입한다. 디바이스 영역(100)이 p형 FinFET 영역이고 디바이스 영역(200)이 n형 FinFET 영역인 실시예에서, n형 불순물 주입은 인과 같은 n형 불순물을 핀들(138) 내에 도핑하여 수행되며, p형 불순물 주입은 붕소와 같은 p형 불순물을 핀들(238)에 도핑하여 수행된다. 게이트 유전체(150 및 250)는 각각 핀들(138 및 238)의 상단 표면 및 측벽들을 덮도록 형성된다. 게이트 유전체(150 및 250)는 열 산화에 의해 형성될 수 있고 따라서 실리콘 열 산화물을 포함할 수 있다. 그 후, 게이트 유전체(152 및 252)는 각각 게이트 전극(150 및 250) 상에 형성된다. 일 실시예에서, 게이트 전극(150 및 250) 각각은 하나 보다 많은 핀들(138 및 238)을 덮어, 각각의 결과적인 FinFET들(160 및 260)이 하나 보다 많은 핀들(138 및 238)을 각각 포함하게 된다. 대안의 실시예에서, 각각의 핀들(138 및/또는 238)이 하나의 FinFET를 형성하는데 이용될 수 있다. 그 후, 소스 영역 및 드레인 영역과 소스 및 드레인 실리사이드들(도시 생략)을 포함한 FinFET(160 및 260)의 나머지 구성요소들을 형성한다. 이들 구성요소의 형성 프로세스는 당해 기술 분야에 잘 알려진 것이며 따라서 여기서는 반복하지 않는다.
도 8 내지 도 10은 대안의 실시예를 나타낸다. 이 실시예에 이용된 초기 구조체는 도 1에 도시된 것과 유사하다. 다음, 도 8을 참조하여 보면, 영역(200)에 대한 포토 레지스트(234)의 형성 후, 제1 불순물을 STI 영역(30_1) 내에 도입하기 위해 제1 조사량으로 제1 주입을 수행한다. 결과적인 STI 영역(30_1)은 제1 불순물 농도를 갖는다. 다음, 도 9에 도시된 바와 같이, 포토 레지스트(234)를 제거하고 포토 레지스트(134)를 형성한다. 제2 불순물을 STI 영역(30_2) 내에 도입하기 위해 제2 조사량으로 제2 주입을 수행한다. 결과적인 STI 영역(30_2)은 제2 불순물 농도를 갖는다. 예시적인 일 실시예에서, 제1 불순물은 인을 포함하는 반면, 제2 불순물은 붕소를 포함한다.
다음, 도 10에 도시된 바와 같이, 포토 레지스트(134)를 제거하고, 예를 들어, 웨트 에칭 또는 기타 방법을 이용하여 STI 영역들(30)을 오목처리한다. STI 영역들(30_1 및 30_2) 내의 서로 다른 불순물 농도로 인하여, STI 영역들(30_1 및 30_2) 의 에칭율이 서로 다르게 되고 따라서 결과적인 핀 높이들(Hfin1 및 Hfin2)이 서로 다르게 된다. 패턴 로딩 효과(pattern-loading effect)를 도입하기 위해 STI 영역(30_1)의 패턴 밀도를 STI 영역(30_2)의 패턴 밀도와 다르게 형성함으로써 핀 높이(Hfin1 및 Hfin2)에서의 차를 더욱 증가시킬 수 있으며, 그 결과, STI 영역들(30_1 및 30_2)의 에칭율에서의 차이가 추가로 증가된다. 대안의 실시예에서, 도 8 및 도 9에 도시된 바와 같은 STI 도핑을 수행하지 않는다. 그러나, STI 영역(30_1) 의 패턴 밀도는 STI 영역(30_2) 의 패턴 밀도와 다르고, 패턴 로딩 효과는 핀 높이 차이를 야기하는데 이용된다.
후속하는 단계에서, 하드 마스크(24)와 패드 층(22)을 제거하여, 그 결과, 도 6에 도시된 구조체가 형성된다. 그 후, 프로세스들을 계속 진행하여 도 7에 도시된 바와 같은 FinFET들(160 및 260)을 형성한다.
서로 다른 디바이스 영역들 내의 핀 높이들을 차등시킴으로써, 접합 윈도우를 증가시키는데 이는 다른 디바이스 내의 FinFET들의 핀 높이들이 더 이상 서로 묶여있지 않음을 의미한다. 이는 서로 다른 핀 높이들을 갖는 서로 다른 디바이스 영역들 내의 FinFET들에서, 서로 다른 디바이스 영역들 내의 디바이스 성능을 조정하는 것을 더 쉽게 한다. 추가로, 디바이스 영역(100) 내의 FinFET(160; 도 7) 가 p형 FinFET이고, 디바이스 영역(200) 내의 FinFET(260)가 n형 FinFET인 실시예에서, p형 FinFET(160)의 결과적인 핀 높이는 n형 FinFET(260)의 핀 높이보다 더 크다. 따라서, p형 FinFET(160)와 n형 FinFET(260)는 동일한 SRAM 셀 내에 이용될 수 있다 (도 18). 예를 들어, p형 FinFET(160)는 풀업(pull-up) 트랜지스터일 수 있고, n형 FinFET(260)는 풀 다운(pull-down) 트랜지스터일 수 있다. p형 FinFET(160)의 더 큰 핀 높이(Hfin1)는 n형 FinFET(260)의 더 높은 정공 이동도에 비해 더 낮은 정공 이동도를 보상할 수 있다. 따라서, p형 FinFET(160)의 성능과 n형 FinFET(260)의 성능이 균형을 맞출 수 있다.
도 11a 내지 도 16b는 또 다른 실시예에 따른 FinFET의 제조시 중간 단계들을 나타내며, 여기서, STI 영역들(30)의 오목처리된 깊이에서의 차이가 단일의 FinFET 내에 적용된다. 첫번째로, 도 11a 및 도 11b를 참조하여 보면, 하부에 있는 기판(20)과 동일한 물질로 형성된 실리콘 핀일 수 있는 반도체 핀(310)을 형성한다. 반도체 핀(310)의 형성은 도 2 내지 도 6의 핀들(138 또는 238)의 형성과 본질적으로 동일할 수 있다. 도 11a는 길이방향 횡단면도를 나타내며, 여기서 점선은 핀(310)과 기판(20)이 반도체 스트립을 통하여 접속됨을 나타낸다. 도 11b는 폭방향 횡단면도를 나타낸다. 반도체 핀(310)의 핀 높이는 Hfin이며, 핀(310)의 폭은 Wfin이다.
다음, 사시도인 도 12에 나타낸 바와 같이, 게이트 유전체(314) 및 게이트 전극(316)을 형성한다. 게이트 유전체(314)를 핀(310)의 상단 표면 및 측벽 상에 형성한다. 게이트 전극(316)을 게이트 유전체(314) 상에 형성한다. 그 후, 반도체 핀(310)을 주입함으로써, 저농도로 도핑된 소스 및 드레인(LDD) 영역(도시 생략)을 형성할 수 있다. 일 실시예에서, 도 13에 도시된 바와 같이 슬림 스페이서(318)를 게이트 유전체(314) 및 게이트 전극(316)의 측벽들 상에 형성할 수 있으며, 여기서, 슬림 스페이서(318)의 형성 전에 또는 후에 LDD 영역을 형성할 수 있다. 선택적으로, 질화물로 형성될 수 있는 마스크 층(317)을 형성한다. 도 13은 또한 마스크 층(317)을 나타낸다.
다음, 도 14a에 도시된 바와 같이, 게이트 스페이서(320)를 형성한다. 게이트 스페이서(320)는 이전에 형성된 슬림 스페이서(318)를 포함할 수 있다. 게이트 스페이서(320)는 많은 다른 변형 형태를 가질 수 있음을 알아야 한다. 예를 들어, 도 14a에 도시된 바와 같이, 각각의 게이트 스페이서(320)는 질화물-산화물-질화물-산화물(NONO 구조)를 가질 수 있다. 대안의 실시예에서, 각각의 게이트 스페이서(320)는 (NO 구조라 불릴 수 있는) 산화물 층 상의 질화물 층만을 가질 수도 있다. 게이트 전극(316)에 의해 덮여지지 않는 반도체 핀(310)의 대향 측벽들 상의 STI 영역들의 노출된 부분을 오목처리한다. 도 14a에 나타낸 구조체의 사시도가 도 14b에 나타내어 진다. 핀(310)의 높이를 명확히 나타내기 위해, 게이트 스페이서(310)는 나타내지 않는다. 결과적인 구조체에서, 핀(310)은 두개의 높이를 갖는다. 게이트 스페이서(320) 및 게이트 전극(316)에 의해 덮여진 핀(310)의 부분(이는 또한 결과적인 FinFET의 채널 영역을 포함함)은 핀 높이(Hfin)를 가지며, 이 핀 높이는 도 11b에 나타낸 것과 동일하다. STI 영역(30)의 오목처리의 결과로서, 덮여지지 않은 반도체 핀(310)의 부분은 증가된 핀 높이(Hfin')를 갖는다. 일 실시예에서, Hfin' 는 약 2 nm보다 더 크거나 또는 약 10 nm보다 훨씬 더 큰 정도로 핀 높이(Hfin)보다 더 클 수 있다. 대안으로, 비(Hfin'/Hfin)는 약 1.05보다 클 수 있고, 약 1.08보다 훨씬 더 클 수 있거나 또는 약 1.05 내지 약 1.5 사이에 있을 수 있다.
다음, 도 15a에 도시된 바와 같이, 에픽택셜 반도체 층(324)을 반도체 핀(310)의 노출된 부분 상에 에픽택셜 방식으로 성장시킨다. 에픽택셜 반도체 층(324)은 실리콘, 게르마늄, 탄소, 및/또는 기타 알려진 반도체 물질을 포함할 수 있다. 결과적인 FinFET이 p형인 일 실시예에서, 에픽택셜 반도체 층(324)은 실리콘, 및 가능하다면, 실리콘에 더하여 게르마늄을 포함할 수 있다. 결과적인 FinFET이 n형인 일 실시예에서, 에픽택셜 반도체 층(324)은 실리콘, 및 가능하다면, 실리콘에 더하여 탄소를 포함할 수 있다. 에픽택셜 반도체 층(324)의 두께는 약 10 nm보다 클 수 있다.
도 15b는 도 15a에 도시된 구조체의 추가적인 횡단면도를 나타내며, 횡단면도는 도 15a 내의 수직방향면 절취선 15B-15B를 따라 얻어진다. 핀 높이(Hfin)는 도 15b에 표기되어 있다. 도 15c는 도 15a에 도시된 구조체의 추가적인 횡단면도를 나타내며, 횡단면도는 도 15a 내의 수직방향면 절취선 15C-15C를 따라 얻어진다. 핀 높이(fin')는 도 15C에 표기되어 있다. 도 15b 및 도 15c를 비교하여 보면, 증가된 핀 높이(Hfin')로 인해, 에픽택셜 반도체 층(324)의 체적이 증가함이 관찰된다. 반도체(310)의 핀 높이가 값 Hfin에서 값 Hfin'로 증가하지 않으면, 에픽택셜 반도체 층(324)은 점선 328 상의 영역내로 제한될 것이다. 도 15b 및 도 15c에서, 명확하게 가시가능한 하단부가 없지만, 반도체 핀들(310)은 각각의 핀 부분들(310)의 대향면들 상의 STI 영역(30)의 상단 표면들과 동일한 높이의 하단부를 갖는 것으로 간주된다. 따라서, 도 15b에 도시된 바와 같이, 전극(316) 바로 밑에 있는 반도체 핀(310) 부분의 하단부는 선 327으로 나타나고 도 15c에서 게이트 전극(316) 및 게이트 스페이서(320)에 의해 덮여지지 않는 반도체 핀(310) 부분의 하단부는 선 329으로 나타난다. 하단부(329)는 하단부(327)보다 더 낮다.
도 16a를 참조하여 보면, 반도체 핀(310) 및 에픽택셜 반도체 층(324) 내에 소스 및 드레인 영역(도시 생략)을 형성하기 위해 주입을 수행한다. 하드 마스크(317)를 또한 제거하고, 에픽택셜 반도체 층(324) 상에 소스/드레인 실리사이드 영역(330) 및 게이트 실리사이드 영역(332)을 형성한다. 소스 및 드레인 영역 및 실리사이드 영역(330)의 형성은 공지된 방법을 이용할 수 있다. 실리사이드 영역(330 및 332)의 형성 후, 에픽택셜 반도체 층(324)을 완전히 또는 부분적으로 소모시킬 수 있다. 결과적인 구조체에서, 실리사이드 영역(330)은 에픽택셜 반도체 층(324)의 나머지 부분에 의해 반도체 핀(310)으로부터 분리될 수 있거나 또는 핀(310)과 직접 접촉할 수 있다.
도 16b는 도 16a에 도시된 구조체의 추가적인 횡단면도를 나타내며, 횡단면도는 도 16a 내의 수직방향면 절취선 16B-16B를 따라 얻어진다. 에픽택셜 반도체 층(324)의 에픽택셜 형성 전 STI 영역(30)을 오목처리함으로써 소스 및 드레인 영역의 체적이 증가함이 관찰된다. 이는 소스 및 드레인 영역 내의 전류 크라우딩(current crowding)을 감소시키는 긍정적인 효과를 갖는다. 결과적인 FinFET의 채널 영역에 인가되는 바람직한 인장 응력 또는 압축 응력이 또한, 응력을 받는 소스 및 드레인 영역의 증가된 체적으로 인해 증가한다. 추가적으로, 에픽택셜 반도체 층(324)의 증가된 측벽 면적으로 인해 실리사이드 영역(330)의 크기가 또한 증가하기 때문에, 실리사이드 영역(330) 내의 전류 크라우딩 효과가 또한 감소한다.
실시예들 및 이들의 이점이 자세히 설명되어 있지만, 다른 변경, 대체 및 변형이 첨부된 청구범위에 의해 정의된 실시예들의 범위 및 사상에 벗어남이 본 발명 내에서 행해질 수 있음을 이해하여야 한다. 또한, 본 발명의 범위는 명세서 내에 설명된 프로세스, 머신, 제조 및 물질의 조성, 수단, 방법 및 단계들의 특정 실시예들로 제한되지 않는 것으로 의도된다. 당해 기술 분야의 숙련된 자는 본 명세서로부터 쉽게 이해할 수 있기 때문에, 여기에 설명된 대응 실시예들과 실질적으로 동일한 결과를 실현하거나 또는 실질적으로 동일한 기능을 수행하는 기존에 개발되거나 이후에 개발될 프로세스, 머신, 제조, 물질의 조성, 수단, 방법 또는 단계들이 이용되어질 수 있다. 따라서, 첨부된 청구범위는 이러한 프로세스, 머신, 제조, 물질의 조성, 수단, 방법 또는 단계들의 범위 내에 포함하도록 의도된다. 추가적으로, 각각의 청구항은 별도의 실시예를 구성하며, 여러 청구항들 및 실시예들의 조합이 본 발명의 범위 내에 있다.
20: 반도체 기판
22: 패드 층
24: 마스크 층
100, 200: 디바이스 영역
30, 30_1, 30_2: STI 영역

Claims (10)

  1. 집적 회로 구조체에 있어서,
    제1 디바이스 영역 내의 제1 부분 및 제2 디바이스 영역 내의 제2 부분을 포함하는 반도체 기판과,
    상기 반도체 기판 상에 있고 제1 핀 높이를 갖는 제1 반도체 핀(fin)과,
    상기 반도체 기판 상에 있고 제2 핀 높이를 갖는 제2 반도체 핀
    을 포함하며,
    상기 제1 핀 높이는 상기 제2 핀 높이보다 더 큰 것인 집적 회로 구조체.
  2. 제1항에 있어서,
    상기 제1 반도체 핀의 상단 표면은 상기 제2 반도체 핀의 상단 표면과 같은 높이인 것인 집적 회로 구조체.
  3. 제1항에 있어서,
    상기 제1 반도체 핀의 대향면들 상에 있는 제1 쉘로우 트렌치 절연(STI; shallow trench isolation) 영역 및 제2 STI 영역 - 상기 제1 STI 영역 및 제2 STI 영역은 상기 제1 반도체 핀의 하단부와 같은 높이의 제1 상단 표면을 가짐 - 과,
    상기 제2 반도체 핀의 대향면들 상에 있는 제3 STI 영역 및 제4 STI 영역 - 상기 제3 STI 영역 및 제4 STI 영역은 상기 제2 반도체 핀의 하단부와 같은 높이의 제2 상단 표면을 가짐 -
    을 더 포함하며,
    상기 제1 상단 표면은 상기 제2 상단 표면 보다 낮은 것인 집적 회로 구조체.
  4. 제1항에 있어서,
    상기 제1 반도체 핀의 상단 표면 및 측벽 상의 제1 게이트 유전체와, 상기 제1 게이트 유전체 상의 제1 게이트 전극을 포함하는 제1 FinFET과,
    상기 제2 반도체 핀의 상단 표면 및 측벽 상의 제2 게이트 유전체와, 상기 제2 게이트 유전체 상의 제2 게이트 전극을 포함하는 제2 FinFET
    을 더 포함하는 집적 회로 구조체.
  5. 제4항에 있어서,
    상기 제1 FinFET은 p형 FinFET이고, 상기 제2 FinFET는 n형 FinFET이며, 상기 제1 FinFET와 상기 제2 FinFET는 동일한 스테이틱 랜덤 액세스 메모리(SRAM; static random access memory) 셀의 FinFET인 것인 집적 회로 구조체.
  6. 제1항에 있어서,
    상기 제2 핀 높이에 대한 상기 제1 핀 높이에 대한 비는 약 1.25보다 큰 것인 집적 회로 구조체.
  7. 집적 회로 구조체를 형성하는 방법에 있어서,
    제1 디바이스 영역 내의 제1 부분 및 제2 디바이스 영역 내의 제2 부분을 포함하는 반도체 기판을 제공하는 단계와,
    상기 반도체 기판 상에 있고 제1 핀 높이를 갖는 제1 반도체 핀(fin)을 형성하는 단계와,
    상기 반도체 기판 상에 있고 제2 핀 높이를 갖는 제2 반도체 핀을 형성하는 단계
    를 포함하며,
    상기 제1 핀 높이는 상기 제2 핀 높이보다 더 큰 것인 집적 회로 구조체의 형성 방법.
  8. 제7항에 있어서,
    상기 제1 반도체 핀을 형성하는 단계 및 상기 제2 반도체 핀을 형성하는 단계는,
    상기 반도체 기판에 제1 STI 영역 및 제2 STI 영역을 형성하는 단계 - 상기 STI 영역은 상기 제1 디바이스 영역 내에 있고, 상기 제2 STI 영역은 상기 제2 디바이스 영역 내에 있음 - 와,
    상기 제2 디바이스 영역을 덮는 제1 마스크를 형성하는 단계 - 상기 제1 디바이스 영역은 상기 제1 마스크에 의해 덮여지지 않음 - 와,
    상기 제1 STI 영역을 제1 깊이까지 오목 처리(recess)하는 단계 - 상기 제1 STI 영역의 제거된 부분과 접해 있는 반도체 기판의 일부분은 제1 반도체 핀을 형성함 - 와,
    상기 제1 마스크를 제거하는 단계와,
    상기 제1 디바이스 영역을 덮는 제2 마스크를 형성하는 단계 - 상기 제2 디바이스 영역은 상기 제2 마스크에 의해 덮여지지 않음 - 와,
    상기 제2 STI 영역을 상기 제1 깊이와 다른 제2 깊이까지 오목 처리하는 단계 - 상기 제2 STI 영역의 제거된 부분과 접해 있는 반도체 기판의 일부분은 제2 반도체 핀을 형성함 -
    를 포함하는 것인 집적 회로 구조체의 형성 방법.
  9. 제7항에 있어서,
    상기 제1 반도체 핀을 형성하는 단계 및 상기 제2 반도체 핀을 형성하는 단계는,
    상기 반도체 기판에 제1 STI 영역 및 제2 STI 영역을 형성하는 단계 - 상기 STI 영역은 상기 제1 디바이스 영역 내에 있고, 상기 제2 STI 영역은 상기 제2 디바이스 영역 내에 있음 - 와,
    상기 제1 STI 영역을 제1 불순물에 의해 제1 불순물 농도로 도핑하는 단계와,
    상기 제2 STI 영역을 제2 불순물에 의해 상기 제1 불순물 농도와 다른 제2 불순물 농도로 도핑하는 단계와,
    상기 제1 STI 영역과 상기 제2 STI 영역을 동시에 오목 처리하는 단계
    를 포함하는 것인 집적 회로 구조체의 형성 방법.
  10. 제7항에 있어서,
    제1 FinFET를 형성하는 단계와,
    제2 FinFET를 형성하는 단계를
    포함하며,
    상기 제1 FinFET를 형성하는 단계는, 상기 제1 반도체 핀의 상단 표면 및 측벽 상에 제1 게이트 유전체를 형성하는 단계와 상기 제1 게이트 유전체 상에 제1 게이트 전극을 형성하는 단계를 포함하며,
    상기 제2 FinFET를 형성하는 단계는, 상기 제2 반도체 핀의 상단 표면 및 측벽 상에 제2 게이트 유전체를 형성하는 단계와 상기 제2 게이트 유전체 상에 제2 게이트 전극을 형성하는 단계를 포함하는 것인 집적 회로 구조체의 형성 방법.
KR20100109232A 2009-11-20 2010-11-04 서로 다른 핀 높이를 갖는 finfet들 KR101229691B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US26316409P 2009-11-20 2009-11-20
US61/263,164 2009-11-20
US12/871,655 US8941153B2 (en) 2009-11-20 2010-08-30 FinFETs with different fin heights
US12/871,655 2010-08-30

Publications (2)

Publication Number Publication Date
KR20110056225A true KR20110056225A (ko) 2011-05-26
KR101229691B1 KR101229691B1 (ko) 2013-02-05

Family

ID=44061477

Family Applications (1)

Application Number Title Priority Date Filing Date
KR20100109232A KR101229691B1 (ko) 2009-11-20 2010-11-04 서로 다른 핀 높이를 갖는 finfet들

Country Status (4)

Country Link
US (3) US8941153B2 (ko)
JP (1) JP5330358B2 (ko)
KR (1) KR101229691B1 (ko)
TW (1) TWI427768B (ko)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101396088B1 (ko) * 2012-12-10 2014-05-27 한양대학교 산학협력단 3차원 cmos 전계효과 트랜지스터 및 이의 제조방법
KR101435712B1 (ko) * 2012-04-11 2014-09-01 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 커패시터가 집적된 FinFET를 위한 구조 및 방법
KR101496555B1 (ko) * 2013-03-08 2015-02-26 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 격리 영역 갭 충진 방법
US9318367B2 (en) 2013-02-27 2016-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET structure with different fin heights and method for forming the same
KR20160066103A (ko) * 2014-12-01 2016-06-10 삼성전자주식회사 핀펫을 구비하는 반도체 장치
US9793269B2 (en) 2014-08-07 2017-10-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US9842761B2 (en) 2013-12-27 2017-12-12 Taiwan Semiconductor Manufacturing Co., Ltd. Mechanisms for forming FinFETs with different fin heights
US9905467B2 (en) 2015-09-04 2018-02-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
KR20200118478A (ko) * 2018-04-19 2020-10-15 실리콘 스토리지 테크놀로지 인크 Finfet 구조를 갖는 스플릿 게이트 비휘발성 메모리 셀 및 로직 디바이스, 및 이를 제조하는 방법
KR20210038810A (ko) * 2019-09-29 2021-04-08 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 멀티-게이트 디바이스 및 관련 방법

Families Citing this family (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8941153B2 (en) 2009-11-20 2015-01-27 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with different fin heights
US9397104B2 (en) 2011-09-21 2016-07-19 Institute of Microelectronics, Chinese Academy of Sciences SRAM cell and method for manufacturing the same
CN103021854B (zh) 2011-09-28 2015-09-16 中国科学院微电子研究所 制作鳍式场效应晶体管的方法以及由此形成的半导体结构
US8871575B2 (en) 2011-10-31 2014-10-28 United Microelectronics Corp. Method of fabricating field effect transistor with fin structure
JP5816560B2 (ja) * 2012-01-10 2015-11-18 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US8557648B2 (en) * 2012-01-11 2013-10-15 International Business Machines Corporation Recessed source and drain regions for FinFETs
US8659097B2 (en) 2012-01-16 2014-02-25 Taiwan Semiconductor Manufacturing Company, Ltd. Control fin heights in FinFET structures
US8836016B2 (en) 2012-03-08 2014-09-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structures and methods with high mobility and high energy bandgap materials
US9564367B2 (en) * 2012-09-13 2017-02-07 Globalfoundries Inc. Methods of forming different FinFET devices with different threshold voltages and integrated circuit products containing such devices
US9054212B2 (en) * 2012-10-30 2015-06-09 Globalfoundries Inc. Fin etch and Fin replacement for FinFET integration
US9093566B2 (en) * 2012-12-31 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. High efficiency FinFET diode
KR102003276B1 (ko) 2013-02-14 2019-07-24 삼성전자 주식회사 반도체 소자 제조 방법
US9443961B2 (en) 2013-03-12 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor strips with undercuts and methods for forming the same
US20140315371A1 (en) * 2013-04-17 2014-10-23 International Business Machines Corporation Methods of forming isolation regions for bulk finfet semiconductor devices
US9331201B2 (en) 2013-05-31 2016-05-03 Globalfoundries Inc. Multi-height FinFETs with coplanar topography background
KR20150093543A (ko) * 2014-02-07 2015-08-18 삼성전자주식회사 핀 구조 전계 효과 트랜지스터를 구비한 반도체 소자
US9653461B2 (en) * 2014-03-28 2017-05-16 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with low source/drain contact resistance
TWI615976B (zh) * 2014-07-07 2018-02-21 聯華電子股份有限公司 鰭式場效電晶體及其製造方法
JP6350217B2 (ja) * 2014-10-29 2018-07-04 株式会社ソシオネクスト 半導体装置及び半導体装置の製造方法
KR102204387B1 (ko) * 2014-12-17 2021-01-18 삼성전자주식회사 매립형 게이트 구조체를 갖는 반도체 소자 및 그 제조 방법
US9881830B2 (en) * 2015-01-06 2018-01-30 Globalfoundries Inc. Electrically insulated fin structure(s) with alternative channel materials and fabrication methods
KR102352154B1 (ko) * 2015-03-03 2022-01-17 삼성전자주식회사 집적회로 소자
US10312149B1 (en) 2015-03-26 2019-06-04 Taiwan Semiconductor Manufacturing Co., Ltd Fin field effect transistor (FinFET) device structure and method for forming the same
US9418994B1 (en) * 2015-03-26 2016-08-16 Taiwan Semiconductor Manufacturing Co., Ltd Fin field effect transistor (FinFET) device structure
US10833175B2 (en) * 2015-06-04 2020-11-10 International Business Machines Corporation Formation of dislocation-free SiGe finFET using porous silicon
US9373624B1 (en) * 2015-06-11 2016-06-21 International Business Machines Corporation FinFET devices including epitaxially grown device isolation regions, and a method of manufacturing same
US9570454B2 (en) * 2015-06-25 2017-02-14 Taiwan Semiconductor Manufacturing Co., Ltd. Structure with emedded EFS3 and FinFET device
KR102323943B1 (ko) 2015-10-21 2021-11-08 삼성전자주식회사 반도체 장치 제조 방법
US9773871B2 (en) * 2015-11-16 2017-09-26 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor and method for fabricating the same
US9620503B1 (en) * 2015-11-16 2017-04-11 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor and method for fabricating the same
JP6591291B2 (ja) * 2016-01-07 2019-10-16 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
JP6591311B2 (ja) * 2016-02-24 2019-10-16 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US9666589B1 (en) * 2016-03-21 2017-05-30 Globalfoundries Inc. FinFET based flash memory cell
KR102301850B1 (ko) 2016-11-24 2021-09-14 삼성전자주식회사 액티브 패턴 구조물 및 액티브 패턴 구조물을 포함하는 반도체 소자
US10134760B2 (en) 2017-01-10 2018-11-20 International Business Machines Corporation FinFETs with various fin height
US10204905B2 (en) 2017-04-25 2019-02-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and manufacturing method thereof
US10083873B1 (en) 2017-06-05 2018-09-25 Globalfoundries Inc. Semiconductor structure with uniform gate heights
CN109273440B (zh) * 2017-07-18 2021-06-22 联华电子股份有限公司 具伸张应力鳍状结构的制作方法与互补式鳍状晶体管结构
US10692769B2 (en) 2017-08-29 2020-06-23 Taiwan Semiconductor Manufacturing Co., Ltd Fin critical dimension loading optimization
US10068902B1 (en) 2017-09-26 2018-09-04 Globalfoundries Inc. Integrated circuit structure incorporating non-planar field effect transistors with different channel region heights and method
US10096524B1 (en) 2017-10-18 2018-10-09 International Business Machines Corporation Semiconductor fin patterning techniques to achieve uniform fin profiles for fin field effect transistors
US10727240B2 (en) 2018-07-05 2020-07-28 Silicon Store Technology, Inc. Split gate non-volatile memory cells with three-dimensional FinFET structure
US10679901B2 (en) 2018-08-14 2020-06-09 International Business Machines Corporation Differing device characteristics on a single wafer by selective etch
US10937794B2 (en) 2018-12-03 2021-03-02 Silicon Storage Technology, Inc. Split gate non-volatile memory cells with FinFET structure and HKMG memory and logic gates, and method of making same
US10797142B2 (en) 2018-12-03 2020-10-06 Silicon Storage Technology, Inc. FinFET-based split gate non-volatile flash memory with extended source line FinFET, and method of fabrication
US11011517B2 (en) 2019-01-02 2021-05-18 International Business Machines Corporation Semiconductor structure including first FinFET devices for low power applications and second FinFET devices for high power applications
US11362100B2 (en) 2020-03-24 2022-06-14 Silicon Storage Technology, Inc. FinFET split gate non-volatile memory cells with enhanced floating gate to floating gate capacitive coupling
US11456179B2 (en) * 2020-07-14 2022-09-27 Applied Materials, Inc. Methods for forming semiconductor device having uniform fin pitch

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5105105A (en) * 1990-03-21 1992-04-14 Thunderbird Technologies, Inc. High speed logic and memory family using ring segment buffer
JP2000223591A (ja) * 1999-01-27 2000-08-11 Sony Corp 半導体記憶装置
JP2001244324A (ja) 2000-03-02 2001-09-07 Sanyo Electric Co Ltd 半導体装置の製造方法
JP3454231B2 (ja) * 2000-06-15 2003-10-06 セイコーエプソン株式会社 半導体記憶装置
US7358121B2 (en) 2002-08-23 2008-04-15 Intel Corporation Tri-gate devices and methods of fabrication
US6706571B1 (en) 2002-10-22 2004-03-16 Advanced Micro Devices, Inc. Method for forming multiple structures in a semiconductor device
KR100513405B1 (ko) 2003-12-16 2005-09-09 삼성전자주식회사 핀 트랜지스터의 형성 방법
KR100576361B1 (ko) * 2004-03-23 2006-05-03 삼성전자주식회사 3차원 시모스 전계효과 트랜지스터 및 그것을 제조하는 방법
DE102004020593A1 (de) 2004-04-27 2005-11-24 Infineon Technologies Ag Fin-Feldeffekttransistor-Anordnung und Verfahren zum Herstellen einer Fin-Feldeffektransistor-Anordnung
US7807523B2 (en) 2005-07-01 2010-10-05 Synopsys, Inc. Sequential selective epitaxial growth
US7605449B2 (en) 2005-07-01 2009-10-20 Synopsys, Inc. Enhanced segmented channel MOS transistor with high-permittivity dielectric isolation material
US7265008B2 (en) 2005-07-01 2007-09-04 Synopsys, Inc. Method of IC production using corrugated substrate
US8466490B2 (en) 2005-07-01 2013-06-18 Synopsys, Inc. Enhanced segmented channel MOS transistor with multi layer regions
US7247887B2 (en) 2005-07-01 2007-07-24 Synopsys, Inc. Segmented channel MOS transistor
US7190050B2 (en) 2005-07-01 2007-03-13 Synopsys, Inc. Integrated circuit on corrugated substrate
US7508031B2 (en) 2005-07-01 2009-03-24 Synopsys, Inc. Enhanced segmented channel MOS transistor with narrowed base regions
US7479421B2 (en) * 2005-09-28 2009-01-20 Intel Corporation Process for integrating planar and non-planar CMOS transistors on a bulk substrate and article made thereby
US7462538B2 (en) * 2005-11-15 2008-12-09 Infineon Technologies Ag Methods of manufacturing multiple gate CMOS transistors having different gate dielectric materials
JP4490927B2 (ja) 2006-01-24 2010-06-30 株式会社東芝 半導体装置
US8106381B2 (en) * 2006-10-18 2012-01-31 Translucent, Inc. Semiconductor structures with rare-earths
US20080128797A1 (en) * 2006-11-30 2008-06-05 International Business Machines Corporation Structure and method for multiple height finfet devices
US20080157225A1 (en) * 2006-12-29 2008-07-03 Suman Datta SRAM and logic transistors with variable height multi-gate transistor architecture
US7612405B2 (en) * 2007-03-06 2009-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Fabrication of FinFETs with multiple fin heights
US7939862B2 (en) 2007-05-30 2011-05-10 Synopsys, Inc. Stress-enhanced performance of a FinFet using surface/channel orientations and strained capping layers
US8941153B2 (en) 2009-11-20 2015-01-27 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with different fin heights

Cited By (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101435712B1 (ko) * 2012-04-11 2014-09-01 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 커패시터가 집적된 FinFET를 위한 구조 및 방법
KR101396088B1 (ko) * 2012-12-10 2014-05-27 한양대학교 산학협력단 3차원 cmos 전계효과 트랜지스터 및 이의 제조방법
US9318367B2 (en) 2013-02-27 2016-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET structure with different fin heights and method for forming the same
US11532500B2 (en) 2013-02-27 2022-12-20 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET structure with different fin heights and method for forming the same
US10840126B2 (en) 2013-02-27 2020-11-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET structure with different fin heights and method for forming the same
US10504770B2 (en) 2013-02-27 2019-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET structure with different fin heights and method for forming the same
KR101496555B1 (ko) * 2013-03-08 2015-02-26 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 격리 영역 갭 충진 방법
US9177955B2 (en) 2013-03-08 2015-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Isolation region gap fill method
US9842761B2 (en) 2013-12-27 2017-12-12 Taiwan Semiconductor Manufacturing Co., Ltd. Mechanisms for forming FinFETs with different fin heights
US10134626B2 (en) 2013-12-27 2018-11-20 Taiwan Semiconductor Manufacturing Co., Ltd. Mechanisms for forming FinFETs with different fin heights
US10269794B2 (en) 2014-08-07 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US9793269B2 (en) 2014-08-07 2017-10-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
KR20160066103A (ko) * 2014-12-01 2016-06-10 삼성전자주식회사 핀펫을 구비하는 반도체 장치
US10847514B2 (en) 2014-12-01 2020-11-24 Samsung Electronics Co., Ltd. Semiconductor device with fin field effect transistors
US10163717B2 (en) 2015-09-04 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming FinFET device by adjusting etch selectivity of dielectric layer
US10504787B2 (en) 2015-09-04 2019-12-10 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET with sloped surface at interface between isolation structures
US10727135B2 (en) 2015-09-04 2020-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET with sloped surface at interface between isolation structures and manufacturing method thereof
KR101879929B1 (ko) * 2015-09-04 2018-07-18 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 반도체 디바이스 및 그 제조 방법
US10998235B2 (en) 2015-09-04 2021-05-04 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET with sloped surface at interface between isolation structures and manufacturing method thereof
US9905467B2 (en) 2015-09-04 2018-02-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
KR20200118478A (ko) * 2018-04-19 2020-10-15 실리콘 스토리지 테크놀로지 인크 Finfet 구조를 갖는 스플릿 게이트 비휘발성 메모리 셀 및 로직 디바이스, 및 이를 제조하는 방법
KR20210038810A (ko) * 2019-09-29 2021-04-08 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 멀티-게이트 디바이스 및 관련 방법
US11031292B2 (en) 2019-09-29 2021-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-gate device and related methods

Also Published As

Publication number Publication date
KR101229691B1 (ko) 2013-02-05
US9711412B2 (en) 2017-07-18
TWI427768B (zh) 2014-02-21
US20160358926A1 (en) 2016-12-08
TW201133792A (en) 2011-10-01
US20110121406A1 (en) 2011-05-26
US8941153B2 (en) 2015-01-27
JP2011109106A (ja) 2011-06-02
US9425102B2 (en) 2016-08-23
US20150111355A1 (en) 2015-04-23
JP5330358B2 (ja) 2013-10-30

Similar Documents

Publication Publication Date Title
KR101229691B1 (ko) 서로 다른 핀 높이를 갖는 finfet들
US8373238B2 (en) FinFETs with multiple Fin heights
US9721829B2 (en) FinFETs with different fin height and EPI height setting
US7449753B2 (en) Write margin improvement for SRAM cells with SiGe stressors
KR101441747B1 (ko) FinFET 디바이스를 위한 구조 및 방법
KR100523310B1 (ko) 반도체 장치
CN102074582B (zh) 集成电路结构及其形成方法
JP2006303501A (ja) PFETの移動度を強化したステップ埋め込みSiGe構造
US9263585B2 (en) Methods of forming enhanced mobility channel regions on 3D semiconductor devices, and devices comprising same
US20090294894A1 (en) INTEGRATED CIRCUIT HAVING LOCALIZED EMBEDDED SiGe AND METHOD OF MANUFACTURING
CN103022039A (zh) Sram单元及其制作方法
JP6948099B2 (ja) マルチ閾値PMOSトランジスタのための埋め込みSiGeプロセス
KR100485690B1 (ko) 모스 트랜지스터 및 그 제조방법
TWI765298B (zh) 具有分別形成之源極和汲極的電晶體及其形成方法
KR101544509B1 (ko) 트랜지스터를 갖는 반도체소자의 제조방법
KR20070019451A (ko) 반도체 소자 및 그 제조 방법
KR100943133B1 (ko) 반도체 소자의 트랜지스터 및 그 형성 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20160112

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20170123

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20180111

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20200114

Year of fee payment: 8