CN102687253A - 具有掺杂的外延区域的半导体器件及其制造方法 - Google Patents

具有掺杂的外延区域的半导体器件及其制造方法 Download PDF

Info

Publication number
CN102687253A
CN102687253A CN2010800586874A CN201080058687A CN102687253A CN 102687253 A CN102687253 A CN 102687253A CN 2010800586874 A CN2010800586874 A CN 2010800586874A CN 201080058687 A CN201080058687 A CN 201080058687A CN 102687253 A CN102687253 A CN 102687253A
Authority
CN
China
Prior art keywords
substrate
predecessor
depression
epi region
cap layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2010800586874A
Other languages
English (en)
Other versions
CN102687253B (zh
Inventor
A·S·默西
D·B·奥贝蒂内
T·加尼
A·J·派特
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Priority to CN201610841907.XA priority Critical patent/CN107068737B/zh
Priority to CN201510829787.7A priority patent/CN105470287B/zh
Priority to CN202010547786.4A priority patent/CN111883591A/zh
Publication of CN102687253A publication Critical patent/CN102687253A/zh
Application granted granted Critical
Publication of CN102687253B publication Critical patent/CN102687253B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • H01L29/7834Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's with a non-planar structure, e.g. the gate or the source or the drain being non-planar
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/02428Structure
    • H01L21/0243Surface structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02529Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02576N-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28079Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a single metal, e.g. Ta, W, Mo, Al
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/495Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7853Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the body having a non-rectangular crossection

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)

Abstract

本发明的实施例描述了半导体器件上的外延区域。在一个实施例中,通过循环的沉积-蚀刻工艺来在衬底上沉积所述外延区域。用外延帽层来回填在循环的沉积-蚀刻工艺期间在间隔体下方产生的空腔。所述外延区域和外延帽层改善了沟道区域的电子迁移率,减小了短沟道效应并降低了寄生电阻。

Description

具有掺杂的外延区域的半导体器件及其制造方法
技术领域
本发明涉及半导体处理领域,并且更具体地,涉及具有掺杂的外延区域的半导体器件及其制造方法。
背景技术
提高半导体器件、特别是晶体管的性能,始终是半导体工业中的主要考虑。例如,在金属氧化物半导体场效应晶体管(MOSFET)的设计和制造期间,共同的目标总是增加沟道区域的电子迁移率并减小寄生电阻以改善器件性能。
例如,改善器件性能的其它方法包括:通过对源极/漏极区域与沟道区域之间的区域进行掺杂来减小MOSFET的整体电阻,该区域被称为MOSFET的“尖端(tip)”或源极/漏极扩展区域。例如,将掺杂剂注入到源极/漏极区域中,并且退火步骤使掺杂剂向沟道区域扩散。但是,存在控制掺杂剂浓度和位置方面的限制。此外,注入和掺杂方法没有解决在尖端区域处的横向底切或寄生电阻的问题。
附图说明
图1是示出根据本发明的一个实施例的半导体器件的截面图。
图2是示出根据本发明的另一实施例的半导体器件的截面图。
图3是示出根据本发明的另一实施例的半导体器件的截面图。
图4是示出根据本发明的另一实施例的半导体器件的透视图。
图5A-5F是示出制造图1中所示的半导体器件的方法的截面图。
图6A-6F是示出制造图2中所示的半导体器件的方法的截面图。
图7A-7C是示出制造图3中所示的半导体器件的方法的截面图。
图8A-8I是示出制造图4中所示的半导体器件的方法的透视图。
图9-15是图8E-8I中所示的半导体器件的截面图。
图8E’是示出图8E中所示的半导体器件的替代实施例的透视图。
图9’是示出图9中所示的半导体器件的替代实施例的透视图。
具体实施方式
描述了具有掺杂的外延区域的半导体器件及其制造方法。在以下描述中,为了提供对本发明的全面理解,阐述了大量具体细节。在其它实例中,为了避免不必要地使本发明难以理解,没有特别详细地描述公知的半导体处理技术和特征。
本发明的实施例描述了在半导体器件上形成外延区域的方法。在一个实施例中,外延区域是通过循环沉积-蚀刻工艺而沉积的原位碳和磷掺杂的硅(Siy(C,P)1-y)区域。由非常高掺杂磷的硅(SiyP1-y)外延帽层,来回填循环沉积-蚀刻工艺期间在间隔体下方产生的空腔。归因于由(SiyP1-y)外延帽层中非常高的磷掺杂提供的沟道区域处的增大的电子迁移率、减小的短沟道效应(归因于碳抑制了磷扩散)以及减小的寄生电阻的组合效应,在自对准的外延尖端(置位)(Epi Tip(SET))结构中的外延区域和帽层叠层的制造提供了显著的晶体管性能增益。
图1示出了根据本发明的一个实施例的半导体器件的截面图。半导体器件包括由诸如但不限于单晶硅的半导体材料制成的衬底200。在一个实施例中,衬底200是绝缘体上硅(SOI)衬底的硅膜,或者是包括硅、硅锗、锗、III-V族化合物半导体的多层衬底。
栅极电介质310形成在衬底200的沟道区域上。在一个实施例中,电介质层310由诸如但不限于氧化硅(例如,SiO2)的任何公知的绝缘材料制成。在另一实施例中,电介质层310由介电常数基本上大于二氧化硅的介电常数(即k>3.9)的高k介电材料制成。高k介电材料的示例包括但不限于氧化钽(Ta2O5)、氧化钛(TiO2)以及氧化铪(HfO2)。
栅极电极320形成在栅极电介质310上。在一个实施例中,栅极电极由诸如但不限于多晶硅的任何公知的材料制成。在其它实施例中,栅极电极320由诸如但不限于铂、钨或钛的金属或金属合金材料制成。
在一个实施例中,硬掩模410形成在栅极电极320的顶部上。在一个实施例中,硬掩模410由诸如但不限于氮化硅或氮氧化硅的材料制成。间隔体420、440形成在栅极电极320的相对的侧壁上。在一个实施例中,间隔体420、440沿栅极电极320的整个侧壁宽度形成。间隔体420、440包括侧壁421、441以及底表面422、442。在一个实施例中,间隔体420、440由诸如但不限于氮化硅、二氧化硅或氮氧化硅的材料制成。
在本发明的实施例中,凹陷的源极界面220和凹陷的漏极界面230形成在衬底200上、栅极电极320的相对侧上。在一个实施例中,部分凹陷的源极界面220在间隔体420的底表面422下方以及部分栅极电极320下方横向延伸。类似地,部分凹陷的漏极界面230在间隔体440的底表面442下方以及部分栅极电极320下方横向延伸。
源极区域501形成在凹陷的源极界面220上。在本发明的实施例中,源极区域501包括形成在凹陷的源极界面220上的外延区域531。帽层541形成在外延区域531上。源极区域501包括源极外延-尖端区域503,源极外延-尖端区域503包括形成在间隔体420和栅极电介质310正下方的外延区域531和帽层541的部分。
漏极区域502形成在凹陷的漏极界面230上。在一个实施例中,漏极区域502包括形成在凹陷的漏极界面230上的外延区域532。帽层542形成在外延区域532上。漏极区域502包括漏极外延-尖端区域504,漏极外延-尖端区域504包括形成在间隔体440和栅极电介质310正下方的外延区域532和帽层542的部分。通过相对近地靠近沟道区域形成源极和漏极外延-尖端区域503、504,在沟道区域上引发了更大的流体静应力,从而导致了更高的电子迁移率并增大了驱动电流。
在本发明的实施例中,外延区域531、532包括掺杂有磷的硅和碳。在此情况下,图1中所示的半导体器件是具有自对准的外延尖端(置位)结构的NMOS平面或三栅极晶体管。在一个实施例中,外延区域531、532包括具有大约0.5原子%至4原子%的碳浓度和大约9E19cm-3至3E21cm-3的磷浓度的硅。在具体实施例中,外延区域531、532包括具有2.2原子%的碳浓度和2E20cm-3的磷浓度的硅。在源极和漏极区域501、502的外延区域531、532中的替位碳(超过2原子%)在沟道区域上施加了流体静应力,这增大了电子迁移率。此外,替位碳抑制了在任何随后的热退火期间的任何磷扩散,从而减小了短沟道效应。
在本发明的实施例中,帽层541、542是包括掺杂有磷的硅的外延层。在一个实施例中,帽层541、542包括具有大约8E19cm-3至3E21cm-3的磷浓度的硅。在具体实施例中,帽层541、542包括具有2E21cm-3的磷浓度的硅。帽层541、542中的高磷浓度水平减小了寄生电阻,特别是自对准多晶硅化物与源极/漏极区域501、502之间的接触电阻中的寄生电阻。
图2示出了类似于图1的半导体器件的截面图。衬底200是由{001}硅制成的,并且包括在{001}硅衬底200的{111}晶面中具有{111}面241的凹陷的源极界面240,以及在{001}硅衬底200的{111}晶面中具有{111}面251的凹陷的漏极界面250。{111}面241、251提供了耗尽层(depletion)中的减小的体积以及短沟道效应的相应的改善的控制。在一个实施例中,凹陷的源极和漏极界面240、250均在{001}硅衬底200的{010}晶面中还包括{010}面242、252,其中{010}面242、252在栅极电极320的正下方延伸。{010}面242、252有助于更精确地限定半导体器件的冶金(metallurgical)沟道长度并减小短沟道效应。
类似于图1,图2中所示的半导体器件包括源极区域501和漏极区域502,其均具有外延区域531、532以及帽层541、542。外延区域531、532和帽层541、542形成在包括它们的{111}面241、251和{010}面242、252的凹陷的源极和漏极界面240、250上。源极区域501包括源极外延-尖端区域505,源极外延-尖端区域505包括由间隔体420、栅极电介质310和{111}、{010}面241、242所围绕的外延区域531和帽层541的部分。漏极区域502包括漏极外延-尖端区域506,漏极外延-尖端区域506包括由间隔体440、栅极电介质310和{111}、{010}面251、252所围绕的外延区域532和帽层541的部分。相对近地靠近沟道区域形成源极和漏极外延-尖端区域505、506在沟道区域上引发了更大的流体静应力,从而增大了电子迁移率,这导致了更高的驱动电流。
图3示出了类似于图2的半导体器件的截面图。在一个实施例中,源极和漏极区域501、502均包括形成在凹陷的源极和漏极界面240、250上的外延层610、620,其中所述凹陷的源极和漏极界面240、250包括它们的{111}面241、251和{010}面242、252。
源极区域501包括源极外延-尖端区域611,源极外延-尖端区域611包括由间隔体420、栅极电介质310和{111}、{010}面241、242所围绕的外延层610的部分。漏极区域包括漏极外延-尖端区域621,漏极外延-尖端区域621包括由间隔体440、栅极电介质310和{111}、{010}面251、252所围绕的外延层610的部分。相对近地靠近沟道区域形成源极和漏极外延-尖端区域611、621在沟道区域上引发了更大的流体静应力,从而增大了电子迁移率,这导致了更高的驱动电流。
在本发明的实施例中,外延层610、620包括掺杂有磷的硅。在一个实施例中,外延层610、620包括具有大约8E19cm-3至3E21cm-3的磷浓度的硅。在具体实施例中,外延层610、620包括具有2E21cm-3的磷浓度的硅。外延层610、620中的高磷浓度水平减小了寄生电阻,特别是自对准多晶硅化物与源极/漏极区域501、502之间的接触电阻中的寄生电阻。
图1、2和3示出了在平面晶体管中应用外延区域以增加沟道区域处的电子迁移率或减小源极/漏极区域处的接触电阻。能够理解,外延区域不限于平面晶体管,而是能够制造在诸如但不限于三栅极晶体管的其它器件上。图4示出了三栅极器件的透视图,所述三栅极器件包括具有半导体主体或鳍260(用虚线表示)的衬底200。栅极电极340形成在鳍260的三个表面上以形成三个栅极。硬掩模410形成在栅极电极340的顶部上。栅极间隔体460、470形成在栅极电极340的相对的侧壁上。源极区域包括形成在凹陷的源极界面266和鳍260侧壁上的外延区域531。帽层541沉积在外延区域531上。
图5A-5F示出了形成如关于图1所讨论的半导体器件的方法。半导体器件的制造从提供如图5A中所示的衬底200开始。栅极电介质310形成在衬底200的期望的沟道区域上。在一个实施例中,栅极电介质310由任何公知的方法形成,诸如但不限于物理气相沉积(PVD)、化学气相沉积(CVD)或原子层沉积(ALD)。
栅极电极320形成在栅极电介质310上。在本发明的实施例中,栅极电极320是牺牲栅极电极,其随后在替换栅极工艺中由实际栅极电极替换。硬掩模410形成在栅极电极320的顶部上。在本发明的实施例中,使用PVD或CVD来沉积栅极电极320和硬掩模410,并且随后使用公知的光刻和蚀刻技术来对栅极电极320和硬掩模410进行构图。
然后,间隔体420、440形成在栅极电极320的相对的侧壁上。间隔体420、440包括形成在衬底200的顶表面上的侧壁421、441以及底表面422、442。在一个实施例中,通过使用公知技术来形成间隔体420、440,所述公知技术诸如在包括栅极电极320的整个衬底200上沉积间隔体材料层,并且随后各向异性地蚀刻间隔体材料层以形成栅极电极320的侧壁上的间隔体420、440。
接下来,源极区域和漏极区域形成在衬底200上。在本发明的实施例中,源极和漏极区域的制造从使用诸如但不限于干法蚀刻或湿法蚀刻的公知蚀刻技术来使衬底200的部分凹陷开始。在本发明的实施例中,利用包括对衬底200基本上是选择性的蚀刻剂化学试剂(chemistry)的湿法蚀刻来使衬底200凹陷,以便形成如图5B中所示的凹陷的源极界面220和凹陷的漏极界面230。
在一个实施例中,湿法蚀刻底切间隔体420、440,并且在间隔体420的底表面422与凹陷的源极界面220之间形成源极外延-尖端空腔271,在间隔体440的底表面与凹陷的漏极界面230之间形成漏极外延-尖端空腔272。结果,源极外延-尖端空腔271和漏极外延-尖端空腔272暴露了间隔体420、440的底表面422、442。在一个实施例中,源极外延-尖端空腔271和漏极外延-尖端空腔272也暴露了栅极电介质310的部分。结果,凹陷的源极界面220的部分在间隔体420下方和栅极电极320的部分下方横向延伸。类似地,凹陷的漏极界面230的部分在间隔体440下方和栅极电极320的部分下方横向延伸。
能够理解,能够控制湿法蚀刻(例如,通过调节蚀刻持续时间),使得源极和漏极外延-尖端空腔271、272不暴露栅极电介质310。举例来说,凹陷的源极界面220仅在间隔体420下方横向延伸,且凹陷的漏极界面230仅在间隔体440下方横向延伸。
在本发明的实施例中,凹陷的源极和漏极界面220、230限定了半导体器件的沟道区域。沟道区域指代位于栅极电介质310的正下方且位于凹陷的源极和漏极界面220、230之间的衬底200的部分。
接下来,通过将衬底200交替地暴露于第一前驱物和第二前驱物,而在凹陷的源极和漏极界面220、230中的每个上沉积外延区域。在图5C中,外延区域的制造从将整个衬底200暴露于第一前驱物以便在凹陷的源极和漏极界面220、230上沉积外延膜511、512开始。在衬底200由单晶硅制成的情况下,凹陷的源极和漏极界面220、230为允许在其上外延生长外延膜511、512的单晶表面。而另一方面,硬掩模410、间隔体420、440以及栅极电介质310是非晶表面。结果,非晶层513沉积在硬掩膜410的顶表面、间隔体420、440的侧壁421、441和底表面422、442以及栅极电介质310的底表面的部分上。
在本发明的实施例中,第一前驱物包括含有硅的化合物、含有碳的化合物以及掺杂剂。在一个实施例中,含有硅的化合物包括但不限于硅烷和卤化硅烷。这种含有硅的化合物包括硅烷(SiH4)、乙硅烷(Si2H6)、丙硅烷(Si3H8)、二氯甲硅烷(SiH2Cl2)以及五氯硅烷。
在一个实施例中,含有碳的化合物包括但不限于有机硅烷。例如,含有碳的化合物包括一甲基硅烷(CH3-SiH3)。在一个实施例中,将含有碳的化合物与氢气(H2)或氩混合。例如,将一甲基硅烷(CH3-SiH3)与氢气(H2)或氩混合,其中CH3-SiH3的浓度范围是0.5%至20%。
在本发明的实施例中,掺杂剂是n型掺杂剂,诸如但不限于磷或砷。在一个实施例中,使用没有任何氢气或诸如N2或Ar的惰性气体的稀释的磷化氢(PH3),将磷掺杂剂结合到外延膜中。在另一实施例中,磷化氢气体混合有氢气,例如作为氢气(H2)中3%的磷化氢(PH3)的混合物。
在一个实施例中,用载气将第一前驱物输送并释放到衬底200上。在一个实施例中,载气包括但不限于氢气(H2)或任何诸如氮气(N2)、氩和氦的惰性气体或其任何组合。
在本发明的实施例中,在大约500至700摄氏度的温度和大约5至300托的压力下,将衬底200暴露于第一前驱物,且持续时间为大约3至60秒。在具体实施例中,在600摄氏度的温度和30托的压力下,将衬底200暴露于第一前驱物,且持续时间为15秒。
在一个实施例中,生长外延膜511、512,以具有大约6至100埃的厚度。在具体实施例中,生长外延膜511、512,以具有50埃的厚度。在第一前驱物使用磷掺杂剂的情况下,所沉积的外延膜511、512是含有掺杂有磷的硅和碳的晶体膜(即,掺杂原位碳和磷的硅层)。非晶层513包含掺杂有磷的硅和碳。
在将衬底200暴露于第一前驱物之前,能够在衬底200上执行可选的表面预处理,以促进外延生长并减少表面缺陷。在本发明的实施例中,表面预处理包括在衬底200上执行的氢烘烤处理(图5B中),以便清洁凹陷的源极和漏极界面220、230。氢烘烤处理释放出氧并且使表面重建,使得外延膜511、512能够容易地成核而不形成缺陷。在一个实施例中,在大约700至1050摄氏度下执行氢烘烤处理,持续时间大约为10至120秒。在本发明的实施例中,将氯化氢(HCl)添加至氢烘烤处理。氯化氢(HCl)能够去除凹陷的源极和漏极界面220、230的大约1至3层单分子层,使得它们没有氧、碳氢化合物以及其它任何污染物。在一个实施例中,在大约700至900摄氏度的较低温度下执行带有氯化氢(HCl)的氢烘烤处理,持续时间为大约10至120秒。或者,氯气(Cl2)、锗烷(GeH4)或磷化氢(PH3)能够用作氯化氢(HCl)的添加的或替代的化学化合物。
在替代实施例中,表面预处理利用了蚀刻步骤来清洁凹陷的源极和漏极界面220、230。在一个实施例中,蚀刻步骤使用了蚀刻剂气体,诸如但不限于氢气(H2)、无水盐酸(HCl)或锗烷(GeH4)和氢气(H2)的混合物。在另一实施例中,表面预处理使用了蚀刻步骤和氢烘烤处理的组合。
在将衬底200暴露于第二前驱物之前,能够执行清洗工艺,以便从衬底200去除第一前驱物和其它副产物。在一个实施例中,清洗工艺注入诸如但不限于氮气(N2)、氦或氩的惰性气体,以便去除任何未反应的第一前驱物或副产物。
接下来,在图5D中,整个衬底200暴露于第二前驱物,以便从间隔体420、440的侧壁421、441和底表面422、442去除非晶层513。此外,第二前驱物也去除任何形成在硬掩膜410上和栅极电介质310下的非晶层513。在一个实施例中,第二前驱物900使用了蚀刻非晶层513比蚀刻外延膜511、512快的蚀刻剂化学试剂。在一个实施例中,第二前驱物900是蚀刻剂气体,诸如但不限于氢气(H2)、无水盐酸(HCl)以及锗烷(GeH4)和氢气(H2)的混合物。锗烷(GeH4)允许通过催化进行蚀刻,从而在低温度下提供高蚀刻速率。
在一个实施例中,在大约30至300托的压力下将衬底200暴露于第二前驱物,且持续时间为大约5至60秒。在具体实施例中,在80托的压力下将衬底200暴露于第二前驱物,且持续时间为20秒。在一个实施例中,当衬底200同时暴露于第一前驱物和第二前驱物时,将温度保持在基本上相同的水平。
归因于非晶层513与硬掩模410、间隔体420、440以及栅极电介质310之间的弱化学键,第二前驱物容易地去除了其上沉积的非晶层513。第二前驱物与非晶层513发生反应并将其转化为副产物,从而从硬掩模410、间隔体420、440和栅极电介质310去除了非晶层513。
另一方面,外延膜511、512与凹陷的源极和漏极界面220、230具有强化学键。归因于所述强化学键,第二前驱物仅去除外延膜511、512的小部分。在一个实施例中,能够调节在图5C期间所沉积的外延膜511、512的厚度或者图5D中将第二前驱物暴露于衬底200的持续时间,以便在保持外延膜511、512的足够的厚度的同时有效地去除非晶层513。
图5C和5D示出了在凹陷的源极和漏极界面220、230上形成外延膜511、512的一次沉积-蚀刻循环。在一个实施例中,使用相同类型的第一和第二前驱物来重复所述沉积-蚀刻循环,直到沉积了期望数量的外延膜。例如,图5E示出了均包含十层外延膜的外延区域531、532。
能够理解,外延区域531、532均不限于仅十层外延膜。在一个实施例中,执行了大约3至100次沉积-蚀刻循环来形成外延区域531、532。在具体实施例中,执行了30次沉积-蚀刻循环,以形成厚度大约为30纳米的外延区域531、532。
在本发明的实施例中,所沉积的外延区域531、532具有缓变的碳或磷浓度。能够优化每个外延膜沉积的碳和磷浓度,以提供最优的选择性和无缺陷的外延。此外,缓变的碳或磷浓度促进了沉积-蚀刻循环期间非晶材料的去除。在一个实施例中,外延区域531、532(图5E中所示)的缓变的碳浓度从最下方的外延膜的大约0.5原子%开始,逐渐增大至最上方的外延膜中大约2原子%的期望水平。在另一实施例中,外延区域531、532的缓变的磷浓度水平从最下方的外延膜的大约8E19cm-3开始,并且逐渐增大至最上方的外延膜的大约2E21cm-3的期望水平。在一个实施例中,沉积的外延区域531、532具有缓变的碳浓度(0.5-2原子%)和缓变的磷浓度(8E19-2E21cm-3)的组合。
如图5E中所示,外延区域531、532选择地形成在凹陷的源极和漏极界面220、230上。然而,在每次沉积-蚀刻循环期间非晶层513的去除导致形成在间隔体420、440的底表面422、442与外延区域531、532的顶表面之间的空隙或空腔281、282。在一个实施例中,空腔281、282也在部分栅极电介质310与外延区域531、532之间延伸。空腔281、282可以引起对晶体管性能有害的效应,从而需要将其消除。在本发明的一个实施例中,如图5F中所示,由选择性地沉积在外延区域531、532上的帽层541、542来基本上回填空腔281、282。
在本发明的实施例中,通过将衬底200曝露于第三前驱物,在单次沉积工艺中,在外延区域531、532上选择性地沉积帽层541、542。在一个实施例中,第三前驱物包括与第一前驱物相同的含有硅的化合物和掺杂剂,以及与第二前驱物相同的蚀刻气体。
在外延区域531、532是具有掺杂有磷的硅和碳的晶体膜的情况下,第三前驱物使用相同的磷掺杂剂来形成帽层541、542。外延层531、532的晶体表面允许在其上外延生长帽层541、542,结果,帽层541、542是含有掺杂有磷的硅的外延层。除了回填空腔,掺杂磷的硅帽层541、542还提供了引发沟道区域上的拉伸应力、由此增大电子迁移率并改善器件性能的优点。
在一个实施例中,使用了共飞(co-flown)沉积技术来将衬底200同时暴露于含有硅的化合物、掺杂剂和蚀刻剂气体。在一个实施例中,蚀刻剂气体不包括锗烷(GeH4)。蚀刻剂气体容易地去除了任何在沉积期间弱键合于硬掩模410和间隔体420、440上的含有硅和磷的化合物,从而将帽层541、542沉积在外延区域531、532上,而不沉积在硬掩模410或间隔体420、440上。
在本发明的实施例中,在大约550至800摄氏度的温度和大约10托至大气压的压力下,将衬底200暴露于第三前驱物,且持续时间为大约30至900秒。在具体实施例中,在635摄氏度的温度和600托的压力下,将衬底200暴露于第一前驱物,且持续时间为180秒。
在一个实施例中,生长帽层541、542以具有大约50至500埃的厚度。在具体实施例中,生长帽层541、542以具有300埃的厚度。
间隔体420和栅极电介质310正下方的外延区域531和帽层541的部分形成了源极外延-尖端区域503。类似地,间隔体440和栅极电介质310正下方的外延区域532和帽层542的部分形成了漏极外延-尖端区域504。通过相对近地靠近沟道区域形成源极和漏极外延-尖端区域503、504,在沟道区域上引发了更大的流体静应力,导致了更高的电子迁移率并增大了驱动电流。能够通过在外延区域531、532的制造期间增大源极和漏极外延-尖端区域503、504的碳浓度来进一步放大应力。此外,源极和漏极外延-尖端区域503、504的碳浓度也帮助抑制随后的热退火期间的任何磷扩散。
在本发明的实施例中,栅极电极320是牺牲栅极电极,其随后在替换栅极工艺中由实际栅极电极替换。在一个实施例中,替换栅极工艺从在帽层541、542上沉积掩模并且随后平坦化所述掩模使其与硬掩模410(未示出)共面开始。接下来,使用公知的蚀刻技术来去除硬掩模410和栅极电极320。在去除硬掩膜410和栅极电极320后,在栅极电介质310上沉积实际栅极电极。在一个实施例中,实际栅极电极是包括诸如但不限于铂、钨或钛等材料的金属栅极电极。这完成了图1中所示的半导体器件的制造。
图6A-6F示出了形成如关于图2所讨论的半导体器件的方法。如图6A中所示,半导体器件的制造从提供衬底200开始。图6A中所示的半导体器件与图5A中所示的半导体器件相同,因此不再详细讨论。简要地说,半导体器件包括形成在衬底200的期望的沟道区域上的栅极电介质310。栅极电极320形成在栅极电介质310上。在本发明的实施例中,栅极电极320是牺牲栅极电极,其随后在替换栅极工艺中由实际栅极电极替换。硬掩模410形成在栅极电极的顶部上,并且间隔体420、440形成在栅极电极320的侧壁上。
接下来,源极区域和漏极区域形成在衬底200上。在本发明的实施例中,源极和漏极区域的制造从使用诸如但不限于干法蚀刻或湿法蚀刻的公知蚀刻技术来使衬底200的部分凹陷开始。在本发明的实施例中,利用对于衬底200基本上是选择性的湿法蚀刻来使衬底200凹陷,以便形成如图6B中所示的凹陷的源极界面240和凹陷的漏极界面250。
在本发明的实施例中,衬底200由{001}硅制成。湿法蚀刻使用基于晶向蚀刻{001}硅衬底200的蚀刻剂化学试剂,特别是当在其它晶向上的蚀刻进行的迅速得多的时候,沿硅衬底200的{111}晶面蚀刻{001}硅衬底200则缓慢得多,以形成{111}面241、251。结果,源极外延-尖端空腔271形成在间隔体420的底表面422与{111}面241之间。漏极外延-尖端空腔272形成在间隔体440的底表面与{111}面251之间。
湿法蚀刻化学试剂包括但不限于基于氨的或基于胺的蚀刻剂。基于氨的蚀刻剂的范例是氢氧化氨(NH4OH)、氢氧化四甲铵(TMAH)以及苄基三甲基氢氧化铵(BTMH)。湿法蚀刻化学试剂包括其它类型的蚀刻剂,诸如氢氧化钾(KOH)以及氢氧化钠(NaOH)。
在一个实施例中,湿法蚀刻还在{001}硅衬底200的沟道区域中产生了{010}面242、252。{010}面242、252在栅极电介质310正下方延伸。在具体实施例中,从栅极电介质310开始形成{010}面242、252直到大约3纳米的长度。
接下来,通过将衬底200交替地暴露于第一前驱物和第二前驱物,来在凹陷的源极和漏极界面240、250中的每个上沉积外延区域。如图6C、6D和6E中所示,制造外延区域的方法类似于图5C、5D和5E中所讨论的制造方法。在将衬底200暴露于第一前驱物之前,能够在衬底200上执行可选的表面预处理,以促进外延生长并减少表面缺陷。在一个实施例中,表面预处理包括如先前在图5C中所讨论的氢烘烤处理和/或蚀刻步骤,以清洁凹陷的源极和漏极界面240、250。
从图6C开始,整个衬底200暴露于第一前驱物,以便在凹陷的源极和漏极界面240、250上沉积外延膜511、512。包括它们的{111}面241、251和{010}面242、252的凹陷的源极和漏极界面240、250是允许在其上外延生长外延膜511、512的单晶表面。另一方面,硬掩模410、间隔体420、440和栅极电介质310是非晶表面,从而在其上沉积非晶层513。如关于图5C所讨论的相同的第一前驱物和工艺条件在这里是可适用的,并且将不再讨论。
接下来,在图6D中,整个衬底200类似地暴露于第二前驱物,以从间隔体420、440的侧壁421、441和底表面422、442去除非晶层513。此外,第二前驱物也去除任何形成在硬掩膜410上和栅极电介质310下的非晶层513。如关于图5D所讨论的相同的第二前驱物和工艺条件在这里是可适用的,并且将不再讨论。
图6C和6D示出了在包括它们的{111}面241、251和{010}面242、252的凹陷的源极和漏极界面240、250上形成外延膜511、512的一次沉积-蚀刻循环。重复所述沉积-蚀刻循环,直到沉积了期望数量的外延膜。为了示例的目的,图6E示出了均包含十层外延膜的外延区域531、532。在本发明的实施例中,如先前在图5E中所描述的,所沉积的外延区域531、532具有缓变的碳或磷浓度。例如,所沉积的外延区域531、532(如图6E中所示)最下方的外延膜具有大约0.5原子%的缓变的碳浓度,并且逐渐增大至最上方的外延层的大约2原子%的期望水平。或者,所沉积的外延区域531、532最下方的外延膜具有大约8E19cm-3的缓变的磷浓度水平,并且逐渐增大至最上方的外延膜的大约2E21cm-3的期望水平。在一个实施例中,所沉积的外延区域531、532具有缓变的碳浓度(0.5-2原子%)和缓变的磷浓度(8E19-2E21cm-3)的组合。
在每次沉积-蚀刻循环期间,非晶层513的去除类似地导致形成在间隔体420、440的底表面422、442与外延区域531、532的顶表面之间的空腔281、282。如图6F中所示,由选择性地沉积在外延区域531、532上的帽层541、542来基本上回填空腔281、282。
在一个实施例中,通过将衬底200曝露于第三前驱物,在单次沉积工艺中在外延区域531、532上选择性地沉积帽层541、542。如关于图5F所讨论的相同的第三前驱物和工艺条件在这里是可适用的。在外延区域531、532是具有掺杂有磷的硅和碳的晶体膜的情况下,第三前驱物使用相同的磷掺杂剂来形成帽层541、542。外延区域531、532的晶体表面允许在其上外延生长帽层541、542,结果,帽层541、542是含有掺杂有磷的硅的外延层。这完成了图2中所示的半导体器件的制造。
图7A-7C示出了形成如关于图3所讨论的半导体器件的方法。从图7A开始,半导体器件的制造从提供衬底200开始。图7A中所示的半导体器件与图5A相同,因此在这里不再详细讨论。
接下来,源极区域和漏极区域形成在衬底200上。在本发明的实施例中,源极和漏极区域的制造从使用诸如但不限于干法蚀刻或湿法蚀刻的公知蚀刻技术来使衬底200的部分凹陷开始。在一个实施例中,如图7B中所示,这里类似地应用图6B中所使用的湿法蚀刻来使衬底200凹陷,以便形成凹陷的源极界面240和凹陷的漏极界面250。湿法蚀刻使用如关于图6B所描述的相同的蚀刻剂化学试剂,以在{001}硅衬底200的{111}晶面中形成{111}面241、251。在一个实施例中,湿法蚀刻还在{001}硅衬底200的沟道区域中产生{010}面242、252。
接下来,如图7C中所示,在凹陷的源极和漏极界面240、250上选择性地沉积外延层610、620。在本发明的实施例中,通过将衬底200暴露于包括蚀刻剂气体的前驱物,而在单次沉积工艺中选择性地沉积外延层610、620。
在一个实施例中,前驱物包括图5C中类似地描述的含有硅的化合物和掺杂剂。在一个实施例中,含有硅的化合物包括但不限于硅烷和卤化硅烷。这种含有硅的化合物包括硅烷(SiH4)、乙硅烷(Si2H6)、丙硅烷(Si3H8)、二氯甲硅烷(SiH2Cl2)以及五氯硅烷。在本发明的实施例中,掺杂剂是n型掺杂剂,诸如但不限于磷或砷。在一个实施例中,使用没有任何氢气或诸如N2或Ar的惰性气体的稀释的磷化氢(PH3),来将磷掺杂剂引入外延层中。在另一实施例中,磷化氢气体与氢气混合,例如为氢气(H2)中3%的磷化氢(PH3)的混合物。在一个实施例中,前驱物的蚀刻剂气体包括但不限于氢气(H2)和无水盐酸(HCl)。
在一个实施例中,使用共飞沉积技术来同时将包括蚀刻剂气体的前驱物输送至衬底200。在一个实施例中,在大约550至800摄氏度的温度和大约10托至大气压的压力下,将衬底200暴露于前驱物,且持续时间为大约30至2000秒。在具体实施例中,在635摄氏度的温度和600托的压力下,将衬底200暴露于第一前驱物,且持续时间为800秒。
在一个实施例中,生长外延层610、620,以具有大约30至2000埃的厚度。在具体实施例中,生长外延层610、620,以具有750埃的厚度。在使用磷掺杂剂的情况下,外延层610、620包括掺杂有磷的硅。
在衬底200由单晶硅制成的情况下,包括它们的{111}面241、251和{010}面242、252的凹陷的源极和漏极界面240、250是允许在其上外延生长外延层610、620的单晶表面。由于硬掩模410和间隔体420、440具有非晶表面,所以蚀刻剂气体容易地去除了任何在沉积期间弱键合于硬掩模410和间隔体420、440上的含有硅和磷的化合物,从而将外延层610、620沉积在凹陷的源极和漏极界面240、250上,而不沉积在硬掩模410或间隔体420、440上。
沉积在间隔体420和{111}、{010}面241、242之间的外延层610的部分形成源极外延-尖端区域611。类似地,沉积在间隔体440和{111}、{010}面251、252之间的外延层620的部分形成漏极外延-尖端区域621。通过相对近地靠近沟道区域形成源极和漏极外延-尖端区域611、621,在沟道区域上引发了更大的流体静应力,因而导致了更高的电子迁移率。此外,掺杂磷的硅外延层610、620在沟道区域上引发了拉伸应力,由此增大了电子迁移率并改善了器件性能。这完成了图3中所示的半导体器件的制造。
另外,在将衬底200暴露于前驱物之前,能够在衬底200上执行可选的表面预处理,以促进外延生长并减少表面缺陷。例如,在衬底200上执行关于图5C所描述的类似的氢烘烤处理(图7B中),以清洁包括它们的{111}面241、251和{010}面242、252的凹陷的源极和漏极界面240、250。
图8A-8I示出了形成如关于图4所讨论的三栅极器件的方法。如图8A中所示,三栅极器件的制造从提供衬底200开始。衬底200包括半导体主体或从衬底200延伸穿过隔离区域710、720的鳍260。在一个实施例中,隔离区域710、720是由常用技术形成的浅沟槽隔离(STI)区域,所述常用技术诸如蚀刻衬底200以形成沟槽,并随后沉积氧化物材料至沟槽上以形成STI区域。隔离区域710、720由任何诸如但不限于氧化硅(例如,SiO2)的公知绝缘材料制成。
在一个实施例中,鳍260包括隔离区域700上方的顶表面261。鳍260还包括在隔离区域710上方暴露的前表面262,和在隔离区域720上方暴露的后表面263。在一个实施例中,鳍260由与衬底200相同的半导体材料制成。
接下来,在图8B中,栅极电介质330形成在顶表面261、前表面262和后表面263的部分上。在一个实施例中,栅极电介质330由任何诸如但不限于物理气相沉积(PVD)、化学气相沉积(CVD)或原子层沉积(ALD)的公知方法形成。
然后,栅极电极340形成在栅极电介质330上,并且在栅极电极340的任一侧上暴露鳍260的部分264、265。在一个实施例中,栅极电极340由任何诸如但不限于多晶硅的公知材料制成。形成在顶表面261、前表面262和后表面263上的栅极电极340产生了三栅极器件的三个栅极。随后,硬掩膜410形成在栅极电极320的顶部上。
接下来,如图8C中所示,栅极间隔体460、470沉积在栅极电极340的相对的侧壁上。在一个实施例中,间隔体460、470通过使用公知技术来形成,所述公知技术诸如在包括栅极电极320的整个衬底200上沉积间隔体材料层,并随后各项异性蚀刻间隔体材料层,以在栅极电极340的侧壁上形成间隔体460、470。与此同时,鳍间隔体480、490形成在鳍260的暴露部分264、265的侧壁上。在一个实施例中,栅极间隔体460、470和鳍间隔体480、490由诸如但不限于氮化硅、二氧化硅或氮氧化硅的材料制成。
接下来,源极区域和漏极区域形成在衬底200上。在本发明的实施例中,图8D中源极和漏极区域的制造由从鳍260的暴露部分264、265的侧壁去除鳍间隔体480、490开始。鳍间隔体480、490由诸如但不限于干法蚀刻或湿法蚀刻的公知蚀刻技术去除。
在一个实施例中,使用各项异性湿法蚀刻来从鳍260的暴露部分264、265完全去除鳍间隔体480、490。与此同时,各项异性湿法蚀刻也去除栅极间隔体460、470的部分,从而暴露硬掩膜410侧壁的部分。由于栅极间隔体460、470的高度和厚度大于鳍间隔体480、490的高度和厚度,所以各项异性湿法蚀刻去除鳍间隔体480、490比去除栅极间隔体460、470快。能够控制各项异性湿法蚀刻,以便完全去除鳍间隔体480、490,但在栅极电极340上留下足够厚度的栅极间隔体460、470,使得栅极电极340的侧壁不被暴露。
接下来,在衬底200上执行蚀刻,以使鳍260的暴露部分264、265凹陷。在本发明的实施例中,如图8E中所示,蚀刻使用对鳍260基本上是选择性的蚀刻剂化学试剂来使暴露部分264凹陷,以便在隔离区域710、720的顶表面下形成凹陷的源极界面266,并形成鳍侧壁267。在栅极电极340的另一侧上,使暴露部分264凹陷来形成凹陷的漏极界面268和鳍侧壁269。在一个实施例中,凹陷的源极和漏极界面266、268在隔离区域710、720的顶表面下大约100至400埃处。
图9示出了三栅极器件的截面图,所述三栅极器件示出了从顶表面261延伸至凹陷的源极界面266的鳍侧壁267以及从顶表面261延伸至凹陷的漏极界面268的鳍侧壁269。在本发明的实施例中,鳍侧壁267、269基本上与栅极间隔体460、470侧壁461、471共面或齐平。在一个实施例中,鳍侧壁267、269是衬底200的{110}晶面中的{110}面,而凹陷的源极和漏极界面266、268则是衬底200的{100}晶面中的{100}面。
在替代实施例中,使用各项异性蚀刻来形成凹陷到栅极间隔体460、470内的鳍侧壁267、269。图8E’是三栅极器件的透视图,所述三栅极器件示出了凹陷到栅极间隔体470内的鳍侧壁267。图9’是示出凹陷在栅极间隔体460、470下方的鳍侧壁267、269两者的截面图。在一个实施例中,使鳍侧壁267、269从栅极间隔体侧壁461、471凹陷直至大约25至200埃。
从图8E继续,随后通过将衬底200交替地暴露于第一前驱物和第二前驱物,在凹陷的源极和漏极界面266、268中的每个上沉积外延区域。如图8F、8G和8H中所示的外延区域的制造方法类似于图5C、5D和5E中所讨论的制造方法。
从图8F开始,整个衬底200暴露于第一前驱物,以便在凹陷的源极界面266和鳍侧壁267上沉积外延膜511。与此同时,如图10的截面图中所示,外延膜512沉积在凹陷的漏极界面268和鳍侧壁269上。凹陷的源极和漏极界面266、268以及鳍侧壁267、269是允许在其上外延生长外延膜511、512的单晶表面。另一方面,硬掩膜410、栅极间隔体460、470以及隔离区域710、720是非晶表面,因而在其上形成非晶层513。如关于图5C所讨论的相同的第一前驱物和工艺条件在这里是可适用的,并且将不再讨论。
接下来,在图8G中,整个衬底200类似地暴露于第二前驱物,以从栅极间隔体460、470和隔离区域710、720去除非晶层513。此外,第二前驱物也去除任何形成在硬掩膜410上的非晶层513。图11示出了去除非晶层513之后的三栅极器件的截面图。如关于图5D所讨论的相同的第二前驱物和工艺条件在这里是可适用的,并且将不再讨论。
图8F-8G和图10-11示出了在凹陷的源极和漏极界面266、268以及鳍侧壁267、269上形成外延膜511、512的一次沉积-蚀刻循环。重复所述沉积-蚀刻循环,直到沉积了期望数量的外延膜。在一个实施例中,如图12中所示,最终外延区域531、532包括五层外延膜。在本发明的实施例中,如先前在图5E中所讨论的,所沉积的外延区域531、532具有缓变的碳或磷浓度。例如,所沉积的外延区域531、532(如图12中所示)最下方的外延膜具有大约0.5原子%的缓变的碳浓度,并且逐渐增大至最上方的外延膜的大约2原子%的期望水平。或者,所沉积的外延区域531、532最下方的外延膜具有大约8E19cm-3的缓变的磷浓度,并且逐渐地增大至最上方的外延膜的大约2E21cm-3的期望水平。在一个实施例中,所沉积的外延区域531、532具有缓变的碳浓度(0.5-2原子%)和缓变的磷浓度(8E19-2E21cm-3)的组合。
在鳍侧壁267、269凹陷到栅极间隔体460、470内的替代实施例中,更靠近三栅极器件的沟道区域形成外延区域531、531,从而在沟道区域上引发更高的应力量。
如图8H和13中所示,在每次沉积-蚀刻循环期间,非晶层513的去除类似地导致形成在外延区域531、532与隔离区域710、720之间的空隙或空腔281、282。如图8I、14和15中所示,通过在外延区域531、532上选择性地沉积帽层541、542来基本上回填空腔281、282。
在一个实施例中,通过将衬底200暴露于第三前驱物,在单次沉积工艺中在外延区域531、532上选择性地沉积帽层541、542。如关于图5F所讨论的相同的第三前驱物和工艺条件在这里是可适用的。在外延区域531、532是具有掺杂有磷的硅和碳的晶体膜的情况下,第三前驱物使用相同的磷掺杂剂来形成帽层541、542。外延区域531、532的晶体表面允许在其上外延生长帽层541、542,结果,帽层541、542是含有掺杂有磷的硅的外延层。磷掺杂的硅帽层541、542提供了引发半导体鳍260的沟道区域上的拉伸应力(这增大了电子迁移率并改善了器件性能)的优点。这完成了图4中所示的半导体器件的制造。
因此,已经描述了本发明的若干实施例。然而,本领域技术人员会认识到本发明不限于所描述的实施例,而是能够在有以下所附的权利要求的精神和范围内的修改和变化的情况下实施。

Claims (42)

1.一种形成半导体器件的方法,包括:
提供具有栅极电极的衬底和形成在所述栅极电极的侧壁上的间隔体;
蚀刻所述衬底,以形成凹陷的界面;
通过将所述衬底交替地暴露于第一前驱物和第二前驱物,而在所述凹陷的界面上形成外延区域;以及
在所述外延区域上选择性地沉积帽层。
2.根据权利要求1所述的方法,其中将所述衬底交替地暴露于所述第一前驱物和所述第二前驱物包括:
将所述衬底暴露于所述第一前驱物,以便
在所述凹陷的界面上沉积外延膜,并且
在所述侧壁和所述间隔体的底表面上沉积非晶层;以及
将所述衬底暴露于所述第二前驱物,以从所述侧壁和所述间隔体的所述底表面去除所述非晶层。
3.根据权利要求1所述的方法,其中所述第一前驱物包括:
包括硅烷的含有硅的化合物;
包括有机硅烷的含有碳的化合物;以及
包括磷的掺杂剂。
4.根据权利要求3所述的方法,其中所述外延区域包括掺杂有磷的硅和碳。
5.根据权利要求1所述的方法,其中所述第二前驱物是蚀刻剂气体。
6.根据权利要求1所述的方法,
其中在所述凹陷的界面上形成所述外延区域导致了所述外延区域和所述间隔体的所述底表面之间的空隙;并且
其中所述帽层回填所述空隙。
7.根据权利要求1所述的方法,其中通过将所述衬底暴露于第三前驱物,而在所述外延区域上选择性地沉积所述帽层,所述第三前驱物包括:
包括硅烷的含有硅的化合物;
包括磷的掺杂剂;以及
蚀刻剂气体。
8.根据权利要求7所述的方法,其中所述帽层包括掺杂有磷的硅。
9.根据权利要求1所述的方法,还包括:
在将所述衬底交替地暴露于第一前驱物和第二前驱物之前,在所述衬底上执行氢烘烤处理。
10.根据权利要求1所述的方法,其中蚀刻所述衬底,以形成所述凹陷的界面包括:
执行湿法蚀刻,以在所述衬底的{111}晶面中形成{111}面。
11.根据权利要求10所述的方法,其中所述湿法蚀刻在所述衬底的{010}晶面中形成{010}面。
12.根据权利要求10所述的方法,其中所述湿法蚀刻使用蚀刻剂化学试剂,所述蚀刻剂化学试剂选自由氢氧化钾(KOH)、氢氧化钠(NaOH)、基于氨的蚀刻剂或基于胺的蚀刻剂组成的组。
13.一种形成半导体器件的方法,包括:
提供具有栅极电极的衬底以及形成在所述栅极电极的相对的侧壁上的第一间隔体和第二间隔体;
蚀刻所述衬底,以形成凹陷的源极界面和凹陷的漏极界面;
通过将所述衬底暴露于包括蚀刻剂气体的前驱物,而在所述凹陷的源极界面上选择性地沉积第一外延层,并且在所述凹陷的漏极界面上选择性地沉积第二外延层。
14.根据权利要求13所述的方法,其中所述前驱物包括:
包括硅烷的含有硅的化合物;以及
包括磷的掺杂剂。
15.根据权利要求14所述的方法,其中所述第一外延层和所述第二外延层都包括掺杂有磷的硅。
16.根据权利要求13所述的方法,其中蚀刻所述衬底,以形成凹陷的源极界面和凹陷的漏极界面包括:
执行湿法蚀刻,以在所述凹陷的源极界面和所述凹陷的漏极界面处的所述衬底的{111}晶面中形成{111}面。
17.根据权利要求16所述的方法,其中所述湿法蚀刻在所述凹陷的源极界面和所述凹陷的漏极界面处的所述衬底的{010}晶面中形成{010}面。
18.根据权利要求16所述的方法,其中所述湿法蚀刻使用蚀刻剂化学试剂,所述蚀刻剂化学试剂选自由氢氧化钾(KOH)、氢氧化钠(NaOH)、基于氨的蚀刻剂或基于胺的蚀刻剂组成的组。
19.一种形成半导体器件的方法,包括:
提供衬底和半导体主体,所述衬底上具有绝缘层,所述半导体主体从所述衬底延伸穿过所述绝缘层;
在所述半导体主体的部分上形成栅极电极,由此限定所述半导体主体的暴露部分;
在所述栅极电极的侧壁上沉积栅极间隔体;
蚀刻所述半导体主体的所述暴露部分,以形成
在所述绝缘层的顶表面下方凹陷的第一表面,以及
与所述栅极间隔体共面的第二表面;
通过将所述衬底交替地暴露于第一前驱物和第二前驱物,而在所述第一表面和所述第二表面上形成外延区域;以及
在所述外延区域上选择性地沉积帽层。
20.根据权利要求19所述的方法,其中在所述栅极电极的所述侧壁上沉积所述栅极间隔体包括:
在所述半导体主体的所述暴露部分的所述侧壁上沉积主体间隔体。
21.根据权利要求20所述的方法,还包括:
在蚀刻所述半导体主体的所述暴露部分之前,从所述半导体主体的所述暴露部分的所述侧壁去除所述主体间隔体。
22.根据权利要求19所述的方法,还包括:
蚀刻所述第二表面,使得所述第二表面凹陷到所述栅极间隔体内。
23.根据权利要求19所述的方法,
其中在所述第一表面和所述第二表面上形成外延区域导致了所述外延区域与所述绝缘层之间的空隙;并且
其中所述帽层回填所述空隙。
24.根据权利要求19所述的方法,其中将所述衬底交替地暴露于所述第一前驱物和所述第二前驱物包括:
将所述衬底暴露于所述第一前驱物,以便
在所述第一表面和所述第二表面上沉积外延膜,并且
在所述绝缘层和所述栅极间隔体上沉积非晶层;以及
将所述衬底暴露于所述第二前驱物,以从所述绝缘层和所述栅极间隔体去除所述非晶层。
25.根据权利要求19所述的方法,其中所述第一前驱物包括:
包括硅烷的含有硅的化合物;
包括有机硅烷的含有碳的化合物;以及
包括磷的掺杂剂。
26.根据权利要求25所述的方法,其中所述外延区域包括掺杂有磷的硅和碳。
27.根据权利要求19所述的方法,其中所述第二前驱物是蚀刻剂气体。
28.根据权利要求19所述的方法,其中通过将所述衬底暴露于第三前驱物,而在所述外延区域上选择性地沉积所述帽层,所述第三前驱物包括:
包括硅烷的含有硅的化合物;
包括磷的掺杂剂;以及
蚀刻剂气体。
29.根据权利要求28所述的方法,其中所述帽层包括掺杂有磷的硅。
30.一种半导体器件,包括:
衬底,包括:
栅极电极,其形成在所述衬底的沟道区域上,以及
形成在所述衬底上、所述栅极电极的相对侧上的凹陷的源极界面和凹陷的漏极界面;
形成在所述栅极电极的相对的侧壁上的第一间隔体和第二间隔体,其中所述凹陷的源极界面的部分在所述第一间隔体的底表面下方横向延伸,并且所述凹陷的漏极界面的部分在所述第二间隔体的底表面下方横向延伸;
源极区域,包括:
第一外延区域,其形成在所述凹陷的源极界面上,以及
第一帽层,其形成在所述第一外延区域上,其中所述第一帽层的部分形成在所述第一外延区域与所述第一间隔体的所述底表面之间;以及
漏极区域,包括:
第二外延区域,其形成在所述凹陷的漏极界面上,以及
第二帽层,其形成在所述第二外延区域上,其中所述第二帽层的部分形成在所述第二外延区域与所述第二间隔体的所述底表面之间。
31.根据权利要求30所述的半导体器件,其中所述第一外延区域和所述第二外延区域均包括掺杂有磷的硅和碳。
32.根据权利要求31所述的半导体器件,其中,所述其中所述第一外延区域和所述第二外延区域均包括硅,所述硅具有
0.5原子%至4原子%的范围内的碳浓度,以及
9E19cm-3至3E21cm-3的范围内的磷浓度。
33.根据权利要求31所述的半导体器件,其中所述第一帽层和所述第二帽层均包括掺杂有磷的硅。
34.根据权利要求33所述的半导体器件,其中所述第一帽层和所述第二帽层均包括磷浓度范围为8E19cm-3至3E21cm-3的硅。
35.一种半导体器件,包括:
衬底,所述衬底具有在其上形成的绝缘层;
半导体主体,所述半导体主体从所述衬底延伸穿过所述绝缘层,其中所述半导体主体包括:
暴露在所述绝缘层上方的顶表面、前表面和后表面,
第一侧壁,其从所述顶表面延伸至源极界面,以及
第二侧壁,其与所述第一侧壁相对,所述第二侧壁从所述顶表面延伸至漏极界面;
栅极电极,其形成在所述半导体主体的所述顶表面、所述前表面和所述后表面上;
形成在所述栅极电极的相对的侧壁上的第一间隔体和第二间隔体;
源极区域,包括:
第一外延区域,其形成在所述第一侧壁和所述源极界面上,以及
第一帽层,其形成在所述第一外延区域上,其中所述第一帽层的部分形成在所述第一外延区域与所述绝缘层之间;以及
漏极区域,包括:
第二外延区域,其形成在所述第二侧壁和所述漏极界面上,以及
第二帽层,其形成在所述第二外延区域上,其中所述第二帽层的部分形成在所述第二外延区域与所述绝缘层之间。
36.根据权利要求35所述的半导体器件,其中所述第一侧壁基本上与所述第一间隔体共面,而所述第二侧壁与所述第二间隔体共面。
37.根据权利要求35所述的半导体器件,其中所述第一侧壁凹陷到所述第一间隔体内,而所述第二侧壁凹陷到所述第二间隔体内。
38.根据权利要求35所述的半导体器件,其中所述源极界面和所述漏极界面在所述绝缘层的所述顶表面下方凹陷。
39.根据权利要求35所述的半导体器件,其中所述第一外延区域和所述第二外延区域均包括掺杂有磷的硅和碳。
40.根据权利要求39所述的半导体器件,其中,所述其中所述第一外延区域和所述第二外延区域均包括硅,所述硅具有
0.5原子%至4原子%的范围内的碳浓度,以及
9E19cm-3至3E21cm-3的范围内的磷浓度。
41.根据权利要求35所述的半导体器件,其中所述第一帽层和所述第二帽层均包括掺杂有磷的硅。
42.根据权利要求41所述的半导体器件,其中所述第一帽层和所述第二帽层均包括磷浓度范围为8E19cm-3至3E21cm-3的硅。
CN201080058687.4A 2009-12-21 2010-11-29 具有掺杂的外延区域的半导体器件及其制造方法 Active CN102687253B (zh)

Priority Applications (3)

Application Number Priority Date Filing Date Title
CN201610841907.XA CN107068737B (zh) 2009-12-21 2010-11-29 具有掺杂的外延区域的半导体器件及其制造方法
CN201510829787.7A CN105470287B (zh) 2009-12-21 2010-11-29 具有掺杂的外延区域的半导体器件及其制造方法
CN202010547786.4A CN111883591A (zh) 2009-12-21 2010-11-29 具有掺杂的外延区域的半导体器件及其制造方法

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/643,912 2009-12-21
US12/643,912 US8598003B2 (en) 2009-12-21 2009-12-21 Semiconductor device having doped epitaxial region and its methods of fabrication
PCT/US2010/058199 WO2011084262A2 (en) 2009-12-21 2010-11-29 Semiconductor device having doped epitaxial region and its methods of fabrication

Related Child Applications (3)

Application Number Title Priority Date Filing Date
CN201610841907.XA Division CN107068737B (zh) 2009-12-21 2010-11-29 具有掺杂的外延区域的半导体器件及其制造方法
CN202010547786.4A Division CN111883591A (zh) 2009-12-21 2010-11-29 具有掺杂的外延区域的半导体器件及其制造方法
CN201510829787.7A Division CN105470287B (zh) 2009-12-21 2010-11-29 具有掺杂的外延区域的半导体器件及其制造方法

Publications (2)

Publication Number Publication Date
CN102687253A true CN102687253A (zh) 2012-09-19
CN102687253B CN102687253B (zh) 2016-10-19

Family

ID=44149856

Family Applications (4)

Application Number Title Priority Date Filing Date
CN201610841907.XA Active CN107068737B (zh) 2009-12-21 2010-11-29 具有掺杂的外延区域的半导体器件及其制造方法
CN202010547786.4A Pending CN111883591A (zh) 2009-12-21 2010-11-29 具有掺杂的外延区域的半导体器件及其制造方法
CN201080058687.4A Active CN102687253B (zh) 2009-12-21 2010-11-29 具有掺杂的外延区域的半导体器件及其制造方法
CN201510829787.7A Active CN105470287B (zh) 2009-12-21 2010-11-29 具有掺杂的外延区域的半导体器件及其制造方法

Family Applications Before (2)

Application Number Title Priority Date Filing Date
CN201610841907.XA Active CN107068737B (zh) 2009-12-21 2010-11-29 具有掺杂的外延区域的半导体器件及其制造方法
CN202010547786.4A Pending CN111883591A (zh) 2009-12-21 2010-11-29 具有掺杂的外延区域的半导体器件及其制造方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN201510829787.7A Active CN105470287B (zh) 2009-12-21 2010-11-29 具有掺杂的外延区域的半导体器件及其制造方法

Country Status (8)

Country Link
US (4) US8598003B2 (zh)
EP (3) EP3109895B1 (zh)
JP (1) JP5615933B2 (zh)
KR (1) KR101476628B1 (zh)
CN (4) CN107068737B (zh)
HK (1) HK1176741A1 (zh)
TW (1) TWI564965B (zh)
WO (1) WO2011084262A2 (zh)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104037224A (zh) * 2013-03-07 2014-09-10 台湾积体电路制造股份有限公司 设计的用于n型MOSFET的源极/漏极区
CN104103687A (zh) * 2013-04-09 2014-10-15 三星电子株式会社 半导体器件以及制造该半导体器件的方法
CN105810736A (zh) * 2015-01-15 2016-07-27 台湾积体电路制造股份有限公司 包括鳍结构的半导体器件及其制造方法
CN106169424A (zh) * 2015-05-21 2016-11-30 台湾积体电路制造股份有限公司 半导体装置及其制造方法
CN107017163A (zh) * 2015-10-14 2017-08-04 三星电子株式会社 制造半导体器件的方法
CN107123680A (zh) * 2016-02-25 2017-09-01 台湾积体电路制造股份有限公司 鳍式场效应晶体管及其制造方法
CN108074984A (zh) * 2016-11-16 2018-05-25 三星电子株式会社 半导体器件

Families Citing this family (168)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8994104B2 (en) 1999-09-28 2015-03-31 Intel Corporation Contact resistance reduction employing germanium overlayer pre-contact metalization
US8362575B2 (en) * 2009-09-29 2013-01-29 Taiwan Semiconductor Manufacturing Company, Ltd. Controlling the shape of source/drain regions in FinFETs
US8273617B2 (en) 2009-09-30 2012-09-25 Suvolta, Inc. Electronic devices and systems, and methods for making and using the same
US8421162B2 (en) 2009-09-30 2013-04-16 Suvolta, Inc. Advanced transistors with punch through suppression
US8598003B2 (en) 2009-12-21 2013-12-03 Intel Corporation Semiconductor device having doped epitaxial region and its methods of fabrication
US8530286B2 (en) 2010-04-12 2013-09-10 Suvolta, Inc. Low power semiconductor transistor structure and method of fabrication thereof
US8569128B2 (en) 2010-06-21 2013-10-29 Suvolta, Inc. Semiconductor structure and method of fabrication thereof with mixed metal types
US8759872B2 (en) 2010-06-22 2014-06-24 Suvolta, Inc. Transistor with threshold voltage set notch and method of fabrication thereof
US8492234B2 (en) * 2010-06-29 2013-07-23 International Business Machines Corporation Field effect transistor device
TW201214526A (en) * 2010-07-02 2012-04-01 Matheson Tri Gas Inc Methods and apparatus for selective epitaxy of Si-containing materials and substitutionally doped crystalline Si-containing material
KR20120038195A (ko) * 2010-10-13 2012-04-23 삼성전자주식회사 반도체 소자 및 이의 제조 방법
JP2012089784A (ja) * 2010-10-22 2012-05-10 Renesas Electronics Corp 半導体装置および半導体装置の製造方法
US8778767B2 (en) * 2010-11-18 2014-07-15 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits and fabrication methods thereof
US8404551B2 (en) 2010-12-03 2013-03-26 Suvolta, Inc. Source/drain extension control for advanced transistors
US9484432B2 (en) 2010-12-21 2016-11-01 Intel Corporation Contact resistance reduction employing germanium overlayer pre-contact metalization
US8901537B2 (en) 2010-12-21 2014-12-02 Intel Corporation Transistors with high concentration of boron doped germanium
KR101821707B1 (ko) * 2011-02-08 2018-01-24 어플라이드 머티어리얼스, 인코포레이티드 인장 변형 적용들을 위한 고인장 실리콘 합금의 에피택시
US8461875B1 (en) 2011-02-18 2013-06-11 Suvolta, Inc. Digital circuits having improved transistors, and methods therefor
US8525271B2 (en) 2011-03-03 2013-09-03 Suvolta, Inc. Semiconductor structure with improved channel stack and method for fabrication thereof
US8748270B1 (en) 2011-03-30 2014-06-10 Suvolta, Inc. Process for manufacturing an improved analog transistor
US8796048B1 (en) 2011-05-11 2014-08-05 Suvolta, Inc. Monitoring and measurement of thin film layers
US8999861B1 (en) 2011-05-11 2015-04-07 Suvolta, Inc. Semiconductor structure with substitutional boron and method for fabrication thereof
US8811068B1 (en) 2011-05-13 2014-08-19 Suvolta, Inc. Integrated circuit devices and methods
US8569156B1 (en) 2011-05-16 2013-10-29 Suvolta, Inc. Reducing or eliminating pre-amorphization in transistor manufacture
US8735987B1 (en) 2011-06-06 2014-05-27 Suvolta, Inc. CMOS gate stack structures and processes
US8995204B2 (en) 2011-06-23 2015-03-31 Suvolta, Inc. Circuit devices and methods having adjustable transistor body bias
US8962400B2 (en) 2011-07-07 2015-02-24 Taiwan Semiconductor Manufacturing Company, Ltd. In-situ doping of arsenic for source and drain epitaxy
US8629016B1 (en) 2011-07-26 2014-01-14 Suvolta, Inc. Multiple transistor types formed in a common epitaxial layer by differential out-diffusion from a doped underlayer
US8748986B1 (en) 2011-08-05 2014-06-10 Suvolta, Inc. Electronic device with controlled threshold voltage
KR101891373B1 (ko) 2011-08-05 2018-08-24 엠아이이 후지쯔 세미컨덕터 리미티드 핀 구조물을 갖는 반도체 디바이스 및 그 제조 방법
US8614128B1 (en) 2011-08-23 2013-12-24 Suvolta, Inc. CMOS structures and processes based on selective thinning
US8645878B1 (en) 2011-08-23 2014-02-04 Suvolta, Inc. Porting a circuit design from a first semiconductor process to a second semiconductor process
US8674433B2 (en) * 2011-08-24 2014-03-18 United Microelectronics Corp. Semiconductor process
US8713511B1 (en) 2011-09-16 2014-04-29 Suvolta, Inc. Tools and methods for yield-aware semiconductor manufacturing process target generation
CN103858215B (zh) * 2011-09-30 2016-12-07 英特尔公司 非平坦晶体管以及其制造的方法
US9236466B1 (en) 2011-10-07 2016-01-12 Mie Fujitsu Semiconductor Limited Analog circuits having improved insulated gate transistors, and methods therefor
US8895327B1 (en) 2011-12-09 2014-11-25 Suvolta, Inc. Tipless transistors, short-tip transistors, and methods and circuits therefor
US8658505B2 (en) 2011-12-14 2014-02-25 International Business Machines Corporation Embedded stressors for multigate transistor devices
US8819603B1 (en) 2011-12-15 2014-08-26 Suvolta, Inc. Memory circuits and methods of making and designing the same
CN104011868B (zh) 2011-12-19 2017-02-15 英特尔公司 Ⅲ族‑n纳米线晶体管
WO2013095340A1 (en) 2011-12-19 2013-06-27 Intel Corporation Pulsed laser anneal process for transistors with partial melt of a raised source-drain
US8883600B1 (en) 2011-12-22 2014-11-11 Suvolta, Inc. Transistor having reduced junction leakage and methods of forming thereof
US8599623B1 (en) 2011-12-23 2013-12-03 Suvolta, Inc. Circuits and methods for measuring circuit elements in an integrated circuit device
WO2013095651A1 (en) 2011-12-23 2013-06-27 Intel Corporation Non-planar gate all-around device and method of fabrication thereof
US8970289B1 (en) 2012-01-23 2015-03-03 Suvolta, Inc. Circuits and devices for generating bi-directional body bias voltages, and methods therefor
US8877619B1 (en) 2012-01-23 2014-11-04 Suvolta, Inc. Process for manufacture of integrated circuits with different channel doping transistor architectures and devices therefrom
US9466696B2 (en) 2012-01-24 2016-10-11 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods for forming the same
US9281378B2 (en) 2012-01-24 2016-03-08 Taiwan Semiconductor Manufacturing Company, Ltd. Fin recess last process for FinFET fabrication
US9171925B2 (en) 2012-01-24 2015-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate devices with replaced-channels and methods for forming the same
US9093550B1 (en) 2012-01-31 2015-07-28 Mie Fujitsu Semiconductor Limited Integrated circuits having a plurality of high-K metal gate FETs with various combinations of channel foundation structure and gate stack structure and methods of making same
US9406567B1 (en) 2012-02-28 2016-08-02 Mie Fujitsu Semiconductor Limited Method for fabricating multiple transistor devices on a substrate with varying threshold voltages
US8742509B2 (en) * 2012-03-01 2014-06-03 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for FinFETs
US8785285B2 (en) * 2012-03-08 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US8863064B1 (en) 2012-03-23 2014-10-14 Suvolta, Inc. SRAM cell layout structure and devices therefrom
US9224604B2 (en) 2012-04-05 2015-12-29 Globalfoundries Inc. Device and method for forming sharp extension region with controllable junction depth and lateral overlap
KR20180123740A (ko) * 2012-05-18 2018-11-19 르네사스 일렉트로닉스 가부시키가이샤 반도체 장치 및 그 제조 방법
US9299698B2 (en) 2012-06-27 2016-03-29 Mie Fujitsu Semiconductor Limited Semiconductor structure with multiple transistors having various threshold voltages
US9368628B2 (en) 2012-07-05 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with high mobility and strain channel
US8703556B2 (en) * 2012-08-30 2014-04-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making a FinFET device
US8637955B1 (en) 2012-08-31 2014-01-28 Suvolta, Inc. Semiconductor structure with reduced junction leakage and method of fabrication thereof
US9318567B2 (en) * 2012-09-05 2016-04-19 United Microelectronics Corp. Fabrication method for semiconductor devices
US20140070358A1 (en) * 2012-09-12 2014-03-13 Globalfoundries Inc. Method of tailoring silicon trench profile for super steep retrograde well field effect transistor
US9105741B2 (en) * 2012-09-13 2015-08-11 International Business Machines Corporation Method of replacement source/drain for 3D CMOS transistors
US9112057B1 (en) 2012-09-18 2015-08-18 Mie Fujitsu Semiconductor Limited Semiconductor devices with dopant migration suppression and method of fabrication thereof
US9041126B2 (en) 2012-09-21 2015-05-26 Mie Fujitsu Semiconductor Limited Deeply depleted MOS transistors having a screening layer and methods thereof
KR20140039544A (ko) * 2012-09-24 2014-04-02 삼성전자주식회사 반도체 소자 및 그 제조 방법
WO2014071049A2 (en) 2012-10-31 2014-05-08 Suvolta, Inc. Dram-type device with low variation transistor peripheral circuits, and related methods
US8816754B1 (en) 2012-11-02 2014-08-26 Suvolta, Inc. Body bias circuits and methods
US9443962B2 (en) 2012-11-09 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Recessing STI to increase fin height in fin-first process
US9349837B2 (en) 2012-11-09 2016-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Recessing STI to increase Fin height in Fin-first process
US9093997B1 (en) 2012-11-15 2015-07-28 Mie Fujitsu Semiconductor Limited Slew based process and bias monitors and related methods
US9070477B1 (en) 2012-12-12 2015-06-30 Mie Fujitsu Semiconductor Limited Bit interleaved low voltage static random access memory (SRAM) and related methods
US20140167163A1 (en) * 2012-12-17 2014-06-19 International Business Machines Corporation Multi-Fin FinFETs with Epitaxially-Grown Merged Source/Drains
US8900958B2 (en) 2012-12-19 2014-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxial formation mechanisms of source and drain regions
US9112484B1 (en) 2012-12-20 2015-08-18 Mie Fujitsu Semiconductor Limited Integrated circuit process and bias monitors and related methods
US8853039B2 (en) 2013-01-17 2014-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. Defect reduction for formation of epitaxial layer in source and drain regions
US9268885B1 (en) 2013-02-28 2016-02-23 Mie Fujitsu Semiconductor Limited Integrated circuit device methods and models with predicted device metric variations
US10134896B2 (en) * 2013-03-01 2018-11-20 Taiwan Semiconductor Manufacturing Co., Ltd. Cyclic deposition etch chemical vapor deposition epitaxy to reduce EPI abnormality
US9831345B2 (en) * 2013-03-11 2017-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with rounded source/drain profile
US8940640B2 (en) * 2013-03-13 2015-01-27 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain structure of semiconductor device
US9299801B1 (en) 2013-03-14 2016-03-29 Mie Fujitsu Semiconductor Limited Method for fabricating a transistor device with a tuned dopant profile
US9331176B2 (en) * 2013-04-25 2016-05-03 Samsung Electronics Co., Ltd. Methods of forming field effect transistors, including forming source and drain regions in recesses of semiconductor fins
US9478571B1 (en) 2013-05-24 2016-10-25 Mie Fujitsu Semiconductor Limited Buried channel deeply depleted channel transistor
US9070710B2 (en) * 2013-06-07 2015-06-30 United Microelectronics Corp. Semiconductor process
US9293534B2 (en) 2014-03-21 2016-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Formation of dislocations in source and drain regions of FinFET devices
KR20150000546A (ko) * 2013-06-24 2015-01-05 삼성전자주식회사 반도체 소자 및 이의 제조 방법
KR20160029005A (ko) * 2013-06-28 2016-03-14 인텔 코포레이션 III-N 에피택시를 위한 Si (100) 웨이퍼들 상의 Si (111) 평면들을 가진 나노구조들 및 나노피처들
US9209175B2 (en) 2013-07-17 2015-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices having epitaxy regions with reduced facets
CN104425265B (zh) * 2013-08-20 2017-07-14 中芯国际集成电路制造(上海)有限公司 Pmos晶体管的形成方法及cmos晶体管的形成方法
CN105493251A (zh) * 2013-09-27 2016-04-13 英特尔公司 具有多层柔性衬底的非平面半导体器件
US9246003B2 (en) * 2013-11-19 2016-01-26 Globalfoundries Inc. FINFET structures with fins recessed beneath the gate
US20150137237A1 (en) * 2013-11-21 2015-05-21 Globalfoundries Inc. Undoped epitaxial layer for junction isolation in a fin field effect transistor (finfet) device
CN103681355B (zh) * 2013-12-18 2016-04-06 北京大学 制备准soi源漏场效应晶体管器件的方法
US9711645B2 (en) * 2013-12-26 2017-07-18 International Business Machines Corporation Method and structure for multigate FinFET device epi-extension junction control by hydrogen treatment
US9054189B1 (en) 2014-01-06 2015-06-09 Samsung Electronics Co., Ltd. Semiconductor device and method for fabricating the same
US9698249B2 (en) * 2014-01-17 2017-07-04 Taiwan Semiconductor Manufacturing Company Ltd. Epitaxy in semiconductor structure and manufacturing method of the same
US9490345B2 (en) * 2014-01-17 2016-11-08 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and manufacturing method thereof
US10164107B2 (en) 2014-01-24 2018-12-25 Taiwan Semiconductor Manufacturing Company Ltd. Embedded source or drain region of transistor with laterally extended portion
US9853154B2 (en) 2014-01-24 2017-12-26 Taiwan Semiconductor Manufacturing Company Ltd. Embedded source or drain region of transistor with downward tapered region under facet region
KR102155181B1 (ko) 2014-01-28 2020-09-11 삼성전자주식회사 반도체 장치 및 그 제조 방법
KR102193493B1 (ko) 2014-02-03 2020-12-21 삼성전자주식회사 반도체 장치 및 그 제조 방법
US9379214B2 (en) * 2014-02-14 2016-06-28 Semi Solutions Llc Reduced variation MOSFET using a drain-extension-last process
US9543410B2 (en) * 2014-02-14 2017-01-10 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device and formation thereof
US9543387B2 (en) * 2014-03-10 2017-01-10 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and manufacturing method thereof
KR102017611B1 (ko) 2014-04-04 2019-09-04 삼성전자주식회사 반도체 장치 및 그 제조방법
CN105304481A (zh) * 2014-06-10 2016-02-03 联华电子股份有限公司 半导体元件及其制作方法
US9490346B2 (en) 2014-06-12 2016-11-08 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of fin-like field effect transistor
US9490365B2 (en) 2014-06-12 2016-11-08 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of fin-like field effect transistor
US9502538B2 (en) 2014-06-12 2016-11-22 Taiwan Semiconductor Manufacturing Co., Ltd Structure and formation method of fin-like field effect transistor
US20150372107A1 (en) * 2014-06-18 2015-12-24 Stmicroelectronics, Inc. Semiconductor devices having fins, and methods of forming semiconductor devices having fins
US9391200B2 (en) * 2014-06-18 2016-07-12 Stmicroelectronics, Inc. FinFETs having strained channels, and methods of fabricating finFETs having strained channels
US10084063B2 (en) * 2014-06-23 2018-09-25 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and manufacturing method thereof
US9299803B2 (en) 2014-07-16 2016-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Method for semiconductor device fabrication
CN105280492B (zh) * 2014-07-21 2018-08-10 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
US9710006B2 (en) 2014-07-25 2017-07-18 Mie Fujitsu Semiconductor Limited Power up body bias circuits and methods
US9530661B2 (en) 2014-08-06 2016-12-27 Applied Materials, Inc. Method of modifying epitaxial growth shape on source drain area of transistor
KR102202754B1 (ko) 2014-08-14 2021-01-15 삼성전자주식회사 반도체 장치
US9319013B2 (en) 2014-08-19 2016-04-19 Mie Fujitsu Semiconductor Limited Operational amplifier input offset correction with transistor threshold voltage adjustment
US10263108B2 (en) 2014-08-22 2019-04-16 Taiwan Semiconductor Manufacturing Company, Ltd. Metal-insensitive epitaxy formation
DE102015100860A1 (de) 2014-08-22 2016-02-25 Taiwan Semiconductor Manufacturing Company, Ltd. Metallunempfindliche Epitaxiebildung
US9536985B2 (en) * 2014-09-29 2017-01-03 Globalfoundries Inc. Epitaxial growth of material on source/drain regions of FinFET structure
US9543438B2 (en) 2014-10-15 2017-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. Contact resistance reduction technique
US9673277B2 (en) 2014-10-20 2017-06-06 Applied Materials, Inc. Methods and apparatus for forming horizontal gate all around device structures
CN107546108A (zh) * 2014-10-30 2018-01-05 应用材料公司 在低温下生长薄外延膜的方法
CN105633152B (zh) * 2014-11-05 2019-12-10 联华电子股份有限公司 半导体结构及其制作方法
US9406568B2 (en) * 2014-11-21 2016-08-02 International Business Machines Corporation Semiconductor structure containing low-resistance source and drain contacts
US10170554B2 (en) * 2014-12-26 2019-01-01 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and manufacturing method thereof
US9406680B1 (en) * 2015-02-13 2016-08-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including fin structures and manufacturing method thereof
US9991343B2 (en) * 2015-02-26 2018-06-05 Taiwan Semiconductor Manufacturing Company Ltd. LDD-free semiconductor structure and manufacturing method of the same
US9443957B1 (en) * 2015-03-12 2016-09-13 International Business Machines Corporation Self-aligned source and drain regions for semiconductor devices
KR20160112778A (ko) 2015-03-20 2016-09-28 삼성전자주식회사 핀 액티브 영역들을 갖는 반도체
KR102224849B1 (ko) 2015-03-24 2021-03-08 삼성전자주식회사 스트레서를 갖는 반도체 소자 및 그 제조 방법
WO2016164152A1 (en) 2015-04-10 2016-10-13 Applied Materials, Inc. Method to enhance growth rate for selective epitaxial growth
US9954107B2 (en) * 2015-05-05 2018-04-24 International Business Machines Corporation Strained FinFET source drain isolation
US10903210B2 (en) * 2015-05-05 2021-01-26 International Business Machines Corporation Sub-fin doped bulk fin field effect transistor (FinFET), Integrated Circuit (IC) and method of manufacture
US20180151732A1 (en) * 2015-06-19 2018-05-31 Intel Corporation Resistance reduction in transistors having epitaxially grown source/drain regions
WO2016204782A1 (en) * 2015-06-19 2016-12-22 Intel Corporation Carbon-based interface for epitaxially grown source/drain transistor regions
US10164096B2 (en) 2015-08-21 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10164097B2 (en) * 2015-09-11 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9647122B2 (en) * 2015-09-15 2017-05-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of forming the same
US9607838B1 (en) * 2015-09-18 2017-03-28 Taiwan Semiconductor Manufacturing Co., Ltd. Enhanced channel strain to reduce contact resistance in NMOS FET devices
US9722079B2 (en) 2015-10-15 2017-08-01 Taiwan Semiconductor Manufacturing Co., Ltd. Fin-type field effect transistor structure and manufacturing method thereof
CN106653751B (zh) * 2015-11-04 2019-12-03 中芯国际集成电路制造(北京)有限公司 半导体器件及其制造方法
KR102422158B1 (ko) 2015-12-23 2022-07-20 에스케이하이닉스 주식회사 반도체장치 및 그 제조 방법
US9570567B1 (en) 2015-12-30 2017-02-14 Taiwan Semiconductor Manufacturing Co., Ltd. Source and drain process for FinFET
US10796924B2 (en) * 2016-02-18 2020-10-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof by forming thin uniform silicide on epitaxial source/drain structure
US9570556B1 (en) 2016-03-03 2017-02-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9685554B1 (en) * 2016-03-07 2017-06-20 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor and semiconductor device
US9853129B2 (en) * 2016-05-11 2017-12-26 Applied Materials, Inc. Forming non-line-of-sight source drain extension in an nMOS finFET using n-doped selective epitaxial growth
US10038094B2 (en) * 2016-05-31 2018-07-31 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET structure and methods thereof
US10276663B2 (en) * 2016-07-18 2019-04-30 United Microelectronics Corp. Tunneling transistor and method of fabricating the same
CN108010846B (zh) * 2016-10-28 2020-08-07 中芯国际集成电路制造(上海)有限公司 用于改善短沟道效应的方法以及半导体结构
KR101960763B1 (ko) * 2016-11-03 2019-03-21 주식회사 유진테크 저온 에피택셜층 형성방법
US11011634B2 (en) * 2016-11-30 2021-05-18 Taiwan Semiconductor Manufacturing Co., Ltd. Elongated source/drain region structure in finFET device
US10170617B2 (en) * 2017-02-03 2019-01-01 Globalfoundries Vertical transport field effect transistors
TWI745365B (zh) * 2017-03-23 2021-11-11 聯華電子股份有限公司 半導體元件及其製作方法
KR102373630B1 (ko) 2017-05-26 2022-03-11 삼성전자주식회사 반도체 장치
US10468529B2 (en) * 2017-07-11 2019-11-05 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure with etch stop layer
KR102385567B1 (ko) 2017-08-29 2022-04-12 삼성전자주식회사 반도체 장치 및 반도체 장치의 제조 방법
CN109950314B (zh) * 2017-12-21 2023-01-20 中芯国际集成电路制造(上海)有限公司 半导体结构及其制造方法
US11222980B2 (en) * 2019-07-18 2022-01-11 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
CN114586177A (zh) * 2019-08-01 2022-06-03 应用材料公司 用于晶体管的砷扩散轮廓工法
JP7230877B2 (ja) * 2020-04-20 2023-03-01 株式会社Sumco エピタキシャルウェーハの製造システム及びエピタキシャルウェーハの製造方法
TWI764399B (zh) 2020-04-27 2022-05-11 台灣積體電路製造股份有限公司 半導體裝置、積體晶片及其形成方法
US11522049B2 (en) 2020-04-27 2022-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. Diffusion barrier layer for source and drain structures to increase transistor performance
US11935793B2 (en) * 2020-05-29 2024-03-19 Taiwan Semiconductor Manufacturing Co., Ltd. Dual dopant source/drain regions and methods of forming same
US11482594B2 (en) 2020-08-27 2022-10-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices with backside power rail and method thereof
US11854831B2 (en) * 2020-11-24 2023-12-26 Taiwan Semiconductor Manufacturing Co., Ltd. Cleaning process for source/drain epitaxial structures

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050272262A1 (en) * 2004-06-07 2005-12-08 Kim Duk S Methods of manufacturing semiconductor devices
US20060060859A1 (en) * 2002-11-14 2006-03-23 Sharp Laboratories Of America, Inc. High-density plasma multilayer gate oxide
US20060172511A1 (en) * 2005-01-31 2006-08-03 Thorsten Kammler In situ formed halo region in a transistor device
WO2007112058A2 (en) * 2006-03-24 2007-10-04 Applied Materials, Inc. Carbon precursors for use during silicon epitaxial firm formation
US20090075029A1 (en) * 2007-09-19 2009-03-19 Asm America, Inc. Stressor for engineered strain on channel

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6214679B1 (en) * 1999-12-30 2001-04-10 Intel Corporation Cobalt salicidation method on a silicon germanium film
US7473947B2 (en) * 2002-07-12 2009-01-06 Intel Corporation Process for ultra-thin body SOI devices that incorporate EPI silicon tips and article made thereby
US7078742B2 (en) * 2003-07-25 2006-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Strained-channel semiconductor structure and method of fabricating the same
US7138320B2 (en) * 2003-10-31 2006-11-21 Advanced Micro Devices, Inc. Advanced technique for forming a transistor having raised drain and source regions
US7361563B2 (en) 2004-06-17 2008-04-22 Samsung Electronics Co., Ltd. Methods of fabricating a semiconductor device using a selective epitaxial growth technique
US7539732B2 (en) 2004-09-15 2009-05-26 International Business Machines Corporation Client based instant messenger queue limit
US20060108651A1 (en) * 2004-11-22 2006-05-25 International Business Machines Corporation Lowered Source/Drain Transistors
US7195985B2 (en) 2005-01-04 2007-03-27 Intel Corporation CMOS transistor junction regions formed by a CVD etching and deposition sequence
US7687383B2 (en) 2005-02-04 2010-03-30 Asm America, Inc. Methods of depositing electrically active doped crystalline Si-containing films
US7518196B2 (en) * 2005-02-23 2009-04-14 Intel Corporation Field effect transistor with narrow bandgap source and drain regions and method of fabrication
US7282415B2 (en) * 2005-03-29 2007-10-16 Freescale Semiconductor, Inc. Method for making a semiconductor device with strain enhancement
JP4984665B2 (ja) * 2005-06-22 2012-07-25 富士通セミコンダクター株式会社 半導体装置およびその製造方法
US7494858B2 (en) 2005-06-30 2009-02-24 Intel Corporation Transistor with improved tip profile and method of manufacture thereof
US7608515B2 (en) * 2006-02-14 2009-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Diffusion layer for stressed semiconductor devices
US20070238236A1 (en) * 2006-03-28 2007-10-11 Cook Ted Jr Structure and fabrication method of a selectively deposited capping layer on an epitaxially grown source drain
DE102006035665B4 (de) * 2006-07-31 2010-12-09 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung eines Feldeffekttransistors und Feldeffekttransistor
US7554110B2 (en) * 2006-09-15 2009-06-30 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with partial stressor channel
US7943469B2 (en) * 2006-11-28 2011-05-17 Intel Corporation Multi-component strain-inducing semiconductor regions
US7960236B2 (en) 2006-12-12 2011-06-14 Applied Materials, Inc. Phosphorus containing Si epitaxial layers in N-type source/drain junctions
US8394196B2 (en) 2006-12-12 2013-03-12 Applied Materials, Inc. Formation of in-situ phosphorus doped epitaxial layer containing silicon and carbon
JP2008235568A (ja) 2007-03-20 2008-10-02 Toshiba Corp 半導体装置およびその製造方法
US7732285B2 (en) * 2007-03-28 2010-06-08 Intel Corporation Semiconductor device having self-aligned epitaxial source and drain extensions
US7833883B2 (en) * 2007-03-28 2010-11-16 Intel Corporation Precursor gas mixture for depositing an epitaxial carbon-doped silicon film
US8450165B2 (en) * 2007-05-14 2013-05-28 Intel Corporation Semiconductor device having tipless epitaxial source/drain regions
JP2009147265A (ja) * 2007-12-18 2009-07-02 Panasonic Corp 半導体装置及びその製造方法
US20090166625A1 (en) * 2007-12-28 2009-07-02 United Microelectronics Corp. Mos device structure
US7935589B2 (en) * 2008-04-29 2011-05-03 Chartered Semiconductor Manufacturing, Ltd. Enhanced stress for transistors
US20090302348A1 (en) * 2008-06-10 2009-12-10 International Business Machines Corporation Stress enhanced transistor devices and methods of making
JP4854719B2 (ja) * 2008-09-12 2012-01-18 富士通セミコンダクター株式会社 半導体装置の製造方法
US8598003B2 (en) 2009-12-21 2013-12-03 Intel Corporation Semiconductor device having doped epitaxial region and its methods of fabrication

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060060859A1 (en) * 2002-11-14 2006-03-23 Sharp Laboratories Of America, Inc. High-density plasma multilayer gate oxide
US20050272262A1 (en) * 2004-06-07 2005-12-08 Kim Duk S Methods of manufacturing semiconductor devices
US20060172511A1 (en) * 2005-01-31 2006-08-03 Thorsten Kammler In situ formed halo region in a transistor device
WO2007112058A2 (en) * 2006-03-24 2007-10-04 Applied Materials, Inc. Carbon precursors for use during silicon epitaxial firm formation
US20090075029A1 (en) * 2007-09-19 2009-03-19 Asm America, Inc. Stressor for engineered strain on channel

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104037224A (zh) * 2013-03-07 2014-09-10 台湾积体电路制造股份有限公司 设计的用于n型MOSFET的源极/漏极区
CN104037224B (zh) * 2013-03-07 2017-07-21 台湾积体电路制造股份有限公司 设计的用于n型MOSFET的源极/漏极区
CN104103687A (zh) * 2013-04-09 2014-10-15 三星电子株式会社 半导体器件以及制造该半导体器件的方法
CN105810736A (zh) * 2015-01-15 2016-07-27 台湾积体电路制造股份有限公司 包括鳍结构的半导体器件及其制造方法
US10937906B2 (en) 2015-01-15 2021-03-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including fin structures and manufacturing method thereof
CN106169424A (zh) * 2015-05-21 2016-11-30 台湾积体电路制造股份有限公司 半导体装置及其制造方法
CN106169424B (zh) * 2015-05-21 2019-06-28 台湾积体电路制造股份有限公司 半导体装置及其制造方法
CN107017163A (zh) * 2015-10-14 2017-08-04 三星电子株式会社 制造半导体器件的方法
CN107017163B (zh) * 2015-10-14 2021-06-08 三星电子株式会社 制造半导体器件的方法
CN107123680A (zh) * 2016-02-25 2017-09-01 台湾积体电路制造股份有限公司 鳍式场效应晶体管及其制造方法
CN108074984A (zh) * 2016-11-16 2018-05-25 三星电子株式会社 半导体器件
CN108074984B (zh) * 2016-11-16 2023-09-05 三星电子株式会社 半导体器件

Also Published As

Publication number Publication date
EP3208833B1 (en) 2023-05-17
TWI564965B (zh) 2017-01-01
WO2011084262A2 (en) 2011-07-14
CN107068737A (zh) 2017-08-18
US20140084369A1 (en) 2014-03-27
EP3208833A1 (en) 2017-08-23
US11908934B2 (en) 2024-02-20
EP3109895A3 (en) 2017-02-15
EP3109895B1 (en) 2022-11-16
US8598003B2 (en) 2013-12-03
TW201126614A (en) 2011-08-01
US10957796B2 (en) 2021-03-23
CN102687253B (zh) 2016-10-19
WO2011084262A3 (en) 2011-09-09
KR20120086369A (ko) 2012-08-02
EP2517229A4 (en) 2014-05-07
CN107068737B (zh) 2022-07-26
CN105470287B (zh) 2020-07-14
EP2517229B1 (en) 2019-04-10
CN111883591A (zh) 2020-11-03
JP2013511159A (ja) 2013-03-28
JP5615933B2 (ja) 2014-10-29
EP3109895A2 (en) 2016-12-28
US20110147828A1 (en) 2011-06-23
HK1176741A1 (zh) 2013-08-02
EP2517229A2 (en) 2012-10-31
KR101476628B1 (ko) 2014-12-26
CN105470287A (zh) 2016-04-06
US20210159339A1 (en) 2021-05-27
US20240145592A1 (en) 2024-05-02

Similar Documents

Publication Publication Date Title
CN102687253A (zh) 具有掺杂的外延区域的半导体器件及其制造方法
US10483355B2 (en) Forming non-line-of-sight source drain extension in an NMOS FINFET using n-doped selective epitaxial growth
CN102074461B (zh) 半导体装置及其制造方法
TWI450341B (zh) 具有自校準的外延源極和汲極之多閘極半導體裝置
CN1805144B (zh) 半导体集成电路及其制造工艺
US8652915B2 (en) Methods of fabricating semiconductor devices using preliminary trenches with epitaxial growth
US10516048B2 (en) Fabrication of semiconductor device
CN100359639C (zh) 半导体器件和晶体管的制造方法
US20090020792A1 (en) Isolated tri-gate transistor fabricated on bulk substrate
CN104752216A (zh) 晶体管的形成方法
CN103000499A (zh) 一种锗硅硼外延层生长方法
CN104425379A (zh) 半导体器件的形成方法
CN105336569B (zh) 半导体器件制造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
REG Reference to a national code

Ref country code: HK

Ref legal event code: DE

Ref document number: 1176741

Country of ref document: HK

C14 Grant of patent or utility model
GR01 Patent grant
REG Reference to a national code

Ref country code: HK

Ref legal event code: GR

Ref document number: 1176741

Country of ref document: HK