WO2004100206A1 - 荷電粒子線による検査装置及びその検査装置を用いたデバイス製造方法 - Google Patents

荷電粒子線による検査装置及びその検査装置を用いたデバイス製造方法 Download PDF

Info

Publication number
WO2004100206A1
WO2004100206A1 PCT/JP2004/006010 JP2004006010W WO2004100206A1 WO 2004100206 A1 WO2004100206 A1 WO 2004100206A1 JP 2004006010 W JP2004006010 W JP 2004006010W WO 2004100206 A1 WO2004100206 A1 WO 2004100206A1
Authority
WO
WIPO (PCT)
Prior art keywords
electron beam
electrons
sample
image
detector
Prior art date
Application number
PCT/JP2004/006010
Other languages
English (en)
French (fr)
Inventor
Nobuharu Noji
Tohru Satake
Hirosi Sobukawa
Toshifumi Kimba
Masahiro Hatakeyama
Shoji Yoshikawa
Takeshi Murakami
Kenji Watanabe
Tsutomu Karimata
Kenichi Suematsu
Yutaka Tabe
Ryo Tajima
Keiichi Tohyama
Original Assignee
Ebara Corporation
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Ebara Corporation filed Critical Ebara Corporation
Priority to EP04729530A priority Critical patent/EP1635374A4/en
Priority to KR1020057021353A priority patent/KR101052335B1/ko
Priority to CN200480019519.9A priority patent/CN1820346B/zh
Publication of WO2004100206A1 publication Critical patent/WO2004100206A1/ja

Links

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/22Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by measuring secondary emission from the material
    • G01N23/225Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by measuring secondary emission from the material using electron or ion
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/04Arrangements of electrodes and associated parts for generating or controlling the discharge, e.g. electron-optical arrangement or ion-optical arrangement
    • H01J37/05Electron or ion-optical arrangements for separating electrons or ions according to their energy or mass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/26Electron or ion microscopes; Electron or ion diffraction tubes
    • H01J37/28Electron or ion microscopes; Electron or ion diffraction tubes with scanning beams
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/244Detection characterized by the detecting means
    • H01J2237/2441Semiconductor detectors, e.g. diodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/244Detection characterized by the detecting means
    • H01J2237/2446Position sensitive detectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/26Electron or ion microscopes
    • H01J2237/28Scanning microscopes
    • H01J2237/2813Scanning microscopes characterised by the application
    • H01J2237/2817Pattern inspection

Definitions

  • the present invention relates to an inspection apparatus for inspecting a defect or the like of a pattern formed on a surface of an inspection object using an electron beam, and more particularly, to an inspection apparatus for detecting a defect of an wafer in a semiconductor manufacturing process. Irradiates the inspection target with the secondary electrons that change according to the surface properties of the inspection target to form image data. Based on the image data, the pattern etc. formed on the inspection target surface can be obtained with high throughput.
  • TECHNICAL FIELD The present invention relates to an inspection apparatus for inspecting by using the inspection apparatus, and a device manufacturing method for manufacturing a device with high yield using such an inspection apparatus. More specifically, the present invention relates to a detection device based on a projection method using a surface beam and a device manufacturing method using the detection device. '
  • the present invention relates to an apparatus used for inspection of a device after each step in a semiconductor process, and more particularly, to an inspection method and an apparatus using an electron beam or a device manufacturing method using the same.
  • optical defect inspection equipment is mainly used, but in terms of resolution and contact failure inspection, defect inspection equipment using electron beams will replace optical defect inspection equipment in the future. Is expected to become mainstream.
  • electron beam type defect inspection equipment also has a weak point, which is inferior to optical methods in terms of throughput.
  • a scanning electron beam method (SEM method) is usually put into practical use.
  • the resolution is 0.1 l ⁇ m, and the inspection time is 8 hours (200 mm). ⁇ ⁇ ⁇ .
  • the major feature of the electron beam method is that it can also inspect for electrical defects (such as disconnection of wiring, poor continuity, poor continuity of peers, etc.), but the inspection speed is very slow and the inspection speed is high. The development of is expected.
  • inspection equipment is expensive and throughput is low compared to other process equipment, so it is important after the current process.
  • etching, deposition, or CMP (chemical mechanical polishing) planarization Used after processing.
  • a scanning (SEM) type inspection apparatus using an electron beam will be described.
  • the SEM-type inspection device narrows down the electron beam (this beam diameter corresponds to the resolution) and scans it to irradiate the sample in a line.
  • the observation area is irradiated with the electron beam in a plane by moving the stage in a direction perpendicular to the scanning direction of the electron beam.
  • the scanning width of the electron beam is generally several hundred meters.
  • a secondary electron from the sample generated by the irradiation of the narrowly focused electron beam (referred to as primary electron beam) is detected by a detector (scintillator + photomultiplier (photomultiplier tube)) or a semiconductor detector (PIN diode) Type) etc.) to detect.
  • the coordinates of the irradiation position and the amount of secondary electrons (signal intensity) are combined to form an image, which is stored in a storage device or output to a CRT (CRT).
  • CRT CRT
  • the above is the principle of SEM (Scanning Electron Microscope). From the images obtained by this method, defects in the semiconductor ('usually S i) in the process are detected.
  • the inspection speed (equivalent to throughput) is determined by the amount (current value) of the primary electron beam, the beam diameter, and the response speed of the detector. Beam diameter 0.1 ⁇ (may be considered the same as resolution) Current value 100 ⁇ ⁇ , detector response speed 100 0 ⁇ ⁇ is the current maximum value, in this case the inspection speed is 20 cm diameter It is said to be about 8 hours per ah.
  • This inspection speed is extremely slow (less than 1/20) compared to the optical method, which is a major problem.
  • devices with a design rule of 100 nm or less, created on the page 18 ⁇ Patterns that is, shape defects such as line widths of 100 nm or less and vias with a diameter of 100 nm or less, etc. Detection of electrical defects and high-speed detection of dust of 100 nm or less are required.
  • the above inspection speed is considered to be almost the limit, and a new system is required to further increase the speed, that is, to increase the throughput.
  • An electron beam device comprising: means for guiding electrons having information on the surface of the sample to a detector, and means for combining the electrons having information on the surface of the sample, guided to the detector, as an image.
  • An electron beam apparatus in which the illuminance of the electron beam in a region where the electron beam irradiates the sample is uniform;
  • the electrons that have obtained information on the surface of the sample are at least one of secondary electrons, reflected electrons, and backscattered electrons generated from the sample, or a single mirror electron reflected near the surface of the sample. Desirably.
  • the inspection method or the inspection apparatus of the present invention it is possible to inspect a substrate such as a wafer having a wiring having a line width of 100 nm or less for defects.
  • FIG. 1 is a diagram showing an overall configuration of a semiconductor inspection device.
  • FIG. 2 is a diagram showing the overall configuration of the device of FIG.
  • FIG. 3 is a diagram showing the overall configuration of the apparatus in FIG. 1 as viewed from the function.
  • FIG. 4 is a diagram showing main components of an inspection unit of the apparatus of FIG.
  • FIG. 5 is a diagram showing main components of an inspection unit of the apparatus of FIG.
  • FIG. 6 is a diagram showing main components of an inspection unit of the apparatus of FIG.
  • FIG. 7 is a diagram showing main components of an inspection unit of the apparatus of FIG.
  • FIG. 2 is a diagram showing main components of an inspection unit of the device of FIG.
  • FIG. 9 is a diagram showing main components of an inspection unit of the apparatus of FIG.
  • FIG. 10 is a diagram showing main components of an inspection unit of the apparatus of FIG.
  • FIG. 11 is a diagram showing an inspection unit exterior of the apparatus of FIG.
  • FIG. 12 is a diagram showing an inspection unit exterior of the apparatus of FIG.
  • FIG. 13 is an elevation view showing main components of the semiconductor inspection apparatus according to the present invention.
  • FIG. 14 is a front view showing main components of the semiconductor inspection apparatus according to the present invention.
  • FIG. 15 is a diagram showing an example of the configuration of the cassette holder of the semiconductor inspection device according to the present invention.
  • FIG. 16 is a diagram showing a configuration of a mini-environment device of a semiconductor inspection device according to the present invention.
  • FIG. 17 is a diagram showing the configuration of the loader housing of the semiconductor inspection device according to the present invention.
  • FIG. 18 is a diagram showing a configuration of a loader housing of the semiconductor inspection device according to the present invention.
  • FIG. 19 (A) and FIG. 19 (B) are diagrams illustrating an electrostatic chuck used in the semiconductor inspection device according to the present invention.
  • FIG. 20 is a view for explaining an electrostatic check used in the semiconductor inspection device according to the present invention.
  • FIGS. 20A and 20B are diagrams illustrating another example of the electrostatic chuck used in the semiconductor inspection apparatus according to the present invention.
  • FIG. 21 is a diagram illustrating a bridge tool used in the semiconductor inspection device according to the present invention.
  • FIG. 22 is a view for explaining another example of a bridge tool used in the semiconductor inspection device according to the present invention.
  • FIG. 22A is a diagram illustrating the configuration and operation procedures (A) to (C) of the elevator mechanism in the load port room of FIG.
  • Fig. 22-2 is a diagram for explaining the configuration and operation procedures (D) to (F) of the elevator mechanism in the load lock chamber of Fig. 22.
  • FIG. 23 is a view showing a modification of the method of supporting the main housing in the semiconductor inspection device according to the present invention.
  • FIG. 24 is a view showing a modification of the method of supporting the main housing in the semiconductor inspection device according to the present invention.
  • FIG. 25-1 is a diagram showing a configuration of an electron optical system of a projection type electron beam inspection apparatus of the semiconductor inspection apparatus according to the present invention.
  • FIG. 25-2 is a diagram showing a configuration of an electron optical system of a scanning electron beam inspection apparatus among the semiconductor inspection apparatuses according to the present invention.
  • FIG. 25-3 is a diagram schematically showing a configuration of an example of the detector rotation mechanism of the semiconductor inspection device according to the present invention.
  • FIG. 25-4 is a diagram schematically showing a configuration of an example of a detector rotating mechanism of the semiconductor inspection apparatus * according to the present invention.
  • FIG. 25-5 is a diagram schematically showing a configuration of an example of a detector rotating mechanism of the semiconductor inspection device according to the present invention.
  • FIG. 26 is a diagram showing a first embodiment of a semiconductor inspection device according to the present invention.
  • (1) to (5) of FIG. 27-1 are diagrams for explaining the shape of the sample irradiation beam.
  • Fig. 27-2 are diagrams for explaining the irradiation shape of the linear beam.
  • FIG. 28 is a diagram illustrating extraction of secondary electrons from the lens barrel in the semiconductor inspection device according to the present invention.
  • FIG. 29 is a diagram showing a second embodiment of the semiconductor inspection device according to the present invention.
  • FIG. 30 is a diagram showing a third embodiment of the semiconductor inspection device according to the present invention.
  • FIG. 31 is a diagram showing a fourth embodiment of the semiconductor inspection device according to the robust invention.
  • FIG. 32 is a diagram showing a fifth embodiment of the semiconductor inspection apparatus according to the present invention.
  • FIG. 33 is a diagram illustrating an irradiation area covering the observation area.
  • FIG. 34 is a diagram for explaining the irradiation state and the irradiation efficiency.
  • FIG. 35 is a diagram showing a sixth embodiment of the semiconductor inspection device according to the present invention, and is a diagram showing a configuration of a detection system using a relay lens.
  • FIG. 36 is a diagram showing a sixth embodiment of the semiconductor inspection device according to the present invention, and is a diagram showing a configuration of a detection system using FOP.
  • FIG. 37 (A) and FIG. 37 (B) are diagrams showing an eighth embodiment of the semiconductor inspection apparatus according to the present invention.
  • FIG. 38 is a graph showing the dependence of the transmittance on the opening diameter.
  • FIG. 39 is a diagram showing a specific configuration example of an electron detection system in the device of FIG.
  • FIGS. 40 (A) and 40 (B) are diagrams illustrating requirements for operating the electron detection system in the device of FIG. 37 in three modes.
  • FIG. 41 is a diagram showing a configuration of an EXB unit of the semiconductor inspection device according to the present invention.
  • FIG. 42 is a sectional view taken along line A in FIG.
  • FIG. 43 is a diagram showing a ninth embodiment of a semiconductor inspection device according to the present invention.
  • FIG. 44 is a diagram showing a simulation of the electric field distribution.
  • FIG. 45 is a diagram showing the configuration of the power supply unit of the semiconductor inspection device according to the present invention.
  • FIG. 46 is a diagram showing a circuit system for generating a DC voltage of the power supply unit shown in FIG. 45.
  • FIG. 47 is a diagram illustrating an example of a circuit configuration of a static bipolar power supply in the power supply unit illustrated in FIG. 45.
  • ⁇ 48 is a diagram showing a special power supply in the power supply unit shown in FIG.
  • FIG. 49 is a diagram showing a special power supply in the power supply unit shown in FIG. 45.
  • FIG. 50 is a diagram showing a special power supply in the power supply unit shown in FIG.
  • FIG. 51 is a diagram illustrating an example of a power supply circuit for retarding and chucking in the power supply unit illustrated in FIG. 45.
  • FIG. 52 is a diagram illustrating an example of a hardware configuration of an E ⁇ correction deflection voltage in the power supply unit illustrated in FIG. 45.
  • FIG. 53 is a diagram illustrating an example of a circuit configuration of an octapole conversion unit in the power supply unit illustrated in FIG. 45.
  • Fig. 54 (A) shows an example of the circuit configuration of the high-speed high-voltage amplifier in the power supply section shown in Fig. 45. PT ⁇ 04/006010, and FIG. 54 (B) shows the shape of the output.
  • FIG. 55 is a diagram showing a first embodiment of the precharge unit of the semiconductor inspection apparatus shown in FIG.
  • FIG. 56 is a diagram showing a second embodiment of the precharge unit of the semiconductor inspection apparatus shown in FIG.
  • FIG. 57 is a diagram showing a third embodiment of the precharge unit of the semiconductor inspection apparatus shown in FIG.
  • FIG. 58 is a diagram showing a fourth embodiment of the precharge unit of the semiconductor inspection apparatus shown in FIG.
  • FIG. 59 is a diagram showing an imaging device provided with the precharge units shown in FIGS. 55 to 58.
  • FIG. 60 is a diagram for explaining the operation of the device of FIG.
  • FIG. 61 is a diagram illustrating another configuration example of the defect inspection apparatus including the precharge unit.
  • FIG. 62 is a diagram showing a device for converting a secondary electron image signal into an electric signal in the device shown in FIG.
  • FIG. 63 is a flowchart for explaining the operation of the apparatus shown in FIG.
  • FIG. 64 (a), FIG. 64 (b), and FIG. 64 (c) are diagrams for explaining the defect detection method in the flowchart of FIG.
  • FIG. 65 is a diagram illustrating another configuration example of the defect inspection apparatus including the precharge unit.
  • FIG. 66 is a diagram showing still another configuration example of the defect inspection apparatus provided with the precharge unit.
  • FIG. 67 is a diagram illustrating the operation of the control system of the semiconductor inspection device according to the present invention.
  • ⁇ 68 is a diagram for explaining the operation of the control system of the semiconductor inspection device according to the present invention.
  • FIG. 69 is a diagram for explaining the operation of the control system of the semiconductor inspection device according to the present invention.
  • FIG. 70 is a diagram for explaining the operation of the control system of the semiconductor inspection device according to the present invention.
  • FIG. 71 is a diagram for explaining the operation of the control system of the semiconductor inspection device according to the present invention.
  • FIG. 72 is a diagram for explaining the operation of the control system of the semiconductor inspection device according to the present invention.
  • FIG. 73 is a diagram illustrating the operation of the control system of the semiconductor inspection device according to the present invention.
  • FIG. 74 is a diagram illustrating an alignment procedure in the semiconductor inspection device according to the present invention.
  • FIG. 75 is a diagram illustrating an alignment procedure in the semiconductor inspection device according to the present invention.
  • FIG. 76 is a view for explaining an alignment procedure in the semiconductor inspection apparatus according to the present invention.
  • FIG. 77 is a diagram illustrating a defect inspection procedure in the semiconductor inspection device according to the present invention.
  • FIG. 78 is a diagram illustrating a defect inspection procedure in the semiconductor inspection device according to the present invention.
  • FIGS. 80 (A) and 80 (B) are diagrams illustrating a defect inspection procedure in the semiconductor inspection apparatus according to the present invention.
  • FIG. 81 is a diagram for explaining a defect inspection procedure in the semiconductor inspection device according to the present invention.
  • FIG. 82 is a diagram for explaining a defect inspection procedure in the semiconductor inspection device according to the present invention.
  • FIG. 84 is a diagram illustrating a defect inspection procedure in the semiconductor inspection device according to the present invention.
  • FIG. 84 is a diagram illustrating the configuration of a control system in the semiconductor inspection device according to the present invention.
  • FIG. FIG. 3 is a diagram illustrating a configuration of a user interface in the device.
  • FIG. 86 is a diagram illustrating a configuration of a user interface in the semiconductor inspection device according to the present invention.
  • FIG. 87 is a diagram illustrating other functions and configurations of the semiconductor inspection device according to the present invention.
  • FIG. 88 is a diagram illustrating electrodes in other functions and configurations of the semiconductor inspection device according to the present invention. .
  • FIG. 89 is a diagram showing electrodes in another function and configuration of the semiconductor inspection device according to the present invention.
  • FIG. 90 is a graph showing a voltage distribution between the page 18 and the objective lens.
  • FIG. 91 is a flowchart illustrating a secondary electron detection operation in another function and configuration of the semiconductor inspection device according to the present invention.
  • FIG. 92 is a diagram showing a potential application mechanism in the device shown in FIG.
  • FIGS. 93 (A) and 93 (B) are diagrams illustrating an electron beam calibration method in the apparatus shown in FIG.
  • FIG. 94 is a view for explaining an alignment control method in the device shown in FIG.
  • FIGS. 95 (A) and 95 (B) are diagrams illustrating the concept of EO correction in the apparatus shown in FIG.
  • FIG. 96 is a view for explaining a specific device configuration for EO correction in the device shown in FIG.
  • FIGS. 97 (A) and 97 (B) are diagrams illustrating the EO correction in the device shown in FIG. 91.
  • FIG. 98 is a view for explaining the EO correction in the device shown in FIG.
  • FIG. 99 is a view for explaining EO correction in the apparatus shown in FIG.
  • FIG. 100 is a diagram for explaining EO correction in the device shown in FIG.
  • FIG. 101 is a diagram for explaining the idea of the TDI transfer clock.
  • FIG. 102 is a diagram for explaining the idea of the TDI transfer clock.
  • FIG. 103 is a timing chart illustrating the operation of the circuit of FIG. 102.
  • FIG. 104 is a view showing a modification of the defect inspection apparatus according to the present invention.
  • FIG. 105 is a flowchart for explaining the operation of the apparatus shown in FIG.
  • FIG. 106 is a flowchart for explaining the operation of the apparatus shown in FIG.
  • FIG. 107 is a flowchart for explaining the operation of the apparatus shown in FIG.
  • FIG. 108 is a diagram for explaining the operation of the device shown in FIG.
  • FIG. 109 is a view for explaining the operation of the device shown in FIG.
  • FIG. 110 is a view for explaining the semiconductor device manufacturing method according to the present invention.
  • FIG. 11 is a diagram illustrating a semiconductor device manufacturing method according to the present invention.
  • FIG. 1 12 is a diagram illustrating an inspection procedure of the semiconductor device manufacturing method according to the present invention.
  • 01 13 is a diagram for explaining a basic flow of an inspection procedure of the semiconductor device manufacturing method according to the present invention.
  • FIG. 114 shows the setting of the die to be inspected.
  • FIG. 115 is a view for explaining the setting of the inspection area inside the die.
  • FIGS. 11A and 11B are diagrams for explaining the inspection procedure of the semiconductor device manufacturing method according to the present invention.
  • FIGS. 11A and 11B show the inspection procedure of the semiconductor device manufacturing method according to the present invention. It is a figure explaining an inspection procedure.
  • FIG. 118-1 is a diagram showing a scanning example in the case of using one inspection die in the inspection procedure of the semiconductor device manufacturing method according to the present invention.
  • FIG. 1 18-2 shows an example of the inspection die.
  • FIG. 119 is a diagram illustrating a method of generating a reference image in an inspection procedure of the semiconductor device manufacturing method according to the present invention.
  • FIG. 120 is a diagram illustrating an adjacent die comparison method in the inspection procedure of the semiconductor device manufacturing method according to the present invention.
  • FIG. 121 is a diagram illustrating an adjacent die comparison method in the inspection procedure of the semiconductor device manufacturing method according to the present invention.
  • FIG. 122 is a view for explaining a reference die comparison method in the inspection procedure of the semiconductor device manufacturing method according to the present invention.
  • FIG. 123 is a view for explaining a reference die comparison method in the inspection procedure of the semiconductor device manufacturing method according to the present invention.
  • FIG. 124 is a diagram for explaining a reference die comparison method in an inspection procedure of the semiconductor device manufacturing method according to the present invention.
  • FIG. 125 is a view for explaining focus mapping in the inspection procedure of the semiconductor device manufacturing method according to the present invention.
  • FIG. 126 is a view for explaining focus mapping in the inspection procedure of the semiconductor device manufacturing method according to the present invention.
  • FIG. 127 is a view for explaining focus mapping in the inspection procedure of the semiconductor device manufacturing method according to the present invention.
  • FIG. 128 is a view for explaining focus mapping in the inspection procedure of the semiconductor device manufacturing method according to the present invention.
  • FIG. 129 is a view for explaining focus matching in the inspection procedure of the semiconductor device manufacturing method according to the present invention.
  • FIG. 130 is a view for explaining focus mapping in the inspection procedure of the semiconductor device manufacturing method according to the present invention.
  • FIG. 13 is a diagram for explaining the lysomargin measurement in the inspection procedure of the semiconductor device manufacturing method according to the present invention.
  • FIG. 132 is a view for explaining litho margin measurement in the inspection procedure of the semiconductor device manufacturing method according to the present invention.
  • FIG. 133 is a view for explaining the litho margin measurement in the inspection order of the semiconductor device manufacturing method according to the present invention.
  • FIG. 134 is a view for explaining the litho margin measurement in the inspection procedure of the semiconductor device manufacturing method according to the present invention.
  • FIG. 135 shows a litho-merge in the inspection procedure of the semiconductor device manufacturing method according to the present invention.
  • FIG. 7 is a diagram for explaining the measurement.
  • FIG. 136 is a view for explaining lithography measurement in the inspection procedure of the semiconductor device manufacturing method according to the present invention.
  • FIG. 137 is a view for explaining the litho margin measurement in the inspection procedure of the semiconductor device manufacturing method according to the present invention.
  • FIG. 138 is a diagram showing an example of the stage device in the semiconductor inspection device according to the present invention.
  • FIG. 139 is a diagram showing an example of the stage device in the semiconductor inspection device according to the present invention.
  • FIG. 140 is a diagram showing an example of the stage device in the semiconductor inspection device according to the present invention.
  • FIG. 141 is a diagram showing another example of the stage device in the semiconductor inspection device according to the present invention.
  • FIG. 142 is a diagram showing another example of the stage device in the semiconductor inspection device according to the present invention.
  • FIG. 144 is a view showing still another example of the stage device in the semiconductor inspection device according to the present invention.
  • FIG. 144 is a diagram showing still another example of the stage device in the semiconductor inspection device according to the present invention.
  • FIG. 145 is a diagram showing another example of the stage device in the semiconductor inspection device according to the present invention.
  • FIG. 146 is a diagram showing another example of the stage device in the semiconductor inspection device according to the present invention.
  • FIG. 147 is a diagram showing another example of the stage device in the semiconductor inspection device according to the present invention.
  • FIGS. 148 (A) and 148 (B) are views showing a conventional stage device.
  • FIG. 149 is a diagram showing an optical system and a detector in the semiconductor inspection device according to the present invention.
  • FIGS. 150 (a) and 150 (b) are views showing another embodiment of the semiconductor inspection apparatus according to the present invention. '
  • FIG. 151 is a diagram showing the electron beam apparatus of FIG. 150 in detail.
  • FIG. 152 is a view showing a primary electron irradiation method in the semiconductor inspection apparatus according to the present invention.
  • FIG. 153 is a diagram showing an embodiment of a semiconductor inspection apparatus according to the present invention, which prevents dielectric breakdown. It has an electrode structure for stopping.
  • FIG. 154 is a table describing the operation of the apparatus of FIG.
  • FIG. 155 is a diagram showing a structure of an electrode in the device of FIG.
  • FIG. 156 is a diagram showing a structure of an electrode in the device of FIG.
  • FIG. 157 is a diagram showing the structure of the electrode in the device shown in FIG.
  • FIG. 158 is a diagram showing a structure of an electrode in the device of FIG.
  • FIG. 159 is a diagram showing an embodiment of a semiconductor inspection device according to the present invention, which is provided with a vibration damping device.
  • FIGS. 16A (a) to 16 (c) are diagrams illustrating the device of FIG.
  • FIG. 161 is a view for explaining the apparatus of FIG. 159.
  • FIG. 162 is a view for explaining the apparatus of FIG.
  • FIG. 163 is a view for explaining the apparatus of FIG. 159.
  • FIGS. 164 (a) to 164 (c) are diagrams for explaining the pattern matching method in the apparatus of FIG. 159.
  • FIG. 165 is a diagram illustrating holding of wafers in the semiconductor inspection apparatus according to the present invention.
  • FIG. 166 is a diagram illustrating holding of wafers in the semiconductor inspection apparatus according to the present invention.
  • FIG. 167 (a) and FIG. 167 (b) are diagrams illustrating holding of a wafer in the semiconductor inspection device according to the present invention.
  • FIG. 168 is a diagram showing an electron beam apparatus provided with the chuck described in FIG.
  • FIG. 169 is a diagram showing an EXB separator in the apparatus shown in FIG.
  • FIG. 170 is a diagram showing an EXB separator in the device shown in FIG. 168.
  • FIG. 171 is a diagram showing an embodiment in which the inspection device according to the present invention is connected to a production line.
  • FIG. 17A is a diagram schematically showing an embodiment of a projection type electron beam apparatus capable of switching and using secondary electrons and reflected electrons.
  • FIG. 17'2 (B) is a diagram schematically showing a configuration of the secondary optical system.
  • FIG. 173 is a diagram showing a specific configuration of the secondary electron detection system in FIG. 172 (A).
  • FIGS. 174 (A) and 174 (B) are shown in FIG. 172 (A).
  • FIG. 4 is a diagram illustrating different operation modes of the defect inspection device.
  • FIG. 175 is a diagram showing a specific configuration of a lens of the secondary optical system of the defect inspection device shown in FIG. 172 (A).
  • FIG. 176 (A) is a diagram schematically showing a configuration of a modified example of the projection type electron beam apparatus shown in FIG. 172 (A).
  • FIG. 176 (B) is a diagram for explaining a scanning method of the apparatus of FIG. 176 (A).
  • FIG. 177 (A) is a diagram schematically showing a configuration of another modified example of the projection type electron beam apparatus shown in FIG. 172 (A).
  • FIG. 177 (B) is a diagram illustrating a scanning method of the apparatus in FIG. 177 (A).
  • FIG. 178 is a diagram showing the structure of the vacuum chamber and the XY stage of the projection type electron beam apparatus shown in FIG. 172 (A), and the inert gas circulation piping system therefor.
  • FIG. 179 is a diagram showing an example of the differential pumping mechanism in FIG. 178.
  • FIG. 180 is a diagram schematically showing the configuration of the entire inspection system.
  • Electron gun electron beam source
  • the equipment consists of an inspection equipment body, a power supply rack, a control rack, an image processing unit, a film forming device, an etching device, and the like.
  • a roughing pump such as a dry pump is placed outside the clean room.
  • the main parts inside the main body of the inspection device are composed of an electron beam optical column, a vacuum transfer system, a main housing containing a stage, a vibration isolation table, a molecular pump, etc. .
  • the control system has two CRTs and a command input function (keyboard, etc.).
  • Figure 3 shows the configuration from a functional perspective.
  • the electron beam column mainly includes an electron optical system, a detection system, an optical microscope, and the like.
  • the electron optical system consists of an electron gun, a lens, etc.
  • the transport system consists of a vacuum transport port, an atmospheric transport port, a cassette loader, various position sensors, etc.
  • a film forming device, an etching device, and a cleaning device are arranged side by side near the main body of the inspection apparatus, but they may be incorporated in the main body of the inspection apparatus. They are used, for example, for suppressing charge on the sample or for cleaning the sample surface. If the sputtering system is used, a single unit can have both functions of film control and etching.
  • the related devices may be arranged side by side near the inspection device main body, or those related devices may be incorporated into the inspection device main body for use.
  • an inspection device may be incorporated in those related devices.
  • CMP chemical mechanical polishing device
  • a cleaning device may be incorporated into the inspection device main body
  • CVD chemical vapor deposition
  • Advantages include saving the installation area and the number of units for transporting samples, and shortening the transport time.
  • the inspection apparatus main body may be incorporated in a film forming apparatus such as a plating apparatus. Similarly, it can be used in combination with a lithographic apparatus.
  • the inspection section of the semiconductor inspection equipment consists of an active vibration isolation table 4.1 for isolating vibrations from the external environment, a main chamber 4.2 for the inspection room, and an electro-optical device 4 located above the main chamber. 3, an XY stage 5-1 for wafer scan mounted inside the main champer, a laser interference measurement system 5.2 for XY stage operation control, and a vacuum transfer system 4, 4 attached to the main chamber. They are arranged in a positional relationship as shown in Figs.
  • the inspection section of the semiconductor inspection apparatus further includes an exterior 61 for enabling environmental control and maintenance of the inspection unit, and is arranged in a positional relationship as shown in FIG. .
  • the active anti-vibration tables 4 and 1 have welding bases 5 and 4 mounted on the active anti-vibration units 5 and 3, and the main chambers 4 and 2, which are inspection rooms, and the upper part of the main champers are mounted on the welding bases. It holds the electro-optical devices 4 and 3 installed in the main chamber and the vacuum transfer systems 4 and 4 attached to the main chamber. As a result, vibration from the external environment in the inspection unit can be suppressed.
  • the natural frequency is within ⁇ 25% with respect to 5 Hz in the X direction, 5 Hz in the Y direction, and 7.6 Hz in the Z direction.
  • the main chamber 4 ⁇ 2 is an inspection environment and the degree of vacuum (1 0- 4 P a or less) in order to achieve the evening Ichipo molecular pump 7 ⁇ 2 directly holds the bottom, ⁇ E for one Hasukyan
  • ⁇ E for one Hasukyan A high-precision XY stage 5.1 is provided inside to shield external magnetism.
  • the lower plates 7 and 3 of the menchamper are installed and fixed to a portion 7-4 (particularly, a flatness of 5 m or less in this embodiment) with particularly good flatness prepared on the welding platen. Furthermore, inside the main champer, A plate is provided.
  • the middle plate is supported at three points with respect to the lower plate of the main chamber, so that it is not directly affected by the flatness of the lower plate.
  • the support portion is constituted by spherical seats 7 and 6.
  • the middle plate is designed to be able to achieve a flatness of less than 5 m on the stage installation surface under the load of its own weight and stage weight. Further, in order to suppress the influence on the stages mounting surface of the main chamber deformation due to the pressure change in the inner portion (hereinafter vacuum 1 0- 4 P a than atmospheric pressure), around three-point plate support portion in the lower plate is welded • Directly fixed to the surface plate.
  • a stage position measurement system using a laser interferometer is installed.
  • the interferometers 8 and 1 are arranged in a vacuum in order to suppress measurement errors, and in order to minimize the vibration of the interferometer itself, which directly causes measurement errors, in this embodiment, a rigid rigid champer wall 7 is used.
  • the extension of the measurement part by the interferometer should match the inspection part as much as possible.
  • the motors 8 and 2 for performing the XY movement of the stage are held by the chamber walls 7 and 7. However, it is necessary to further suppress the influence of the motor vibration on the main chamber.
  • the main champers 4.2 are made of a material with high magnetic permeability in order to block the influence of an external magnetic field on the inspection part.
  • Ni such as Permalloy and SS400 is plated with Ni as a protective coating.
  • it is permendier, supermalloy, soft magnetic iron, pure iron, or the like.
  • it is also effective as a magnetic shielding effect to directly cover the vicinity of the inspection portion inside the chamber with a material having high magnetic permeability.
  • the XY stage 5.1 can scan wafers with high accuracy in a vacuum.
  • the X and Y strokes are, for example, 200 mm to 300 mm for the 200 mm wafer, and 300 mm to 600 mm for the 300 mm wafer, respectively.
  • the XY stage is driven by X and Y axis drive motors 8.2 fixed to the main chamber wall and pole screws 8 5 attached to these via magnetic fluid seals 8 3.
  • the stage structure is as follows so that the XY operation can be performed with the pole screws for X and Y driving fixed to the chamber wall.
  • the Y stage 7 ⁇ 10 is E, and the pole screws 7 ⁇ 8 for driving and the cross roller guide 7 ⁇ 11 are installed.
  • the upper part of the Y-stage is further passed through an intermediate stage 7-12 with a pole screw 7-14 for driving the X-axis.
  • the X stage 7 ⁇ 13 is mounted on the upper part of.
  • the intermediate stage and the Y and X stages are connected in the Y-axis direction by a cross-opening guide.
  • the intermediate stage has a two-stage structure arranged side by side with the upper shaft.
  • the XY stage itself is driven by a linear motor. Furthermore, a high-precision mirror 84 (in this embodiment, flatness ⁇ / 20 or less, material is aluminum vapor-deposited on synthetic quartz) is installed so that measurement by a laser interferometer can be performed over the entire stroke. ing.
  • a high-precision mirror 84 in this embodiment, flatness ⁇ / 20 or less, material is aluminum vapor-deposited on synthetic quartz
  • 0 stage 7 and 15 are installed on the stage to perform the calibration in vacuum.
  • stage 0 in this embodiment two ultrasonic motors are used for driving, and a linear scale is used for position control.
  • Various cables connected to the movable parts that perform X, ⁇ , and 0 operations are clamped by the cable carriers held on the X stage and ⁇ stage, respectively, and are fed out of the main champer via feedthroughs installed on the champer wall. Connected to.
  • Tables 1 and 2 show the specifications of the present embodiment having the above structure.
  • X-axis positioning accuracy ⁇ 0.5 ⁇ Lower 0—> 20mni, Stopping accuracy after moving at 20 strokes / sec. Y-axis is center. ⁇ Axis positioning accuracy ⁇ 0.5 ⁇ ⁇ 0—> 20nim, stop after transfer of 20ra4 / sec
  • the laser interferometer system is composed of a laser optical system having an optical axis parallel to the X-axis and the Y-axis, the extension of which is equivalent to the inspection position, and an interferometer 8 1 arranged between them.
  • the arrangement of the optical systems in the present embodiment is arranged in a positional relationship as shown in FIG. 9 and FIG.
  • the laser beam emitted from the laser 9.1 installed on the welding platen is vertically raised by the vendor 9.2, and then bent in a horizontal direction with the measurement surface by the vendor 9.1.
  • the laser beam emitted from the laser is adjusted so as to be bent vertically by the vendors 9 and 2 and horizontally by the vendors 10 and 1.
  • the bending is performed by the vendors 10 and 3
  • the vendor 1 is adjusted so that the optical axis that reflects back to the mirrors 18 and 4 that are installed with high precision perpendicular to the Y axis and returns completely matches the incident optical axis. Adjust 0 ⁇ 3.
  • High-precision adjustment is possible by checking the optical axis immediately after the laser with the interferometer removed so as not to interfere with the reflected light.
  • the optical axis adjustment of the X axis can be independently performed by the splitters 9 and 4 and the vendors 9 and 6 after the optical axis adjustment of the Y axis is performed.
  • the procedure for adjustment is the same as for the Y axis.
  • the bracket fixing vendor 10.3 is perpendicular to the Y axis
  • the bracket fixing vendors 9.6 is perpendicular to the X axis. It is possible to move with matching. Further, it is desirable that the vendors 10 and 1, the splitters 9 and 4, the vendors 10.3 and 9.6 can move up and down while maintaining their respective positional relationships.
  • the following describes how to adjust the optical axis for laser replacement in this device during operation after startup.
  • the inside of the main chamber during operation is kept in a vacuum, it is difficult to operate the optical axis without the interferometer. Therefore, several targets 10 and 2 are installed in the optical path outside the main champer, and jigs are provided that allow the optical path at startup to be determined only outside the main chamber. After replacing the laser, the adjustment performed during startup can be reproduced by adjusting the optical axis for the targets 10 and 2 using only the adjustment function provided on the laser mount. '
  • the inspection unit exteriors 4 and 7 are provided with a function as a frame structure for maintenance.
  • a stowable double-sided crane 1 1 1 is mounted on the upper part.
  • the crane 1 1 ⁇ 1 is mounted on the traversing rail 1 1 ⁇ 2
  • it is installed on running rails (vertical) 1 1 and 3.
  • the traveling rail is normally retracted as shown in Fig. 11 during normal operation, but it is raised during maintenance as shown in Fig. 12 to increase the vertical stroke of the crane. I have.
  • the electron optical devices 4 and 3 the main chamber top plate, and the XY stage 5.1 can be attached to and detached from the back of the device by a crane built into the exterior.
  • the crane has a rotatable cantilever shaft.
  • the inspection unit exterior can also function as an environmental chamber. This has a magnetic shielding effect as well as temperature and humidity management as needed.
  • FIGS. 13 and 14 show an elevation view and a plan view of main components of the semiconductor inspection apparatus according to the present invention.
  • the semiconductor inspection device 13 ⁇ 1 comprises a cassette holder 13 ⁇ 2 holding a force set accommodating a plurality of wafers, a mini-environment device 13 ⁇ 3, and a loader housing constituting a single champer. 1 3 ⁇ 5, loader 1 13 ⁇ 7 to load the wafer from cassette holder 1 3 ⁇ 2 on stage device 1 ⁇ 3 ⁇ 6 placed in main housing 1 ⁇ 3 ⁇ 4 and vacuum housing Electron optical devices 13 and 8 which are arranged in a positional relationship as shown in FIGS.
  • the semiconductor inspection device 13 1 also has a vacuum main housing 1 Precharge units 13 and 9 arranged in 3 and 4, a potential application mechanism for applying a potential to the wafer, an electron beam preparation mechanism, and a Alignment for positioning Optical microscope 13 3 * 11 which constitutes the control device 13 * 10
  • the cassette holders 13 and 2 are cassettes 13 and 12 (for example, SMIF manufactured by Assist Inc.) in which a plurality of (for example, 25) wafers 18 are stored in a state where they are arranged in parallel in the vertical direction. , A plurality of closed cassettes such as F ⁇ UP (two in this embodiment).
  • F ⁇ UP two in this embodiment
  • the lifting table 13 and 13 and the lifting table 13 Elevator 13 that moves up and down 13 is equipped with 13 and 14.Cassettes 13 and 12 can be automatically set on the elevating table 13 and 13 as shown by the dashed line in Fig. 14. After setting, it is automatically rotated to the state shown by the solid line in FIG. 14 and is directed to the rotation axis of the first transport unit in the mini-environment device.
  • the lifting tables 13 and 13 are lowered to the state shown by the chain line in FIG.
  • the cassette holder used for automatic loading or the cassette holder used for manual loading may have any known structure, a detailed description of the structure and functions thereof may be used. Is omitted.
  • a plurality of 300 mm substrates are housed in a grooved pocket (not shown) fixed inside the box body 15 ⁇ 1.
  • Transportation, storage, etc. The board transfer box 15 ⁇ 2 can be opened and closed by a machine by opening and closing the side opening of the box body 15 ⁇ 1 by being connected to the rectangular tubular box body 15 * 1 and the board loading / unloading door automatic opening / closing device.
  • the board loading / unloading doors 15 ⁇ 3, the lid 15 ⁇ 4 which is located on the opposite side of the opening, and covers the opening for attaching and detaching the filters and fan motor, and the board W (Fig.
  • a wafer is a wafer to be inspected, such inspection being performed after or during the process of processing the wafer during the semiconductor manufacturing process. Specifically, a substrate that has undergone a film forming process, CMP, ion implantation, or the like, a wafer, a wafer having a wiring pattern formed on its surface, or a wafer having no wiring pattern formed yet.
  • the cassette Since a large number of wafers housed in the cassettes 12 and 12 are arranged side by side in parallel and separated from each other in the vertical direction, the wafers are held by a wafer 18 at an arbitrary position and a first transport unit described later. As a result, the arm of the first transfer unit can be moved up and down. Further, the cassette is provided with a function for controlling moisture in the cassette in order to prevent oxidation of the wafer surface after the process. For example, a dehumidifying agent such as silica gel is placed in the cassette. In this case, any material that has a dehumidifying effect can be used. 2-1-2) Mini environment device
  • the mini-environment devices 13 and 3 are composed of a housing 16 and 2 that form a mini-environment space 16 and 1 whose atmosphere is controlled, and a mini-environment.
  • Gas circulators 16 and 3 for circulating gas such as clean air to control atmosphere in space 16 ⁇ 1 and a part of the air supplied to mini-environment space 16 ⁇ 1
  • a briar liner which is located in the mini-environment space 16/1 and roughly positions the substrate to be inspected, i.e., the wafer. Have.
  • the housing 16 ⁇ 2 has a top wall 16 ⁇ 6, a bottom wall 16 ⁇ 7 and a peripheral wall 16.8 surrounding the four circumferences, so that the mini-environment space 16 ⁇ 1 is shielded from the outside. Has become.
  • the gas circulation devices 16 ⁇ 3 are provided with a top wall 16 ⁇ 6 in the mini-environment space 16.1 as shown in Fig. 16.
  • a collection duct 16 that is located on the bottom wall 16 and 7 in 1 and collects air flowing down to the bottom 10 and the conduits 16 and 11 that connect the recovery duct 16 and 10 with the gas supply unit 16.9 and return the recovered air to the gas supply unit 16 and 9 I have it.
  • the gas supply unit 16 ⁇ 9 is designed to take in about 20% of the supplied air from the outside of the housing 16 ⁇ 2 and clean it.
  • the ratio of the gas to be used can be arbitrarily selected.
  • the gas supply units 16 and 9 are provided with a known structure of a HEPA or ULPA filter for producing clean air.
  • the laminar downward flow of the clean air that is, the downflow, mainly flows through a transfer surface provided by a first transfer unit described later disposed in the mini-environment space 16. Dust that is supplied and may be generated by the transport unit is prevented from adhering to the wafer.
  • the downflow jets it is not always necessary for the downflow jets to be at a position close to the top wall as shown in the figure, but it is only necessary to be above the transport surface of the transport unit. Also, it is not necessary to flow over the entire mini-environment space 16 ⁇ 1.
  • a sensor for observing the cleanliness can be provided in the mini-environment space 16 ⁇ 1 to shut down the device when the cleanliness deteriorates.
  • Enclosures 13 and 15 are formed in the peripheral wall 16 of the housing 16 and 2 at the portion adjacent to the cassette holders 13 and 8 among the eight.
  • a shutter device having a known structure may be provided near the entrance / exit 13/15 to close the entrance / exit 13/15 from the mini-environment device side.
  • the downflow of the laminar flow created near the wafer may be, for example, a flow rate of 0.3 to 0.4 m / sec.
  • the gas supply units 16 ⁇ 9 may be provided outside the mini-empirical space 16 ⁇ 1 instead of inside.
  • the discharge devices 16 and 4 are connected to a suction duct 16 and 12 arranged below the transport unit at a position below the wafer transport surface of the transport unit and a housing 16 and 2. It is equipped with a plumber 16 ⁇ 13 arranged on the outside and a conduit 16 ⁇ 14 connecting the suction ducts 16 ⁇ 12 and 16 ⁇ 13.
  • the discharge devices 16 and 4 draw the gas containing dust that may flow from the transport unit down the transport unit and from the transport unit by the suction ducts 16 and 12. And discharges to the outside of the housing 16 ⁇ 2 via the conduit 16: 14 and the blower 16 '13. In this case, it may be discharged into an exhaust pipe (not shown) drawn near the housing 16 ⁇ 2.
  • the planarizers 16 and 5 arranged in the mini-environment space 16 ⁇ 1 are connected to the orientation flat (formed on the outer periphery of the circular wafer) formed in the (Hereinafter referred to as orientation flat), or one or more V-shaped notches or notches formed on the outer peripheral edge of the laser beam by optically or mechanically detecting the laser beam.
  • orientation flat formed on the outer periphery of the circular wafer
  • V-shaped notches or notches formed on the outer peripheral edge of the laser beam by optically or mechanically detecting the laser beam.
  • the position in the rotation direction about the axis 0-O is pre-positioned with an accuracy of about 1 degree.
  • Briarliner 1 6 ⁇ 5 constitutes a part of the mechanism that determines the coordinates of the inspection target, and is responsible for the coarse positioning of the inspection target. Since the briar liner 16.5 itself may have a known structure, the description of its structure and operation will be omitted.
  • a collection duct for the discharge device is also provided below the briar liners 16 and 5 so that the air containing dust discharged from the briar liners 16 and 5 is discharged to the outside. You may.
  • the main housings 13 and 4 that constitute the working chambers 13 and 16 each include a housing body 13 and 17. It is supported by a housing support device 13, 20 mounted on a vibration isolator or vibration isolator 13, 19 located on 3, 18.
  • the housing support device 13 ⁇ 20 has a rectangular frame structure 13 ⁇ 21.
  • the housing body 13 ⁇ 17 is fixedly arranged on the frame structure 13 ⁇ 2 1, and the bottom wall 1 ⁇ 3 ⁇ 2 placed on the frame structure and the top wall 1 ⁇ 3 ⁇ 2 3
  • Members 13 and 16 are isolated from the outside.
  • the bottom walls 1 3 and 2 2 are made of a relatively thick steel plate so as not to generate distortion due to a load imposed by a device such as a stage device placed thereon.
  • the structure may be as follows.
  • the housing body and the housing support device 13 ⁇ 20 are assembled in a rigid structure, and vibrations from the floor on which the base frames 13 ⁇ 18 are installed are transmitted to the rigid structure.
  • Outer walls 14 1 and 1 for e-chamber insertion / removal are formed in the peripheral wall 13 3 and 24 of the housing 13. 17 adjacent to the loader housing to be described later.
  • vibration damping devices 13 and 19 may be of an active type having an air panel, a magnetic bearing, or the like, or a passive type having these components. Since any of them may have a known structure, the description of the structure and function of itself is omitted.
  • Reference numeral 16 denotes a vacuum device (not shown) having a known structure, which is maintained in a vacuum atmosphere.
  • a control device 2 for controlling the operation of the entire apparatus is arranged below the frame 13 and 18. Pressure of the main housing is usually kept at 1 0- 4 ⁇ 1 0- 6 P a.
  • the loader housings 13 and 5 are housing bodies that constitute the first loading chambers 14 and 2 and the second loading chambers 14 and 3. 1 4 ⁇ 4 are provided.
  • the housing body 14 ⁇ 4 consists of a bottom wall 17 ⁇ 1, a top wall 17 ⁇ 2, a peripheral wall 17 ⁇ 3 surrounding the four circumferences, a first loading chamber 1 4 ⁇ 2 and a second loading chamber 1 It has a partition wall 1 4 and 5 that separates the chamber 4 and 3 so that the two-sided chamber can be isolated from the outside.
  • the partition walls 1 4 and 5 are formed with openings, ie, entrances and exits 17-4, for exchanging ⁇ A8 between the two doors and the single champing.
  • entrances 14.6 and 14.7 are formed in the peripheral wall 177.3 adjacent to the mini-environment device and the main housing.
  • the housing bodies 14 and 4 of the loader housings 13 and 5 are mounted on and supported by the frame structure 13 and 21 of the housing support device 13 and 20. Therefore, floor vibration is not transmitted to the loader housings 13 and 5.
  • Loader housing 1 3 ⁇ 5 entrance 1 4 ⁇ 6 and mini-environment device 1 3 ⁇ 3 housing 16 ⁇ 2 ⁇ entrance 1 3 ⁇ 2 5 are aligned, and there is a mini-environment space 1
  • a shutter device 14 and 8 are provided for selectively blocking communication between 6 1 and the first loading chamber 14.2.
  • the shutter device 14'8 is made of a sealing material 13 32 66, which is fixed in close contact with the side walls 17 ⁇ 3 around the entrances 13 ⁇ 25 and 14.6. Doors 13 and 27 that cooperate with materials 13 and 26 to prevent air from flowing through the doorway, and a drive unit that moves the doors 13 and 28. Also, the entrances 14 ⁇ 7 of the loader housing 13 ⁇ 5 and the entrances 14 ⁇ 1 of the housing body 13 ⁇ 17 are aligned, and there is a second entrance chamber 14 ⁇ 3 and a working group. A shutter device 13, 29 is provided for selectively preventing the communication with the chamber 13.
  • the shirting device 13-29 is a sealing material that is in close contact with the side walls 17 ⁇ 3 and 13 ⁇ 24 surrounding the entrances 14 ⁇ 7 and 14 ⁇ 1 and is fixed to them.
  • ⁇ 30 and doors 14 and 9 that cooperate with the sealing material 13 and 30 to prevent air from flowing through the entrance and exit, and a drive device 13 and 31 that moves the door I have.
  • the openings formed in the partition walls 14 and 5 are closed by doors to selectively close the communication between the first and second opening chambers.
  • These shutter devices 14-8, 13-29 and 414-10 are adapted to hermetically seal each of the champers when in the closed state. Since these shutter devices may be known ones, detailed description of their structures and operations is omitted.
  • the mini-environment device 1 3 ⁇ 3 has a different housing 1 6 ⁇ 2 support method than the mouth housing support method. Vibration from the floor via the mini-environment device 13 In order to prevent transmission to the 3 and 5 and the main housing 13 and 4, the space between the housing 16 and the housing 13 and the housing 13 and 5 should be hermetically sealed around the doorway. A cushioning material for vibration proof may be arranged in the space.
  • a wafer rack 14 ⁇ 11 for supporting a plurality of (two in this embodiment) wafers vertically in a horizontal state. It is provided.
  • each of the pillars 18 is provided with uprights 18 2 fixed at four corners of a rectangular substrate 18 1 upright.
  • ⁇ 2 is formed with two-stage support portions 18 ⁇ 3 and 1 8 ⁇ 4, respectively, on which the periphery of the wafer W is placed and held. Then, the tips of the arms of the first and second transport units to be described later are brought close to the A-E8 from between the adjacent columns, and the A-Ea is gripped by the arms.
  • a vacuum evacuation device known structure including a vacuum pump (not shown) (not shown) a high vacuum state by the (1 0 _ 4 ⁇ 1 0- 6 P a as vacuum) Atmosphere can be controlled.
  • the first loading champs 14 and 2 are kept in a low vacuum atmosphere as low vacuum champers
  • the second loading champs 14 and 3 are kept in a high vacuum atmosphere as high vacuum champers, effectively preventing contamination of the wafer. You can do it too.
  • Adopt such a mouthing chamber.
  • the throughput of defect inspection is improved, and the degree of vacuum around the electron source, which is required to be kept in a high vacuum state, is set to the highest possible level. Degree state.
  • Each of the first and second loading chambers 14 ⁇ ⁇ 2 and 14 ⁇ ⁇ ⁇ ⁇ 3 is connected to a vacuum exhaust pipe and a vent pipe (not shown) for an inert gas (eg, dry pure nitrogen).
  • an inert gas eg, dry pure nitrogen
  • the atmospheric pressure state in each loading chamber is achieved by an inert gas vent (injecting an inert gas to prevent oxygen gas other than the inert gas from adhering to the surface).
  • an inert gas vent injecting an inert gas to prevent oxygen gas other than the inert gas from adhering to the surface. Since the apparatus itself for performing such inert gas venting may have a known structure, a detailed description thereof will be omitted.
  • a typical lanthanum hexaboride (L a B 6 ) used as an electron source of an electron optical system described below has a degree that once emits thermoelectrons. When heated to a high temperature, it is important not to contact with oxygen etc. as much as possible in order not to shorten its life, but before transporting the wafer into the working chamber where the electron optical system is installed. By performing the atmosphere control as described above at the stage, the operation can be performed more reliably.
  • the loaders 13 and 7 are a lopot-type first transport unit 16 and 14 disposed in the housing 16 and 2 of the mini-environment device 13.3, and a second mouthing chin.
  • a second transport unit of a lopot type disposed in the Yamba 14.
  • the first transport unit 16 • 14 has a multi-section arm 16 • 16 that is rotatable about the axis 0i-Oi with respect to the drive unit 16 • 15. are doing. Although any structure can be used as the multi-joint arm, this embodiment has three portions that are rotatably attached to each other.
  • One part of the arm 16 of the transport unit 16 .14, that is, the first part of the arm 16 .16, that is, the first part on the side of the drive section 16 .15 is provided in the drive section 16 It is attached to the rotatable shafts 16 and 17 by a drive mechanism (not shown) having a known structure.
  • the arms 16 • 16 can be pivoted about the axis ⁇ i- O by the axes 16 1 17 and can be expanded and contracted radially with respect to the axis 0 ⁇ ⁇ ⁇ by the relative rotation between the parts. Yes.
  • a gripper 14 for gripping a wafer such as a mechanical chuck or electrostatic chuck having a known structure is provided. 3 are provided.
  • the driving units 16 and 15 are vertically movable by a lifting mechanism 16 and 18 having a known structure.
  • Arms 16 and 16 of this first transport unit 16 and 14 are held by the cassette holder
  • the arm extends in either direction M1 or M2 of one of the two cassettes, and the wafer housed in the force set is placed on one arm or attached to the tip of the arm. Hold it with a chuck (not shown).
  • the arm contracts (as shown in Fig. 14), rotates to the position where it can extend in the direction M3 of the briar liner 16.5, and stops at that position.
  • the arm is extended again, and the wafer held by the arm is placed on the pre-aligner 16/5.
  • the arm rotates further and stops at a position (direction M 4) where it can be extended toward the second mouthing chamber 14.2. Then, hand over the e-chamber to the e-chamber in the second loading champa 1 4 '2.
  • grip the edge of the wafer (within a range of about 5 mm from the edge). This is because devices (circuit wiring) are formed on the entire surface of the wafer except for the peripheral portion, and gripping this portion causes destruction of the device and generation of defects.
  • the second transport unit 1 4 ⁇ 1 ⁇ 1 and 2 also have basically the same structure as the first transport unit, and the transport is performed between the wafer rack and the mounting surface of the stage device. Only the differences will be described, and a detailed description will be omitted.
  • the first and second transport units 16 and 14 and 14 and 12 are arranged in the working chambers 13 and 16 from the cassette held by the cassette holder.
  • the transfer of the wafer onto the stage device 1 3 ⁇ 6 and vice versa is performed while maintaining the horizontal state, and the arm of the transfer unit moves up and down only by the cassette of the wafer. It is only possible to take out and put in from it, place the ewa on the eha rack, take it out of it, and place it on the stage device and take it out of it. Therefore, it is possible to smoothly move a large wafer, for example, a wafer having a diameter of 300 mm.
  • the stage has a mechanism that applies a reverse bias to the wafer, so when the arm goes to or picks up the wafer from the stage, the arm is at or near the stage, or the arm is floating. There is a mechanism to avoid problems such as discharge due to potential short-circuiting by setting the potential.
  • the stage devices 1 3 and 6 are mounted on the fixed table 1 3 ′ 3 2 placed on the bottom wall 1 3 2 2 of the main housing 1 3 4 and in the Y direction on the fixed table.
  • 1 and 3 4 move in the X direction
  • X 1 and 3 3 4 move in the X direction (left and right in Fig. 1) on the Y table, and can be rotated on the X table.
  • a holder 13, 36 disposed on the rotary table 13, 35.
  • the wafer can be released on the wafer mounting surface 1 4 ⁇ 1 4 of the holder 1 3 ⁇ 3 6 Hold.
  • the holders 13 and 36 may have a known structure capable of releasably holding the wafer by mechanical or electrostatic chucking.
  • the stage devices 13 and 6 are operated by a plurality of tapes as described above using a servomotor, an encoder and various sensors (not shown), so that a holder is provided on the mounting surface 14 * 14.
  • the electron beam emitted from the electron optics is applied to the wafer held in the X-, Y-, and Z-directions (up and down directions in Fig. 13), and further around the axis perpendicular to the support surface of the wafer. • High accuracy in the direction (0 direction).
  • the positioning in the Z direction may be performed, for example, so that the position of the mounting surface on the holder can be finely adjusted in the Z direction.
  • the reference position of the mounting surface is detected by a position measuring device (a laser interferometer that uses the principle of an interferometer) using a fine laser, and the position is controlled by a feedback circuit (not shown).
  • the position of the notch or orientation flat of the wafer is measured to detect the plane position and the rotation position of the wafer with respect to the electron beam, and the rotary table is rotated by a stepping motor or the like capable of controlling a small angle. Control.
  • the wafer chuck mechanism provided in the holder is adapted to apply a voltage for chucking the wafer to the electrodes of the electrostatic chuck, and to three points (preferably, at the outer peripheral portion of the wafer). (Equally spaced in the circumferential direction). ⁇
  • Each chuck mechanism has two fixed positioning pins and one pressing crank pin. The clamp pin is designed to realize an automatic chuck and an automatic release, and constitutes a conductive part for voltage application.
  • the table moving in the left-right direction is the X table and the table moving in the vertical direction is the Y table in FIG. 14, but the table moving in the left-right direction is Y in FIG.
  • the table that moves up and down may be the X table.
  • Adhesion of the wafer to the surface of the manufactured electrostatic chuck is performed.
  • the electrode structure of the electrostatic chuck includes a monopolar type and a bipolar type.
  • the single-pole type is a method in which ⁇ ⁇ -adhesion is established by pre-conducting ⁇ -c and applying a high voltage (generally about several tens to several hundreds of volts) between it and one electrostatic chuck electrode.
  • the bipolar type does not need to conduct electricity to the electrode, and can attract wafers only by applying opposite voltages to the two electrostatic chuck electrodes.
  • a predetermined voltage (retardy Voltage must be applied.
  • this retarding voltage In order to apply this retarding voltage to the wafer and stabilize the potential on the wafer surface, it is necessary to make the electrostatic chuck a single-pole type as described above. (However, it is necessary to make the electrostatic chuck function as a bipolar type until conduction with the wafer is established by the conductive needle as described later. Therefore, the electrostatic chuck must have a switchable structure between the monopolar type and the bipolar type. Yes.
  • ⁇ ⁇ must be brought into electrical contact by mechanical contact with the wafer.
  • the demand for contamination prevention for e-has is becoming severer, and mechanical contact with e-has is required to be avoided as much as possible. In such a case. Conduction must be taken on the back of the wafer.
  • a silicon oxide film is usually formed on the backside of the wafer, conduction cannot be achieved as it is. Therefore, by contacting two or more needles with the back surface of the wafer and applying a voltage between the needles, the oxide film is locally destroyed and conduction with the silicon of the wafer base material is obtained. it can.
  • the voltage applied to the needle is a DC voltage or AC 'voltage of several hundred volts.
  • the material of the needle is required to be a non-magnetic, wear-resistant and high-melting-point material, such as tungsten. It is also effective to coat TiN or diamond on the surface for further durability or to prevent contamination of the wafer. In addition, it is effective to apply a voltage between the needles and measure the current in order to confirm that the connection with the device has been established.
  • Electrostatic chucks desirably have comb-shaped electrodes 19, 1 and 19'2 to stably attract wafer W, and three electrodes for delivering wafers.
  • a pusher pin 19.3 and two or more conduction needles 19.4 for applying an aerial are provided.
  • a correction ring 19.5 • 6 is placed around the electrostatic chuck.
  • the pusher pins 19 and 3 protrude from the electrostatic chuck surface in advance when the wafer W is conveyed by the robot hand.
  • the pusher pins 19 and 3 slowly move. Lower, and place wafer W on the electrostatic chuck.
  • the wafer is taken out from the electrostatic chuck, it performs the reverse operation to deliver wafer W to the mouth pot hand.
  • the surface material must be selected so that the position of the wafer will not shift or become dirty. Silicon rubber, fluoro rubber, ceramics such as SiC and alumina, Teflon, polyimide, etc. It is desirable to use such resin.
  • a non-magnetic actuator at the bottom of the electrostatic chuck.
  • This may be a method of directly driving the pusher pin by an ultrasonic linear motor, or a method of linearly driving the pusher pin by a combination of a rotary ultrasonic motor and a ball screw or a rack and pinion gear.
  • the pusher mechanism is compactly arranged on the table of the XY stage on which the electrostatic chuck is mounted, but the wiring of the actuator and the limit sensor becomes extremely large.
  • These wires extend from the table moving in the XY direction to the sample chamber (main chamber or main housing) wall surface, but must be arranged with a large bend R because they bend as the stage moves. Takes up space. In addition, it becomes a source of particles and requires regular replacement of wiring.
  • the drive source of the external shaft is not limited to the air cylinder, but may be a combination of a servo motor, a rack, a pinion, and a pole screw. It is also possible to use an external drive source as the rotation axis.
  • the rotating shaft is passed through a vacuum seal mechanism such as a magnetic fluid seal, and the pusher drive mechanism has a built-in mechanism to convert rotation into linear movement of the pusher.
  • the correction rings 19 and 5 are located at the edge of the wafer. It has the function of keeping the electric field distribution uniform, Basically, the same potential as that of p.18 is applied. However, a potential slightly different from the potential at the end of the A-A8 may be applied in order to cancel out the effects of the small gap between the A-A-8 and the correction ring and the minute difference between the A-18 and the correction ring surface height. Compensation ring
  • a non-magnetic and conductive material having a width of about 10 to 30 mm for example, titanium, phosphor bronze, Tin or TiC-coated aluminum can be used.
  • the continuity ⁇ 19 4 4 is supported by springs ⁇ 19 ⁇ 7.
  • the ⁇ 18 When the ⁇ 18 is mounted on the electrostatic chuck, it is lightly pressed against the back surface of the ⁇ wafer by the spring force. In this state, a voltage is applied to ⁇ to establish electrical continuity with the wafer W.
  • the electrostatic chuck body is composed of non-magnetic planar electrodes 19 ⁇ 1 and 19 ⁇ 2 such as tungsten, and a dielectric formed thereon.
  • Alumina, aluminum nitride, polyimide, and the like can be used as the dielectric material.
  • ceramics such as alumina are perfect insulators with a volume resistivity of about 10 14 ⁇ cm, so that charge transfer does not occur inside the material, and a Cron force acts as an attraction force.
  • the Oconnection volume resistivity to slightly adjust the ceramic composition 1 0 1. ⁇ cm, which causes the transfer of electric charge inside the material, so that the so-called Johnson-Rahbek force, which is stronger than the Coulomb force, acts as the e-absorption force.
  • the suction force is strong, the applied voltage can be reduced accordingly, the margin for insulation breakdown can be increased, and a stable suction force can be easily obtained. Further, by processing the surface of the electrostatic chuck into a dimple shape, for example, even if particles or the like adhere to the surface of the electrostatic chuck, the particles may fall to the valleys of the dimples. The effect of reducing the possibility of affecting flatness can also be expected.
  • the surface of the electrostatic chucking material, the volume resistivity of the 1 0 1 Q ⁇ cm about the adjusted aluminum nitride or alumina ceramics, forming irregularities such as dimple-like on the surface, is formed by a set of convex It is practical to work with a flatness of about 5.
  • Equipment may be required to inspect two types of wafers, 200 mm and 300 mm, without mechanical modification.
  • the electrostatic chuck must chuck wafers of two different sizes and place a correction ring according to the size of the wafer on the periphery of the wafer.
  • (A :), (B) in FIG. 19 and FIG. 20 show structures for that purpose.
  • FIG. 19 shows a state in which a wafer W of 300 mm is mounted on the electrostatic chuck.
  • a correction ring 19.1 with an inside diameter slightly larger than the size of the wafer W (gap: about 0.5 mm) is positioned and mounted on a metal ring-shaped part on the outer periphery of the electrostatic chuck by a spigot. I have.
  • Each drop mechanism 1 9 '2 is driven by an up-down drive mechanism interlocked with the drive mechanism of pusher pin 1 9 • 3, and is rotatably supported around the rotation axis provided on correction ring 19 91. ing.
  • the pusher pin drive mechanism When receiving the wafer W from the rod hand, the pusher pin drive mechanism operates and pushes up the pusher pins 19.3. At an appropriate timing, the eave drop mechanism 19.2 provided on the collecting ring 19.1 also receives the driving force and rotates as shown in FIG. 19B. Then, the wafer dropping mechanism 19.2 forms a tapered surface that guides the wafer W to the center of the electrostatic chuck.
  • the pusher pin 19.3 is lowered. ⁇
  • the ewa W is positioned by the taper surface of the drop mechanism 19 ⁇ 2. Is placed on the electrostatic chuck so that the center of the wafer A and the center of the electrostatic chuck almost coincide.
  • a low friction material such as Teflon, preferably a conductive low friction material (for example, conductive Teflon, conductive diamond-like carbon, TIN coating) may be formed on the tapered surface of the drop mechanism 19 ⁇ 2.
  • Symbols A, B, C, D, and E in the figure are terminals for applying a voltage (to be described later), and 1 9 4 shows a wafer W mounted on an electrostatic chuck. This is the needle for aerial conduction, which is pushed up by panels 19 and 5.
  • FIG. 20 shows a state where a wafer W of 200 mm is mounted on the same electrostatic chuck. Since the diameter of the wafer is smaller than that of the electrostatic chuck, the surface of the electrostatic chuck is exposed. Therefore, a correction ring 20 ⁇ 1 with a size that completely hides the electrostatic chuck is mounted. The positioning of the correction ring 20 ⁇ 1 is the same as that of the correction ring for 30 O mm. A step is provided on the inner peripheral portion of the correction ring 20. 1 so that it can be accommodated in the ring-shaped groove 2 ′ 0. 2 on the electrostatic chuck side.
  • the surface of the electrostatic chuck (correction ring 20 ⁇ ) is not visible from the gap between the inner circumference of the correction ring 200 ⁇ 1 and the outer circumference of the wafer W when the 200 mm ⁇ wafer is mounted. This is a structure to hide in 1). If the surface of the electrostatic chuck is visible, when the electron beam is irradiated, electric charges are charged on the surface of the electrostatic chuck, and the potential of the sample surface is disturbed.
  • a correction ring replacement place is provided at a predetermined location in the vacuum chamber, and a correction ring of the required size is transported from there by a lopot and attached to the electrostatic chuck. (Introduce a part of the entrance).
  • the 200 O mm correction ring is also provided with a wafer drop mechanism 20-2, similarly to the 300 mm.
  • the electrostatic chuck side interferes with this wafer drop mechanism 20 ⁇ 2 Escape is formed so as not to.
  • the mounting method of the PAH on the electrostatic chuck is exactly the same as the case of 300 mm.
  • Symbols A, B, C, D, and E are terminals for applying a voltage
  • 20 and 3 are push pins similar to push pins 19 and 3
  • 20.4 is a needle 19 ⁇ It is the same needle for e-aper conduction as in 4.
  • FIG. 20-1 are diagrams schematically showing the configuration of an electrostatic chuck capable of coping with both 300 mm ⁇ and 200 mm ⁇ a, (A) shows a state where the 300 mm wafer is placed, and (B) shows a state where the 200 mm wafer is placed.
  • the electrostatic chuck is large enough to hold 300 mm wafer, as shown in Fig. 21-2 (B).
  • the central part of the electrostatic chuck is large enough to hold 200 mm wafer, and the inner circumference of the correction ring 20 Grooves 20 and 6 are provided.
  • Symbols A, B, C, D, and E are terminals for applying voltage.
  • the presence or absence of the correction ring is determined by providing an optical transmitter that irradiates an oblique point at an appropriate point in the place where the correction ring is to be mounted and an optical receiver that receives the reflected light from the correction ring Can be detected. Further, a combination of an optical transmitter for obliquely irradiating an appropriate point of a place where a correction ring for 200 mm wafer is mounted and an optical receiver for receiving reflected light from the correction ring, An optical transmitter that diagonally irradiates an appropriate point on the place where the 300 mm ⁇ ⁇ 18 correction ring is placed and an optical receiver that receives the reflected light from the correction ring are provided. By detecting which of the light receivers receives the reflected light, it is possible to determine which of the correction ring for the 200 mm ⁇ or the correction ring for the 300 mm ⁇ is placed on the electrostatic chuck. Can be detected.
  • the e-hacking mechanism having the structure described above chucks the e-ha in the following procedure. '
  • Figures 21 and 22 show the configuration for making the device capable of inspecting both the 200 mm wafer and the 300 mm wafer without mechanical modification. Hereinafter, differences from the dedicated device of the 200 mm wafer or the 300 mm wafer will be described.
  • Atmospheric transport robots 2 1 and 2 are equipped with hands that can handle different wafer sizes. It is now mounted on the hand. Atmospheric transfer robot 2 1 ⁇ 2 sends the e-ha from the installation location 2 1 ⁇ 1 to the briar liner 2 1 ⁇ 3, adjusts the e-ha, and removes the e-ha from the pre-aligner 2 1 ⁇ 3 to load lock chamber 2 Send inside 4.
  • the wafer rack inside the load lock chambers 2 1 and 4 has the same structure, and a plurality of notches corresponding to the wafer size are formed in the wafer support section of the door rack, and the air is conveyed.
  • the height of the robot hand mounted on the hand of Lopot 2 1 and 2 is adjusted so that the robot is mounted on the dropping part that fits the size, and the wafer is inserted into the roof of the ehala. When the pot hand is lowered, the wafer is placed in a predetermined drop portion of the wafer support portion.
  • the wafers placed in the load lock chambers 2 1 and 4 are then placed in the load lock chambers 2 1 and 6 by the vacuum transfer robots 2 1 and 6 installed in the transfer chambers 2 1 and 5. It is taken out of 3 and transported onto the stage 2 1 ⁇ 8 in the sample chamber 2 1 ⁇ 7.
  • the hand of the vacuum carrying pots 2 1 and 6 also has a plurality of notches corresponding to the size of the wafer, like the atmospheric carrying pots 2 1 and 2. Mounted in the designated drop of the mouth pot hand The obtained wafer is placed on an electrostatic chuck on which a correction ring 21 9 suitable for the wafer size is mounted in advance on stages 21 and 8, and is suction-fixed by the electrostatic chuck.
  • the correction rings 2 1 ⁇ 9 are mounted on a correction ring rack 2 1-10 provided in the transfer chambers 2 1 ⁇ 5. Therefore, the vacuum transfer robots 21 and 6 take out the correction rings 21 and 9 corresponding to the wafer size from the correction ring rack 21 and transfer them to the electrostatic chuck, and form them on the outer periphery of the electrostatic chuck. After fitting the correction rings 21 and 9 into the positioning spigots, place the wafer on the electrostatic chuck.
  • the correction rings 21 and 9 are removed from the electrostatic chuck by the robots 21 and 6, and the correction rings are returned to the collection ring racks 21 and 10 in the transfer chambers 21 and 5.
  • the correction ring is transferred from the correction ring rack 2 11 ⁇ 10 to the electrostatic chuck.
  • the briar liners 2 1 and 3 are located near the load lock chambers 2 2 and 4, so the opening of the door is not sufficient due to insufficient alignment of the wafer. Even if the correction ring cannot be installed in the lock room, it is easy to return the wafer to the pre-aligner and re-align it, which has the advantage of reducing the time lost in the process.
  • This is an example in which the position of the correction ring is changed, and the correction ring racks 2 1 ⁇ 10 are omitted.
  • an aerak rack and a compensating ring rack are formed in a hierarchy, and these are installed in an elevator and can be moved up and down.
  • the senor that detects whether or not an aerial exists on the electrostatic chuck is installed at a position that can support both different aerial sizes, but when it is not possible, the same sensor is used.
  • a plurality of working sensors may be arranged for each wafer size.
  • a procedure is adopted in which a correction ring is placed on an electrostatic chuck and the wafer is positioned so as to fit the inner diameter of the correction ring. Therefore, in the inspection device shown in Fig. 22, the load lock chamber 2 2 ⁇ 1 Attach the correction ring to the AA8, transport the wafer with the correction ring to it, introduce it into the sample chambers 21 and 7, and attach it to the electrostatic chuck on the stage. Is taken.
  • an elevator mechanism shown in Fig. 22-1 and Fig. 22-2 which raises and lowers the elevator and transfers the pump from the atmospheric transport hopper to the vacuum transport hopper. is there. The following describes the procedure for transporting wafers using this mechanism.
  • the elevator mechanism installed in the load lock chamber can move up and down. It has a multi-stage (two-stage in the figure) correction ring support base provided in the system.
  • the upper correction ring support 2 2 2 and the lower correction ring support 2 2 3 are fixed to a first table 2 2 5 which moves up and down by the rotation of the first motor 2 2 4.
  • the rotation of the first motor 2 2 4 moves the first table 2 2 5 and the upper and lower correction ring supports 2 2 * 2 and 2 2 3 upward or downward. .
  • correction rings 22 and 6 On each of the correction ring support bases, correction rings 22 and 6 having an inner diameter corresponding to the size of A8 are mounted. There are two types of correction rings 2 2 and 6 with different inner diameters, one for the 200 mm wafer and the other for the 300 mm wafer. The outer diameters of these correction rings are the same. In this way, by using the same outer diameter correction ring, mutual compatibility is created, and 200 mm and 300 mm can be freely placed in the load lock chamber. This will be possible. In other words, for the line that flows with a mixture of 200 mm wafer and 300 mm wafer, the upper row is used for 300 mm and the lower row is used for 200 mm. It is possible to respond flexibly so that inspection can be performed even if it flows. If a wafer of the same size flows, use the upper and lower stages for 200 mm or 300 mm, and inspect the upper and lower stages alternately. Therefore, the throughput can be improved.
  • the first motor 2 2 ⁇ 5 has a second motor 2 2 ⁇ 7 mounted thereon, and the second motor 2 2 ⁇ 7 has a second motor 2 2 ⁇ 8 which is mounted to be able to move up and down.
  • To the second table 22.8 an upper stage e-support 22 and a lower stage e-support 22.10 are fixed.
  • the second table 2 2 ⁇ 8 and the upper and lower wafer support tables 2 2 ⁇ 9 and 2 2-10 move upward or downward integrally. Will be.
  • the wafer W is loaded on the hand of the air transport lo- pits 21 and 2 and loaded into the load lock chamber 2 2 1 and then, (B) As shown in, the second motor 2 2 ⁇ 7 is rotated in the first direction to move the wafer supports 2 2 ⁇ 9 and 2 2 ⁇ 10 upward, and the wafer W is moved upward. Place it on the e-height support 2 2 ⁇ 9 of the 'step. As a result, Eha W is transferred from the air transport robot 2 1 ⁇ 2 to the Eha support 2 2 ⁇ 9.
  • the hand of the vacuum transfer rod 2 1 ⁇ 6 is put into the load lock chamber 2 2 ⁇ 1 and stopped under the correction ring 2 2 ⁇ 6.
  • the first motors 2 2 and 4 are rotated, and as shown in (F), the first table 2 2 .5, the upper and lower correction ring support tables 2 2 .2, 2 2 .3, and 2.
  • the motor 2 2 7 and the upper and lower e-supports 2 2 9 and 2 2 10 were moved downward, and were thereby placed on the upper e-support 2 2 9
  • the correction ring 2 1 ⁇ 6 and wafer W can be placed on the hand of the vacuum transfer rod 2 1 ⁇ 6 and loaded into the sample chamber 2 1 ⁇ 7.
  • the cassette holders 13 and 2 have a structure suitable for setting the cassette manually as described above, and a structure suitable for setting the cassette automatically. Is used.
  • the elevating tables 13-13 are moved to the elevating mechanism. 13 1-14 dropped by cassette 1 '3.1.2, entrance 1
  • a cylindrical cover is placed between the entrance and exit 1 3 ⁇ 15 of 3 to block the inside of the cassette and the mini-environment space from the outside. Since these structures are known, A detailed description of the structure and operation of the device will be omitted. If a mini-environment device 13/3, 3 has a shirt opening / closing device for opening / closing the entrance / exit 13/15, the shut-off device operates to open the entrance 13/15.
  • the arms 16 and 16 of the first transport unit 16 and 14 are stopped in a state facing either direction M1 or M2 (in this description, direction M1).
  • direction M1 or M2 in this description, direction M1
  • the arm extends and receives one of the wafers housed in the cassette at the tip.
  • the vertical position adjustment between the arm and the wafer to be taken out of the cassette is performed by the drive unit 16 ⁇ 15 of the first transport unit 16 ⁇ 14.
  • the arms 16 and 16 are moved up and down, but may be moved up and down on the elevating table of the cassette holder or both.
  • the arm contracts and the shirt closing device is operated to close the entrance (if there is a shutter device), and then the arm 16 ⁇ 16 is moved to the axis 0. — ⁇ It turns around i and is ready to extend in direction M3. Then, the arm is extended, and the wafer placed on the tip or gripped by the chuck is placed on the pre-aligner 16/5, and the rotating direction of the wafer is moved by the briar liner 16-5. Position (the direction around the central axis perpendicular to the wafer plane) within the specified range.
  • the transport units 16 and 14 When positioning is completed, the transport units 16 and 14 receive the wafer from the briar liner 16 and 5 at the end of the arm, and then contract the arm to extend the arm in the direction M4. . Then, the doors 13 and 27 of the shutter device 14 and 8 move to open the entrances 13 and 25 and 13 and 37, and the arms 16 and 16 extend to move the door to the first position. Put it on the upper or lower side of the ah rack 14 1 in the opening champer 14 2. As described above, the shutters 14 and 8 are opened, and the openings 17 and 4 formed in the partition walls 14 and 5 are shut before the doors are transferred to the e-halak 14. It is hermetically closed by the doors 14 and 19 of the device 14 and 10.
  • clean air is supplied from the gas supply units 16 and 9 provided on the housing of the mini-environment device 13 * 3. It flows in a laminar flow (as a down flow) to prevent dust from adhering to the upper surface of the e-wafer during transportation.
  • Part of the air around the transfer unit (in this embodiment, the air that is mainly contaminated with about 20% of the air supplied from the supply unit) is the intake duct 16 It is sucked from 12 and discharged out of the housing. The remaining air is collected via a collecting duct 16 ⁇ 10 provided at the bottom of the housing and returned to the gas supply units 16 ⁇ 9 again.
  • the shirting device 1/4, 8 is closed, and the inside of the loading chamber 14-2 is sealed. Then, after the inert gas is filled in the first loading chamber 14 and the air is expelled, the inert gas is also discharged and the inside of the opening chamber 14 and A vacuum atmosphere is created.
  • the vacuum atmosphere of the first loading chambers 14 and 2 may be a low vacuum.
  • the arms 14 and 20 are in a posture in which the arms 14 and 20 can be extended in the direction N 1 of the racks 14 and 11 before the shut-down devices 14 and 10 are opened. Also, as described above, before the shirt device 14 is opened, the entrances 14 7 and 14 1 1 are closed with the doors 14 9 of the shirt device 13 2 9 before opening the second device. The communication between the loading chambers 14 and 3 and the working chambers 13 and 16 is blocked in an airtight manner, and the second loading chambers 14 and 3 are evacuated.
  • the inside of the second loading chamber 14 3 is evacuated again, and the degree of vacuum is higher than that of the first loading chamber 14 2. Is evacuated.
  • the arm of the second transport unit 16 ⁇ 14 is rotated to a position where it can extend in the direction of the stage device 13 ⁇ 6 in the parking champers 13 ⁇ 16.
  • the Y table 13 ⁇ 33 is the center line X of the X table 13 ⁇ 3 4. One X. Is moved upward in FIG.
  • the stage has a mechanism to apply a reverse bias potential (retarding potential) to the device, so when the arm goes to the stage to put or retrieve the device, the arm It has a mechanism to avoid inconveniences such as discharge due to short-circuiting of the potential by keeping the potential at the same or close to that of the stage or the floating potential of the arm. Further, as another embodiment, when the wafer is transferred onto the stage device, the bias potential to the wafer may be turned off.
  • the potential When controlling the bias potential, the potential may be turned off until the wafer is transferred to the stage, and then turned on after the wafer is transferred and mounted on the stage to apply the bias potential.
  • the tact time may be set in advance and applied in accordance therewith, or the sensor detects that the stage 18 is placed on the stage, and the detection signal is output. You may make it apply as a trigger. Alternatively, it may be detected that the shutter devices 13 and 29 have closed the entrances and exits 14 and 7 and 14 and 1, and the detection signal may be applied as a trigger. Further, when an electrostatic chuck is used, it may be confirmed that the electrostatic chuck has been attracted to the electrostatic chuck, and the bias potential may be applied using this as a trigger.
  • the processed wafer A can be replaced with the unprocessed wafer C while the wafer B is being processed.
  • a plurality of stage devices 13 and 6 are arranged in parallel, and each device is provided with a single hall rack 14. By moving C, the same processing can be performed on multiple wafers.
  • FIG. 23 shows a modification of the method of supporting the main housings 13 and 4.
  • the housing support device 2 3 ⁇ 1 is made of a thick rectangular steel plate 2 3 ⁇ 2.
  • the housing body 23 is placed on the steel plate. Therefore, the bottom wall 2 3 ⁇ 4 of the occluding body 2 3 ⁇ 1 has a thinner structure than the bottom wall of the embodiment.
  • the housing body 24 4 ′ 3 and the loader housing 24 4 4 are suspended and supported by the frame structure 24 2 of the housing support device 24 1. Has become.
  • the lower ends of the plurality of vertical frames 24,5 fixed to the frame structure 24,2 are fixed to the four corners of the bottom wall 24,6 of the housing body 24,3. It supports the wall.
  • the anti-vibration devices 24 and 7 are arranged between the frame structures 24 and 2 and the base frames 24 and 8.
  • the loader housing 24. 4 is also suspended by suspension members 24 9 fixed to the frame structures 24 2.
  • the center of gravity of the main housing and various devices provided in the main housing can be reduced because the main body is supported in a suspended manner.
  • vibration from the floor is not transmitted to the main housing and the loader housing.
  • only the housing body of the main housing is supported from below by the housing support device, and the loader housing can be arranged on the floor in the same way as the adjacent mini-environment devices 13.
  • only the housing bodies of the main housings 13 and 4 are suspended from the frame structure, and the loader housing is placed on the floor in the same manner as the adjacent mini-environment device. Can be done.
  • the inspection target can be supplied to the stage device and the inspection can be performed without being affected by the external environment.
  • the electron optical system 13 ⁇ 8 is a primary electron optical system (shown schematically in Fig. 25-1) provided in a lens barrel 13 ⁇ 38 fixed to the housing body 13 ⁇ 17. Hereinafter, it is simply referred to as the primary optical system) 2 5 ⁇ 1 and the secondary electron optical system (hereinafter simply referred to as the secondary optical system) 25.2 And a detection system 25'3.
  • the primary optical system 25 ⁇ 1 is an optical system that irradiates the surface of the wafer W to be inspected with the electron beam.
  • the primary electron system 25 ⁇ 4 emits the electron beam and the electron gun 25 ⁇ 4 emits the electron beam.
  • a lens system consisting of an electrostatic lens that focuses the primary electron beam is provided with a lens system, a win filter or EXB separator, and an objective lens system. As shown in 25-1, they are arranged in order with electron guns 25 and 4 at the top.
  • the lenses constituting the objective lens systems 25 and 7 of this embodiment 1 are deceleration electric field type objective lenses.
  • the optical axis of the primary electron beam emitted from the electron guns 25 and 4 is perpendicular to the surface of the wafer to be inspected. ).
  • Electrodes 25 8 are arranged between the objective lens system 25 7 and the wafer W to be inspected.
  • the electrodes 25 and 8 have an axially symmetric shape with respect to the irradiation optical axis of the primary electron beam, and are controlled by the power supplies 25 and 9.
  • the secondary optical system 25 ⁇ 2 includes a lens system 25 ⁇ 10 composed of an electrostatic lens that passes secondary electrons separated from the primary optical system by an EXB type deflector 25 ⁇ 6.
  • This lens system 25-10 functions as a magnifying lens for magnifying the secondary electron image.
  • the detection system 25 ⁇ 3 includes a detector 25 ⁇ 11 and an image processing unit 25 ⁇ 12 arranged on the image plane of the lens system 25 210.
  • the incident direction of the primary beam is usually the E direction of the EXB filter (the opposite direction of the electric field), and this direction is the same as the integration direction of the integration type line sensor (TDI: time delay integration).
  • the integration direction of TDI may be different from the primary beam direction.
  • the electron beam optical system lens barrel includes the following components.
  • a magnetic material such as a nickel alloy such as permalloy or iron for the members constituting the lens barrel, and an effect of suppressing the influence of magnetic disturbance can be expected.
  • the top of the lens barrel 13 It has a detector rotation mechanism that enables the detector 25 • 11 to rotate about a few degrees around the optical axis to eliminate the deviation in the scanning direction caused by the assembly of the device.
  • rotational resolution and rotational position reproducibility need about 5 to 40 seconds. This arises from the necessity for the detector to keep the deviation between the scanning direction of the stage and the scanning direction of the detector within about 1/10 of one pixel while scanning one frame of image.
  • the angle error between the movement direction of the stage and the integration direction of TDI is 1 O mrad or less, preferably lmr It can be adjusted to ad or less, more preferably to 0.2 mrad or less.
  • FIGS. 25-3 to 25-5 show the overall configuration of the detector rotation mechanism provided above the lens barrels 13 and 38.
  • Fig. 25-4 shows the mechanism for rotating the upper lens barrel.
  • FIG. 25-5 shows a mechanism for sealing the upper lens barrel and the lower lens barrel.
  • the upper ends of the lens barrels 13 and 38 are the upper lens barrels 25 and 20 with the detectors 25 and 11 attached, and the lower part fixed to the main housing 13 and 4
  • the lens barrel consists of 25 and 21.
  • the upper lens barrel 25 ⁇ 20 is supported by the lower lens barrel 25 ⁇ 21 via bearings 25 ⁇ 22 and is rotatable around the optical axis of the secondary optical system.
  • seal portions 25 and 23 are provided between the lens barrels 25 and 20 and the lower lens barrels 25 and 21, seal portions 25 and 23 are provided to keep the inside of the lens barrels 13 and 38 at a vacuum.
  • a seal 25, 23 is provided between the lower end of the upper lens barrel 25, 20 and the upper end of the lower lens barrel 25, 21 and the lower lens barrel 25, 2
  • a flange 25, 24 is provided at the upper end of 1 so as to surround the upper lens barrel 25, 20.
  • a bearing is provided between the collar 25-24 and the side surface of the upper lens barrel 25, 20. 2 5 ⁇ 2 2 is installed.
  • the upper barrel 25, 2,0 and the lower barrel 25, 21 are screwed with bearing holders 25, 25, and 25-26 to hold the bearings 25, 22 respectively. Is done. Further, a drive mechanism shown in FIG. 25-4 is provided to rotate the upper lens barrel 25.20 with respect to the lower lens barrel 25.21. That is, a protrusion 25-27 is provided on a part of the bearing retainer 25-26 provided at the upper end of the flange portion 25-24, while a protrusion is provided from the upper lens barrel 25-20.
  • the mounting members (brackets) 25 and 28 are fixed with the actuators 25 and 29.
  • a precompression panel 25 * 31 to which a force for pulling toward the projection 25 * 27 is applied is provided between 25 and 28.
  • the lower lens barrel 25, 21 is operated by operating the actuator 25, 29 to change the length of the shaft 25. 30 projecting from the actuator 25, 29.
  • the upper lens barrels 25 and 20 can be rotated by a desired angle in a desired direction. For the above-mentioned rotation accuracy, it is desirable that the movement resolution of the actuator 25/29 is about 5 to 10 m.
  • the actuators 25 and 29 may be piezo actuators or a motor driven micrometer.
  • a sensor that can measure the relative distance between the brackets 25 and 28 that fix the actuators 25 and 29 and the protrusions 2 and 5. It is desirable to measure the rotational position of the. Linear scale, potentiometer, laser displacement gauge, strain gauge, etc. can be used for the sensor.
  • the seals 25 and 23 are shown in Fig. 25-5 to keep the inside of the lens barrels 13 and 38 vacuum. As shown in the figure, a small gap 25 ⁇ 32 (Fig. 25-5) is formed between the upper end surface of the lower lens barrel 25 ⁇ 21 and the lower end surface of the upper lens barrel 25 ⁇ 20. It is set up to be.
  • Each of the seals 25, 23 includes a partition ring 25, 33 fixed to the center and two elastic seals 25, 34, 25, 35.
  • Each of the elastic seals 25, 3 Springs 25, 36, and 25, 37 are provided between the lip portions of 4, 25, and 35 to secure the surface pressure of the sealing surface and enhance the sealing performance.
  • an exhaust port 25, 39 connected to the exhaust path 25, 38 formed in the lower lens barrel 25, 21 is provided.
  • the elastic seals 25 ⁇ 34 and 25 ⁇ 35 are preferably made of a material having an extremely small friction coefficient and excellent slidability.
  • Omni Seal manufactured by Huron Corporation in the United States can be used.
  • the flexible seal doubly and evacuating the intermediate space 25 • 40, the upper lens barrel 25 • 20 rotates, and a slight leak is caused by the elasticity on the atmospheric side.
  • the seals 25 and 35 occur, the leaked air is exhausted through the exhaust passages 25 and 38, and the pressure in the spaces 25 and 40 does not increase so much.
  • no leakage from the flexible seals 25 and 34 into the lens barrel occurs, and the vacuum in the lens barrel does not deteriorate.
  • the spaces 25 and 40 may be continuously evacuated, but it is also possible to evacuate only when the detector rotation mechanism is operated. This is because leakage is likely to occur when rotating, and when not rotating, the surface pressure between the natural seals 25, 34, 25-35 and the lower end of the upper barrel 25, 20 is sufficient to seal. Because you can.
  • the clearance 25-32 can be adjusted by inserting a shim 25-41 between the bearing 25-22 and the upper end surface of the lower lens barrel 25-21.
  • the shims 25, 41 here, the height of the bearings 25, 22 with respect to the lower lens barrel 25, 21 can be changed.
  • the upper lens barrel 25 ⁇ 20 holds the bearing 25 ⁇ 22 with the holding 25 ⁇ 25 and 25 ⁇ 26, so the bearing 25 ⁇ 22 is the upper mirror. It has a structure that moves up and down together with the cylinders 25, 20 and the gap 2 between the upper lens barrel 25 * 20 and the lower lens barrel 25 * 2 1 by the thickness of the shims 25, 41. 5 ⁇ 3 2 will change.
  • the springs 25-36, 25-37 and 25-37 are provided inside the flexible seals 25-34, 25-35.
  • the elastic seals 25, 34, 25, 25 are sufficiently pressed against the upper and lower surfaces due to the differential pressure, or when the elastic seals 25, 34, 25-35 themselves have sufficient repulsive force In this case, the springs 25, 36, 25 and 37 may be omitted.
  • the detector 25.1.11 is rotated by a small amount, and each time the detector 25.1.11 is scanned and imaged. Then, the angle of the detector 25.111 should be adjusted to the angle at which the sharpest image was obtained.
  • the specific method will be described.
  • the detector 25.1.11 is rotated by a small angle to perform scanning imaging of the detector 2511, and image processing is performed on the obtained image. Then, a numerical value that can evaluate the image quality such as contrast is obtained. By repeating this, the relationship between the rotational position of the detectors 25.11 and the image quality is obtained, and the rotational position of the detector 25.11 when the image quality is the best is obtained. Therefore, the positioning work of the detector 25.11 is completed by rotating the detector 25.11 to that position.
  • the permissible value of the positional deviation between the stage and the detector 25.1.11 is the deviation between the scanning direction of the stage and the scanning direction of the detector while scanning one frame of the image of the detector 25.1.11. Is determined to be within 1 pixel of 10 pixels. Therefore, when the pixels are arranged in about 500 steps in the scanning direction, the allowable angle shift is about 40 seconds.
  • the relationship between the position of the detector and the image quality is quantified by a method such as polynomial approximation to obtain the best image quality.
  • the method to determine the position of the detector 25, 11 or the image is performed by first rotating the detector 25, 11 1 roughly, and the approximate relationship between the position of the detector and the image quality is determined, and the image quality is best. It is possible to narrow down the range of the detector position that is to be obtained, rotate the detector minutely within that range again, perform the same operation, and use a method to accurately determine the detector position that provides the best image quality. it can.
  • it is effective to provide a lock mechanism in order to prevent an angle shift after the angle between the stage and the detector is adjusted.
  • a plate-like part may be passed between the bearing holders 25, 25 and 25, 26, and the plate-like part and the bearing holders 25-25, 25, 26 may be fixed with ports. .
  • the NA is held by a mechanism that can move about several centimeters in the direction of the optical axis or in the direction perpendicular to the optical axis, enabling the NA to be adjusted to an optically optimal position in conjunction with a change in magnification.
  • a mechanism that can move about several centimeters in the direction of the optical axis or in the direction perpendicular to the optical axis, enabling the NA to be adjusted to an optically optimal position in conjunction with a change in magnification.
  • a part of the heater is desirably installed in the NA holding part, and holding the NA at a high temperature has an effect of making the NA less likely to deteriorate. It is also effective to install a reactive gas piping section, which enables NA cleaning while the inside of the lens barrel is kept in a vacuum. (4) Isolation pulp
  • the barrel is desirably provided with pulp so that the interior of the barrel can be divided into a plurality of spaces. Specifically, it is effective to install a valve so that the space for the MCP section and the electron gun section can be separated from the space for the stage section. With such a configuration, it becomes possible to perform maintenance around the stage and the like while keeping the MCP section and the electron gun section at a vacuum. Conversely, it is also possible to perform maintenance on the MCP section and the electron gun section while keeping the stage section and the like under vacuum.
  • the periphery of the optical axis is surrounded by a cylindrical member grounded to the ground. With such a configuration, the effect of suppressing the influence of electric disturbance can be expected.
  • An orifice-like or elongated tubular member is installed between the series of electron optics and the MCP section, and by making the conductance of the path connecting both spaces small, the configuration of the MCP section is reduced. It becomes easy to keep the pressure lower by about 1 to 5, preferably about 1 to 10, more preferably about 1 to 100 in the electron optical system.
  • Parts that need to be arranged on an optically concentric axis with an accuracy of several meters or less in terms of electron optics are desirably assembled by a method such as joining processing of members or cold fitting.
  • Optical microscope 'An optical microscope is provided for comparing sample images at low magnification and images viewed with light with electron beam images.
  • the magnification is about 1/10 to 1500 of the electron beam image, preferably l ⁇ Ol / lOOOO, more preferably 1/20 ⁇ :! It is around 100.
  • the image of light from the sample surface is detected by a two-dimensional solid-state image sensor (CCD).
  • It can be displayed on C'RT. It can also be stored in memory.
  • the vacuum pumping system By arranging a non-vibration vacuum pumping system such as an ion pump around the optical axis near the electron gun and the MCP unit, the vacuum pumping system eliminates the effects of charged particles and magnetic fields due to the pumping system. The effect of maintaining the part in a high vacuum can be expected. This is due to the fact that when the ion pump is connected to an electron gun or the like with a pipe to exhaust air, the conductance of the pipe is reduced.
  • Vacuum chamber, evacuation system, primary optical system, secondary optical system, detector, image processor, control 1 is an example of an inspection device mainly configured by a computer for use in the present invention.
  • Figure 26 shows an example.
  • Primary optical system 26.1 for irradiating the sample with the electron beam and secondary electrons for guiding electrons emitted from the sample surface, for example, secondary electrons, reflected electrons, backscattered electrons, etc., to the detector
  • the secondary optical system is a projection optical system.
  • EXB beam separators 26 and 3 are used to separate the primary and secondary systems.
  • the image signals of the electrons detected by the detectors 26 and 4 are converted into optical signals and / or electrical signals, and processed by the image processors 26 and 5.
  • an image can be formed favorably.
  • the electron guns 26 and 6 that are components of the primary optical system use L a B 6 as thermal filaments, and extract electrons from the force sword by the ⁇ Enelt and the extraction electrode 26. After that, the beam is converged to the apertures 26 and 9 by the two-stage A lens (Einzel lens) 26 and 8 to form a crossover. After that, it passes through the two-stage aligner 26 ⁇ 10, the aperture 26 ⁇ 11, the three-stage quadrupole lens 26.12, and the three-stage aligner 26 ⁇ 13, and enters the beam separator to sample. The light is deflected in the plane direction, passes through the apertures 26 and 14, and the ⁇ lens (objective lens) 16 * 16 of the secondary system, and irradiates almost perpendicularly to the sample surface.
  • Apertures 26 and 9 allow the beam area to pass through the beam area with high uniformity and high brightness at the crossover, and apertures 26 and 11 define the beam incidence angle to the quadrupole lens.
  • the aligner (deflector) 26 ⁇ 10 is used to adjust the beam so that it enters the center of the optical axis of the avatar 26 ⁇ 11 and the quadrupole lens 26 ⁇ 12.
  • the quadrupole lens 2 6 ⁇ 12 is used to change the shape of the beam by changing the trajectory of the beam in two directions, for example, the X and ⁇ directions.
  • the shape of the sample irradiation beam it is possible to change the ratio of the shape in the X and y directions of a circle, an ellipse, a rectangle, a rectangle and an ellipse (see FIG. 27).
  • the aligners 26 and 14 After passing through the quadrupole lens, it is adjusted by the aligners 26 and 14 to pass through the center of the aperture 26.15 and the P lens (objective lens) 26.16, and is incident on the sample surface.
  • the shape of the irradiation beam can be formed symmetrically with respect to at least one of the two axes.
  • the beam shape may be asymmetric.
  • the energy of the beam applied to the sample surface is ultimately determined by the voltage difference between the force source and the sample surface. For example, when the force source is 5.0 k-V and the sample surface is 4 kV, the irradiation beam energy is I keV (see Fig. 26).
  • the voltage error is 10 V
  • the energy error is ⁇ 2 OeV.
  • the beam irradiation energy is 1.5 keV ⁇ 10 e
  • the sample becomes negatively charged, and secondary electrons from that state are emitted from the sample, expanded and imaged by the secondary system, and guided to the detection system.
  • the irradiation energy is 50 ⁇ 10 eV to 150 eV ⁇ 10 eV
  • the sample surface becomes positively charged, and the emitted secondary electrons.
  • Positive charging can operate with relatively low damage, but is more susceptible to the effects of charge-up or non-uniform surface potential due to charge-up. In the operation with negative charge, it is easy to obtain an image stably, and the image distortion due to the influence of charge-up or uneven surface potential due to charge-up can be smaller than that of positive charge.
  • the position of the crossover between the secondary system and the primary system may be shifted at the location of the apertures 26 and 15 in some cases.
  • the secondary system forms a crossover of secondary electrons on the center of the secondary system optical axis
  • the primary system crossover is shifted by 50 to 500 m from the center of the secondary system optical axis.
  • the two crossovers of the primary system and the secondary system at the apertures 26 and 15 do not overlap, and the current density can be reduced, resulting in blur due to the space charge effect when the beam current is large. Can be suppressed from expanding. This is effective, for example, when the primary system irradiation beam current density is 1 ⁇ 10 ⁇ 3 A / cm 2 or more. At lower current densities, the effect is small even if the optical axis centers are the same.
  • the electrons emitted from the sample surface one or more of secondary electrons, reflected electrons, and backscattered electrons are used.
  • the energy emitted from the sample surface is, for example, when the incident beam energy is 100 ° eV ⁇ 10 eV, respectively, approximately 0 to 10 eV, 100 eV ⁇ 10 eV, respectively. , 1 0 to 100 0 eV.
  • electrons transmitted through a thin sample or a sample with a hole (for example, a slancil mask) are also used. In this case, in the former thin sample, the incident energy is reduced by the thickness, and in the holed sample, the incident energy is the same.
  • a focused ion beam may be used instead of the electron beam.
  • a liquid metal Ga ion source is generally used as the FIB source, but other liquid metal ion sources that use metals that are easily liquefied, and ion sources of different types, such as a duoplasmatron using discharge, can be used. .
  • Samples used are Si wafers, semiconductor wafers processed on Si, wafers processed by micromachining, substrates for liquid crystal displays, and head processed wafers for hard disks.
  • a mapping projection optical system is used to focus and guide scattered electrons and transmitted electrons on a detection system at a magnification factor.
  • Examples of column lens configurations include P-lens (objective lens) 26 216, aperture 26 615, aligner 26 ⁇ 14, beam separator 26 63, ⁇ lens (intermediate lens) 26,17, aligner 26,18, aperture 26-19, ⁇ lens (projection lens) 26'20, aligner 26,21, micro channel plate (MCP) unit You.
  • Hermetic quartz glass is installed on the upper flange of the column.
  • a relay lens and a two-dimensional charge-coupled device (2D-CCD) are installed on top of it, and the image formed on the phosphor screen is focused on the 2D-CCD sensor.
  • Electrons emitted from the sample surface form a crossover with apertures 26 and 15 at P-lens (objective lens) 26 and 16 and form an image at the center of beam separator 26 and 3.
  • Operating under the condition of forming an image at the center of the beam splitter is effective because the influence of the aberration of the secondary beam generated by the beam splitters 26 and 3 can be reduced. This is because, for example, when the beam is passed through the EXB, the amount of deflection and aberration vary depending on the image height, so that by imaging, the aberration of the imaging component can be minimized. is there.
  • the same can be said for the primary system, so in the primary system, not only the imaging conditions are formed on the sample, but also the imaging points are formed near the center of the beam separator. This is effective in reducing the aberration of the primary beam and suppressing the current density unevenness on the sample to a small extent.
  • the aligner 26.14 is used to adjust the beam to the center of the P lens (intermediate lens) 26.17 at the top.
  • An aligner 26 ⁇ 18 is used to adjust the beam to the center of the P lens (projection lens) 26 • 20 upstream of it.
  • P-lens (objective lens) The magnification of 26 ⁇ 16 is 1.5 to 3 times, P lens (intermediate lens) 26 ⁇ 17th magnification is 1.5 to 3, ⁇ lens (projection lens) 26 *
  • the magnification of 20 is 30 to 50. In order to achieve these magnifications, adjustments are made by applying a voltage corresponding to each magnification to each lens.
  • a dedicated focus correction lens is built into the lens (objective lens) system, and the focus is adjusted by fine-tuning the voltage applied to the electrode. Realize. Also, at the positions of apertures 26 6 and 15 and apertures 26 and 19, if both form a crossover, apertures 26 and 15 are used for noise cut, and apertures 26 and 19 are It can also be used to play a role in determining aberration, contrast.
  • the apertures 26 and 15 and the apertures 26 and 19 are in the range of ⁇ 30 to 200 / zm, preferably ⁇ 30 to ⁇ 100, more preferably ⁇ 30 or more to ⁇ 500; ttm can be used.
  • the apertures 26 and 15 are, for example, ⁇ 30 to ⁇ 500 00 ⁇
  • the apertures 26 and 19 are ⁇ 1 00 0 to ⁇ 200 00 ⁇ .
  • the apertures 26 and 19 are set to 30 to ⁇ 500 ⁇ . Use at ⁇ 200 ⁇ .
  • ⁇ lenses (intermediate lenses) 27 and 17 may be used with stig electrodes arranged above and below. This is used to correct astigmatism generated by the beam separators 26.3 and the like.
  • a stig with an electrode configuration of 4, 6, or 8 poles.
  • different voltages are applied to the electrodes in each of the eight songs, and can be used for correcting astigmatism and spherical aberration.
  • the final stage ⁇ lens (projection lens) 26 ⁇ 20 uses a deceleration lens (negative voltage application lens), Effective for noise reduction.
  • the amount of secondary electrons is about 10 to 100 times larger than the amount of reflected electrons, so this is particularly effective when performing imaging using reflected electrons and backscattered electrons.
  • the power source voltage of the primary electron source is 4 kV
  • the sample potential is 3 kV
  • the reflected electron energy from the sample is 1 keV
  • the detector voltage is the installation potential
  • the P electrode section In the order, the energy difference between reflected and secondary electrons is about 1 keV.
  • the negative voltage lens of the P lens (projection lens) it is possible to use a condition in which the center voltage allows reflected electrons to pass and cuts off secondary electrons. These conditions can be determined by simulation.
  • the beam separator 26 ⁇ 3 uses an EXB in which the electrode and the magnetic pole are perpendicular to each other, or a separator that uses only the magnetic field B.
  • EXB is composed of an E electrode that forms an electric field distribution, and a magnetic pole that has a magnetic pole surface that is perpendicular to the E electrode and that forms a magnetic flux density distribution in an orthogonal direction.
  • the incident beam of the primary system can be set at 10 to 90 degrees with respect to the axis of the secondary system.
  • the primary system is deflected by the EXB and can be perpendicularly incident on the sample surface, and the emitted electrons from the sample surface are guided by the EXB in the optical axis direction, that is, the vertical direction from the sample surface.
  • This is achieved by the voltage applied to the E electrode and the magnetic flux density formed at the B electrode.
  • ⁇ 2 kV ⁇ lV is formed on a pair of E electrodes, and a magnetic flux density 'distribution is formed in parallel from a pair of B electrodes.
  • a magnetic pole of 1 to 60 G ⁇ 1 G A magnetic flux density in the direction is generated (see Fig. 26).
  • EXB is also applicable when the deflection relationship between the primary and secondary systems is reversed.
  • the primary system incident beam source is provided just above the sample, and the secondary system detector is connected to the primary system. It is set in a direction at an angle of 10 to 80 degrees with the axis of the secondary system, and the primary system beam is perpendicularly incident on the sample by the EXB without applying a deflecting force, and the electrons emitted from the sample (2 The secondary beam) can be guided in the direction of the detector by applying a deflecting force.
  • the signal electrons are introduced into the electron multiplier tube 28 1 such as the MCP, and the amplified electrons are irradiated on the fluorescent screen to form a fluorescent image.
  • the fluorescent screen is a glass plate 28.2 such as quartz glass coated on one side with a fluorescent material.
  • This fluorescent image is captured by the relay lens system 28.3 and the two-dimensional CCD 28.4.
  • This relay lens system and CCD are installed at the top of the column.
  • Hermetic glass 28.6 is installed on the upper flange of the column to separate the vacuum environment in the column from the external air environment, and to form a fluorescent image with reduced distortion and contrast deterioration, and to form an image on the CCD. As a result, a fluorescent image can be taken efficiently.
  • an integrating line image sensor (TDI-CCD) camera can be used.
  • TDI imaging of the sample can be performed on the stage while moving the stage, for example, in the direction of the E electrode or in the direction of the B magnetic pole.
  • the number of TDI integration stages is 256
  • the number of pixels per stage is 2048
  • the element size is 15 X 15 ⁇ m
  • the MCP imaging magnification with respect to the sample surface is 300 times.
  • the line / space is 0.1 / 0.1 im
  • the sample surface size becomes 30 no 30 im on the MCP surface.
  • the magnification of the relay lens is 1, 30 is imaged at a size equivalent to two element sizes.
  • the line rate is from 0.5 kHz to 100 MHz, preferably from lkHz to 50 MHz, more preferably from 20 kHz to 10 MHz.
  • the video rate is also used at 1 to 120 MHz / tap per tap, preferably at 10 to 50 MHz / tap, and more preferably at a 10 to 40 MHz tap.
  • the number of taps is 1 or more and 520 or less, preferably 4 or more and 256 or less, more preferably 32 or more and 128 or less (see FIG. 28, # 29).
  • CCD and TDI sensor cameras with low noise and high sensitivity are used.
  • 100 000 to 500 000 DN / (nJ / cm 2 ) -Even at the time of printing, high quality images can be obtained with good S / N.
  • the sensor can be used in a state where the area of the number of pixels x the number of steps of these sensors almost coincides with the irradiation area of the primary beam.
  • Stages 26 and 22 use a stage that is installed by a combination of one or more of the X, ⁇ , Z, and 0 movement mechanisms. In such an electron beam inspection apparatus, the following equipment elements can be used as the above elements.
  • Electron source W filament, L a B 6 filament, TFE, FE
  • Aperture material MO (molybdenum), Ta, Ti, phosphor bronze
  • Si wafers, Group III-V compound semiconductor wafers, LCD substrates, hard disk head wafers, 2, 4, 6, 8, and 12 inch wafers are used
  • the MCP has the function of amplifying incoming electrons, and the outgoing electrons are converted into light by the fluorescent screen. If the incident electron charge is large enough and does not need to be multiplied, operation is possible without an MCP. Further, it is also possible to use a scintillator instead of the fluorescent plate.
  • the light signal (or image signal) is transmitted to the TDI at a predetermined magnification in the case of a relay lens, or 1x (transmits an optical signal one-to-one) in the case of a FOP, or forms an image. I do. Photomar is to amplify an optical signal and convert it to an electric signal.
  • the irradiation beam shape of the primary beam can use an irradiation beam shape that is symmetric with respect to at least one axis with respect to the X and Y axes. This makes it possible to form a low-yield, low-distortion acquisition image on the electron incident surface of the detector using a beam centered on the optical axis.
  • Sufficient SZN can be achieved and can be used for image processing and defect detection. This is because, for example, in a projection optical system, the noise cut and the aberration reduction effect can be generated by defining the size of the aperture 26 ⁇ 15 or 26 ⁇ 19.
  • an aperture of ⁇ 100 m it is possible to improve S, so that high-resolution, high-quality images can be acquired in the 200-electron count 1 pixel area. To integrate the number of stages.
  • the number of stages for integration is at least 114 stages and at most 8192 stages, preferably at least 114 stages and at most 496 stages, more preferably 5 1 2 or more stages and 4 0 9 or less stages are appropriate.
  • TDI time division multiple access image detector
  • the secondary optical system In the case of a CCD or TDI of about one pixel, it is desirable that aberration and blur of the secondary optical system be within one pixel.
  • signal electrons are deflected in the EXB, aberration and blur increase, so in the present embodiment, in the secondary optical system, signal electrons such as secondary electrons, reflected electrons, and backscattered electrons are not used in the EXB. It is set so that the vehicle travels straight without giving a deflection force.
  • the central axis of the secondary optical system is a straight line that passes through the center of the field of view of the sample, the center of the EXB, and the center of the detector.
  • the inspection apparatus when a TDI sensor camera is used as a detector, the number of pixels is 2048 or more and 4096 or less, the number of pixels is 32 or more and 128 or less, and the sensitivity is 1 If it is 0 0 0 0 ⁇ 40 0 0 0 DN / (n J / cm 2), more, it is possible to efficiently image acquisition at high speed. At this time, it can be used at a line rate of 100 to 400 kHz and a video rate of 100 mHz to 40 MHz. At this time, with an 8-inch Si wafer, for example, an LSI device wafer, and a resolution of 0.1 m pixels, the inspection time per sheet can be executed in 1 to 8 hours.
  • the pattern shape is, for example: LZS: Contrast 3 to 30% is achieved even in 0.2 / 0.2 m. It can be used sufficiently for image observation and defect detection. Defects with shapes other than LZS can be detected if they have a pixel size of 1 pixel or more by comparing changes in contrast. A contrast of 5 to 30% is realized, and image processing enables observation and defect inspection. In addition, defects on the LSI design wafer below the design rules Detection is also possible. In memory, it is possible to detect a half pitch of the wiring width, and in logic, it is possible to detect defects equivalent to the gate length.
  • images can be formed continuously by TDI operation and inspection can be performed continuously.
  • the sample is placed on the stage, and performs continuous operation to obtain an image.
  • Fig. 29 shows an example of a detection system having a configuration different from that of the first embodiment shown in Fig. 28. Is shown.
  • the output of the TDI sensor 29/4 is received by the TDI camera 29/7 via the field through flange 29/6.
  • a fluorescent material is coated on the FOP 29-3, and a fluorescent image is formed by electrons from the MCP 29.2. This fluorescent image is transmitted to the TDI sensor 29/4 by FOP29 / 3.
  • the image signal of the TDI sensor 29-4 is transmitted to the TDI camera 29/7 via the connection pin 29/5 and the feedthrough flange 29/6.
  • the use of the FOP 293 can reduce optical signal transmission loss.
  • the transmittance is improved by about 5 to 20 times as compared with a relay lens. This is particularly effective when performing TDI operation. This is because the acquired optical signal intensity is high, so that it can be operated at higher speed, and the signal irregularity of the fiber shape becomes small and negligible due to the integration of TDI.
  • connection pins 2 9 ⁇ 5 force to connect pins 4 and feed-through flange 29 ⁇ 6 are required.
  • the connection pins 2 9 ⁇ 5 are, for example, fixed on one side by means of a mating contact (for example, on the feed-through pin side), and on the TDI sensorno package pin side, contact with elastic force such as Perform (not shown).
  • the pins of feedthrough flanges 29 and 6 and the pins of TDI sensor / package 29 and 4 can be installed with low pressing force, parallel position, and low impedance.
  • a high-speed operation sensor has a large number of pins, for example, a pin number exceeding 100 is required. If the number of pins is large, the installation pressure (pressing force) increases, and the TDI sensor Z package 2 9
  • the CCD or TDI is usually installed on the atmosphere side, and the MCP and the phosphor plate are installed in a vacuum.However, by placing the CCD or TDI in a vacuum, the FOP etc. The relay optical system can be shortened, and the transmission efficiency can be increased.
  • an EB-CCD or an EB-TDI is used as a detector (see FIG. 30).
  • EB is an electron beam.
  • An EB-CCD or EB-TDI is a device that directly inputs an electron beam, amplifies it, and converts it to an electric signal (rather than detecting an optical signal).
  • Figure 30 shows an example of the EB-TDI unit. See Embodiment 1 for the optical system.
  • the surface of the TDI sensor 303 is placed at the top of the secondary system column, that is, at the imaging point above the P lens (projection lens).
  • Electrons emitted from the sample surface are imaged by the secondary system and incident on the surface of the TDI sensor 30/3. The charge is accumulated in accordance with the amount of electrons, and an electric signal for image formation is formed by the TDI camera 31.
  • the pins of the sensor package 30 ⁇ 3 and the pins of the feedthrough flanges 30 ⁇ 5 are connected by connecting pins 30 ⁇ 4. This is the same as in the second embodiment.
  • the electronic image signal is directly converted into an electrical signal by the TDI sensor 303 as compared with the detection systems of the first and second embodiments, so that the number of components and components is reduced. Shortening is possible. This makes it possible to improve SZN by noise reduction, increase speed, reduce size, and reduce cost.
  • the EB-TDI 30-1 is used, but an EB-CCD can also be used.
  • an EB-CCD can also be used.
  • Field through pin and package Connection pins are required.
  • One of the connection pins (for example, the package side) is made of a panel material and a contact plate, and the contact width can be reduced. If the number of contact pins increases, such as 100 or more, the pushing force at the time of connection increases, and if the total force exceeds 5 kg, the package will be damaged. Therefore, a connection pin that provides a pushing force of 50 to: L 0 g / piece by adjusting the panel force is used.
  • MCP which is an electron multiplier.
  • the same conditions as in the first and second embodiments can be used for the number of pixels, the number of stages, the number of taps, the number of taps, the line rate, and the video rate. Sensitivity is available from 0.1 to 1000 DN / electron.
  • the primary system 3 1 ′ 1 is the same, but the configuration of the secondary system 3 1 2 is different.
  • a two-stage P lens (objective lens) 3 1 * 3 is used to achieve higher resolution.
  • a two-stage P lens (intermediate lens) 3 1 ⁇ 5 is used to achieve higher resolution.
  • the P lens (intermediate lens) is a zoom lens. This makes it possible to realize a projection-type beam optical system with a higher resolution and a larger field of view than before, and further, it is possible to acquire an image of any magnification in the zoom range.
  • the electron gun the primary optical system, the secondary optical system, the EXB unit, the detector, and the power supply of the electron optical system shown in FIGS. 25-1 to 31 will be described in detail.
  • Electron gun electron beam source
  • Electron emission (E Mi jitter) material is L a B 6.
  • E Mi jitter Electron emission (E Mi jitter) material
  • L a B 6 As long as the material has a high melting point (low vapor pressure at high temperatures) and a low work function, other materials can be used.
  • a field emission type electron beam source or a thermal field emission type is used. However, as in the case of the present invention, a relatively large area (for example, 100 ⁇ 25 to 400 ⁇ X) is used.
  • thermal electron source when irradiated with L a B 6 with a large current (about 1 / a) is optimal.
  • the SEM method generally uses a thermal field electron beam source (TFE type) and a short-circuit type.
  • a thermionic electron beam source emits electrons by heating the electron-emitting material.
  • the thermal field emission electron beam source emits electrons by applying a high electric field to the electron-emitting material. This method stabilizes electron emission by heating the part. By selecting the temperature and electric field strength in this method, it is possible to extract the electron beam under efficient conditions called the Schottky condition. Often used.
  • An electron beam emitted from an electron gun is formed, and the part to be irradiated with an electron beam having a two-dimensional cross section such as a rectangle, circle, ellipse, etc. Call the system.
  • the beam size / current density can be controlled by controlling the lens conditions of the primary electron optical system. Due to the EXB filter (Wien filter) at the primary Z secondary electron optics junction, the primary electron beam is perpendicular to the plane ( ⁇ 5 °, preferably ⁇ 3 °, more preferably ⁇ 1 °) Incident.
  • the L a B 6 forces the heat electrons emitted from the cathode, Wehnelt, triple anode lens or double anode, causing focused as a crossover image on stop cancer in a single anode.
  • the primary electrostatic lens By controlling the primary electrostatic lens, an electron beam whose incidence angle to the lens is optimized by the illumination field stop is imaged on the NA stop in a rotationally asymmetric form, and then the surface Irradiate.
  • the rear stage of the primary electrostatic lens consists of a three-stage quadrupole (QL) and a single-stage aperture aberration correction electrode.
  • the quadrupole lens is subject to certain restrictions on alignment accuracy, it has a strong convergence effect compared to a rotationally symmetric lens, and the aperture aberration equivalent to the spherical aberration of the rotationally symmetric lens is applied to the aperture aberration correction electrode.
  • Correction can be performed by applying a voltage. Thereby, a predetermined area can be irradiated with a uniform surface beam. The electron beam can be scanned by the deflector.
  • the shape and area of the irradiated electron beam on the sample surface include the area corresponding to the shape and area of the TDI-I CCD imaging area on the sample, and the illuminance within the irradiated area of the electron beam irradiation was uniform. It is desirable that uneven illuminance is 10% or less, preferably 5% or less, more preferably 3% or less.
  • the shape and area of the TD I-CCD in the present embodiment is 2048 ⁇ 512 in terms of the number of pixels, and the pixel size is 16 ⁇ mX16 m, so that a total of about 32.8 mmX 8. It is a 2 mm rectangle.
  • the magnification of the secondary optical system is 16 ⁇
  • the irradiation area on the sample surface is 1 / 1.60 of the above 32.8 mm ⁇ 8.2 mm, so that 205 ⁇ 5 1.2 lir rectangle.
  • the irradiation area of the electron beam in this case is desirably a rectangle including a rectangle of 205 mX 51.2 ⁇ , but if the shape and area satisfy the above conditions, Figure 27-1 As shown, it may be a rectangle with rounded corners, an ellipse, a circle, or the like.
  • magnification of the secondary optical system is 320 times, it becomes 1/320 of 32.8 mm x 8.2 mm, so it becomes a rectangle of 102.4 ⁇ mx25.6 m, and 16
  • the irradiation area is 1/4 of 0 mm.
  • the sample is irradiated with a beam having a relatively large area including the imaging region of the TDI-CCD as a detector, and the imaging region on the sample is TDI-CCD.
  • the electrons emitted from the imaging area on these samples are detected by imaging them simultaneously on the TDI-CCD.
  • the irradiation shape of the electron beam may be linear, and scanning may be performed to secure the same irradiation area as the planar beam.
  • the linear beam 27 ⁇ 1 means a beam whose vertical to horizontal ratio is 1: 10 or more, as shown in (1-1) and (1-2) in Figure 27-2.
  • the shape is not limited to a rectangle but may be an ellipse.
  • the linear beam 27 ⁇ 1 may be partially interrupted on the way, as shown in (2) of Fig. 27-2. Scanning the beam has the advantage of reducing the effect of the charge gap on the sample, since the time for continuously irradiating the same spot on the sample with the beam is shortened.
  • Figure 27-2 (3) and (4) show the relationship between the TPI CCD multi-pixel imaging area 27.3 and the linear beam 27.1 on the specimen 27.2. Is shown.
  • the linear beam 27 ⁇ 1 is almost perpendicular to the integration direction 27 ⁇ 4 of the TDI-CCD or the movement direction 27 ⁇ 5 of the XY stage (eg, 9 0 ° ⁇ 3 °, preferably 90 ° ⁇ 1 °)
  • the beam scanning direction 27.6 is the same as the integration direction 28.4 of the TDI-CCD or the moving direction 27.5 of the XY stage.
  • the directions are the same (for example, 0 ° soil 1 °, preferably 0 ° ⁇ 1 minute, more preferably 0 ° ⁇ 1 second).
  • Figure 27-2 shows another example, in which the linear beam 27 1 is almost parallel to the TDI- CCD integration direction 27 4 or the XY stage movement direction (for example, 90 Degrees ⁇ 1 degree, preferably 90 degrees ⁇ 1 minute, more preferably 90 degrees ⁇ 3 seconds).
  • a two-dimensional secondary electron image generated by the electron beam illuminated on the wafer is imaged at the field stop position by the electrostatic lens (CL, TL) corresponding to the objective lens, and enlarged by the latter lens (PL) Project.
  • This imaging projection optical system is called a secondary electron optical system.
  • a negative bias voltage (deceleration electric field voltage) is applied to the device.
  • the deceleration electric field has a deceleration effect on the irradiation beam, reduces damage to the sample, and accelerates secondary electrons generated on the sample surface by the potential difference between the CL and the wafer, thereby reducing chromatic aberration. have.
  • the electrons converged by CL are imaged on FA by TL, and the image is enlarged and projected by PL and imaged on a secondary electron detector (MCP).
  • MCP secondary electron detector
  • NA is arranged between CL and TL, and by optimizing this, an optical system capable of reducing off-axis aberration is constructed.
  • An electrostatic octupole is placed to correct for errors in the manufacture of the electron optics and for astigmatism and anisotropic magnification of the image caused by passing through the EXB filter (Wienfill). Correction is performed, and any misalignment is corrected using a deflector (OP) placed between each lens. Thereby, a mapping optical system with a uniform resolution in the field of view can be achieved.
  • OP deflector
  • Figure 32 shows the electron optical system.
  • the primary electrons emitted from the electron gun 3 2 1 pass through the image forming lens 3 2 ⁇ 2, pass through the two-stage zoom lens 3 2 3, and then pass through the three-stage quadrupole lens 3 2 4
  • the beam is deflected by 35 ° at the EXB filter 3 2 ⁇ 5 and irradiates the sample surface through the objective lens 3 2 ⁇ 7 in the opposite direction parallel to the optical axis of the secondary optical system 3 2-6.
  • the quadrupole lens may be a multipole of two or more, and is not limited to an even number, but may have an odd number of poles. Further, the quadrupole lens preferably has 3 to 20 steps, preferably 3 to 10 steps, and more preferably 3 to 5 steps.
  • the image formed at 3 2 ⁇ 8 is magnified about 30 to 50 times by the projection lens 32 9 and formed on the detector surface 3 2 10.
  • the image forming lens 3 2 ⁇ 2 enables an image to be formed in front of the zoom lens 3 2 ⁇ 3 even if the accelerating voltage changes.
  • it may be composed of a plurality of lenses.
  • the irradiation area and shape of the primary electrons on the sample surface are almost determined by the conditions of the zoom lens 3 2 ⁇ 3 and the quadrupole lens 3 2 ⁇ 4 if the acceleration voltage of the primary electrons is constant.
  • the zoom lens 3 2 ⁇ 3 changes the irradiation area while maintaining the beam shape.
  • the quadrupole lenses 3 2 and 4 can change the beam size, but they are mainly used to change the beam shape (elliptical aspect ratio).
  • the zoom lens is composed of a two-stage zoom lens 3 2 ⁇ 3 and a three-stage quadrupole lens 3 2 44, respectively, but the number of lens stages may be increased.
  • the size of one pixel of the detector is 16 m square and the size of the detector is 24048 x 512 pixels will be considered.
  • the magnification of the secondary optical system 3 2 ⁇ 6 is 16 ⁇
  • the observation area is 2 0 4.8 X 5 1.2 zm. Since the irradiation area that covers it is elliptical, it changes variously depending on the ratio of its major axis to minor axis. This is shown in Figure 33.
  • the horizontal axis indicates the position of the long axis
  • the vertical axis indicates the position of the short axis.
  • Figure 34 plots the irradiation efficiency versus the ratio of the long axis to the short axis of the shape of the irradiation area. It is something. This indicates that the shape with the highest irradiation efficiency is obtained when the ratio of the major axis to the minor axis of the irradiation ellipse is equal to the ratio of the major axis to the minor axis of the rectangular observation region. That is, the beam shape for irradiating the entire observation area 204.8 ⁇ 51.2 m is 290 ⁇ 72.5 ⁇ , ⁇ . Actually, the shape of the irradiation beam becomes slightly larger due to the aberration of the irradiation optical system and the uneven brightness of the electron gun.
  • the image in front of the quadrupole lens 32.4 must be elliptical on the sample surface by the optical system including the quadrupole lens 32.4 and the objective lens 32.7.
  • the quadrupole lenses 3 2 and 4 may be adjusted so as to form an irradiation area. In this case, it is sufficient that a sufficiently flat irradiation current density is obtained over the required irradiation area and the entire irradiation area on the sample surface, and it is not necessary to form an irradiation beam on the sample surface.
  • the size of the image in front of the quadrupole lens 32.4 is adjusted by the zoom lens 32.3 so that a predetermined irradiation area can be obtained on the sample surface.
  • the magnification of the secondary electron optical system 32.6 is increased from 160 times to 320 times.
  • the irradiation current density should be quadrupled.
  • the irradiation size should be set to 1/2 for both the major axis and the minor axis. Both the observation area and the illumination area have similar shapes and are each reduced to 1/2, so that the observation area can be sufficiently irradiated.
  • the irradiation current may be increased or the irradiation area may be reduced.However, based on the idea that irradiation is preferably performed in a place other than the observation area, the irradiation area may be reduced. It is desirable to reduce it.
  • Table 3 shows the voltage of the primary optical system lens and the obtained irradiation size on the sample when the secondary optical system magnification is 320 times and '160 times. As a result, an irradiation area that can sufficiently follow the magnification of the secondary optical system can be obtained.
  • irradiation size at 80x magnification May be an ellipse of 6200mX180; tim, and at a magnification of 480x an oval of 100jLimX30m. Thus, it is desirable to change the irradiation size according to the change or switching of the magnification.
  • a plurality of beam areas A method of illuminating by scanning an electron beam having a smaller area is also possible.
  • the number of beams is 2 or more and 100 or less, preferably 2 or more and 100 or less, and more preferably 4 or more and 40 or less. It is also possible to scan a linear beam in which two or more beams are connected. In this case, by scanning in the direction perpendicular to the longitudinal direction of the line, it is possible to inspect a wider area in one scan. Also in this case, CCD or TDI may be used as the detector.
  • an LaB6 electron source may be used to pass through a linear slit in an optical system.
  • a linear beam may be formed by using a power source having a sharp and elongated shape at the tip of the electron source.
  • the stage should be moved during beam scanning continuously or intermittently in at least one direction on the XY plane so as to cover the entire inspection area.
  • Figure 35 shows the configuration of a detection system using a relay lens.
  • the secondary electrons imaged on the surface of the MCP (micro channel plate) 35.1 by the secondary optics pass through the channels in the MCP 35.1 and the number of electrons incident on the MCP 35.1 It is multiplied by the voltage applied between the plane and the exit plane.
  • the structure and operation of MCP35 ⁇ 1 are known and will not be described in detail here.
  • the pixel size on the MCP35.1 is set to 26 m, and an effective area of 10 24 pixels in width ⁇ 5 12 pixels in length is used with a channel diameter of 6 im.
  • the multiplied particles in the MCP 35.1 are emitted from the exit surface of the MCP 35.1 and the fluorescent screen 3 5 3 applied to the opposing glass plate 35.2 with a thickness of about 4 mm And fluoresce with an intensity corresponding to the amount of electronic signals.
  • a thin transparent electrode is applied between the glass plate 35.2 and the phosphor screen 35.3, and a voltage of about 2 to 3 kV is applied to the MCP emission surface.
  • the spread of the oven between the phosphor and the phosphor screen is suppressed as much as possible, and the blur of the image there is suppressed as much as possible.
  • the electrons emitted from the MCP 35.1 have a suitable energy and the phosphor screen 3 Because of the collision, the luminous efficiency is improved.
  • the material of the glass plate 35.2 coated with the transparent electrode and the phosphor screen 35.3 may be any material as long as it transmits light efficiently.
  • the light intensity signal converted from the electronic signal by the phosphor screen 35.3 passes through the glass plate 35.2, and further passes through the optically transparent plate 35.4 that isolates the vacuum and atmosphere.
  • the light generated at 35 ⁇ 3 passes through a relay lens 35 ⁇ 5 that forms an image, and is incident on the light receiving surface 35 ⁇ 6 of a CCD or TDI sensor arranged at that image position.
  • relay lenses 35.5 having an imaging magnification of 0.5 times and a transmittance of 2% are used.
  • the TDI sensor used in this embodiment has a pixel size of 13 ⁇ , the number of effective pixels in the horizontal direction is 2,048 pixels, the number of integration stages is 144, the number of taps is 8, and the line rate is a maximum of 83 kHz.
  • the technical advancement of the TDI sensor in the future it is possible to use a sensor with a larger number of effective pixels in the horizontal direction and a larger number of integration stages.
  • the structure and operation of the TDI sensor are known and will not be described in detail here.
  • the column of the first embodiment shows the secondary electron emission current density, the secondary optical system imaging magnification, and the number of pixel incident electrons obtained when the TDI line rate is determined.
  • the I-scale pixel scale value and stage speed are shown.
  • the full scale of the grayscale pixel gradation values described here is 255 DN. This is because the current MCP dynamic range is only about 2 A. Because MC P breakthrough improvement in dynamic range can not be expected situation, Meniwa which give a certain pixel tone value, TD I Resubonshibiti (Responsivity) at least 2 0 0 DN Roh (n J cm 2) is secured It is important to do.
  • Figure 36 shows the configuration of the detection system using FOP.
  • the structure and operation up to the phosphor screen 36 1 are the same as those of the fifth embodiment.
  • the effective area of the MCP 36 ⁇ 2 of the present embodiment has a pixel size of 16 m and a width of 2408 x X 512 x 12 pixels.
  • the phosphor screen 36 1 is applied to a FOP (fiber-optic plate) 3 6 3 having a thickness of about 4 mm instead of a glass plate.
  • the light intensity signal converted from the electronic signal at the phosphor screen 36 ⁇ 1 passes through each fiber of FOP3 ⁇ 63 ⁇ 3.
  • a transparent electrode is applied to the light emitting surface of FO P 36.3, which is a ground potential.
  • the light emitted from FOP 36.3 has a thickness of approx. After passing through another 3 mm FOP 36. 4, it is incident on the light receiving surface of a CCD or TDI sensor 36 ⁇ 5 placed on the light emitting surface of the FOP 36 '4 via a transparent adhesive. . Since light does not diverge beyond each fiber of the FOP, image quality is not significantly affected if the pixel size of the CCD or TDI sensor 365 is sufficiently larger than the fiber diameter.
  • the fiber diameter of the FOP is 6 m
  • the pixel size of the TDI sensor 36.5 is 16 m.
  • the CCD or TDI sensor 36 ⁇ 5 is placed in a vacuum, and the electrical signal 36 ⁇ 6 of the image converted from the optical signal is taken through a feedthrough 36 ⁇ 7 that separates the atmosphere from the vacuum. Output to the device.
  • the TDI sensor 36.5 used in the present embodiment has a pixel size of 16 m, the number of effective pixels in the horizontal direction is 2,048 pixels, the number of integration stages is 5, 12, the number of tabs is 32, and the line rate is up to 300 kHz.
  • the TDI sensor due to the technical progress of the TDI sensor in the future, it is possible to use a sensor having a larger number of effective pixels in the horizontal direction and a larger number of integration stages.
  • the secondary electron emission current density, the secondary optical system imaging magnification, and the TDI in the present embodiment are shown in Table 2.
  • 5 shows a grayscale pixel tone value and a stage speed.
  • Fig. 37 (A) is a diagram schematically showing the configuration of a projection inspection type defect inspection device EBI, and (B) is a schematic diagram showing the configuration of the secondary optical system and the detection system of this defect inspection device EBI.
  • the electron gun 37, 1 has an L a B 6 manufactured force Sword 3 7.2 thermionic emission type operable at a large current, emitted from the electron gun 3 7-1 in the first direction
  • the obtained primary electrons pass through a primary optical system ′ including several stages of quadrupole lenses 37.3, the beam shape is adjusted, and then pass through a Wien filter 37.4.
  • the traveling directions of the primary electrons are changed by the Wien filters 37 and 4 in the second direction so as to be input to the sample W to be inspected.
  • the primary electrons exiting the window filters 37 and 4 and proceeding in the second direction are narrowed in beam diameter by the NA aperture plates 37 and 5 and pass through the objective lenses 37 and 6 to irradiate the sample W.
  • the electron gun 3 7-1 consists of L a B 6, the shape and a truncated cone, a diameter of 5 0 m above, 1 XI 0 3 A / cm 2 sr or more extraction voltage of the primary electrons 4. 5 k V 1X 1 0 8 a / cm 2 sr pull the electrons in the following luminance output Flip with use. Preferably 4.
  • 1 X 1 0 5 A / cm 2 sr least 1 X 1 0 7 A / cm 2 sr follows at 5 kV. More preferably not more than 1 X 1 0 6 A / cm 2 sr least 1 X 1 0 7 A / cm 2 sr at 1 O kV.
  • X 1 0 6 A / c m2 sr Preferably not more than 1 X 1 0 6 A / c m2 sr least 5 X 1 0 9 A / cm 2 sr at 1 0 kV.
  • a ZrO type shotgun can be used for the electron gun 37.1.
  • the shape of the irradiation area where the primary electrons irradiate the sample W is approximately symmetric with respect to the other two orthogonal axes not including the optical axis of the primary electrons, and the shape of the primary electrons in the area where the primary electrons irradiate the sample is Illuminance unevenness is 10% or less, preferably 5% or less, and more preferably 3% or less, and is extremely uniform.
  • the beam shape can be used even if it is not substantially symmetrical with respect to the other two orthogonal axes not including the optical axis of the primary electron as described above.
  • the sample W is irradiated by the primary optical system with a surface beam having a rectangular cross section of, for example, 200 ⁇ 50 / m. Irradiation becomes possible.
  • the sample W In order to scan the sample W with this surface beam, the sample W must be a high-precision XY stage (for example, 300 mm wafer) The XY stage is two-dimensionally moved while the surface beam is fixed on the XY stage. Also, since it is not necessary to focus the primary electrons on the beam spot, the surface beam has a low current density and the sample W is less damaged.
  • the current density of Bimusupo' bets in the defect inspection equipment of conventional beam scanning method is a 1 OA / cm 2 ⁇ 1 0 4 A / cm 2
  • current surface beam in the defect inspection apparatus of FIG. 3 7 Density is only 0. OOO l AZcm 2 to 0. l AZ cm 2 .
  • it is used at 0.001 AZcm 2 to 1 A / cm 2 . More preferably, it is used at 0.01 A / cm 2 to lAZcm 2 .
  • de chromatography's is that the the conventional beam scanning method is a IX 1 0- 5 C / cm 2 , in this method, 1 XI 0- 6 CZcm 2 ⁇ l X l O- C / cm 2 Therefore, this method has higher sensitivity.
  • the incident direction of the primary electron beam is basically from the E direction of EXB 37.4, that is, from the direction of the electric field, and the integration direction of TDI and the moving direction of the stage are adjusted to this direction.
  • the incident direction of the primary electron beam may be the direction B, that is, the direction in which a magnetic field is applied.
  • Secondary electrons, backscattered electrons, and backscattered electrons are generated from the area of the sample W irradiated by the primary electrons.
  • the secondary electrons emitted from the sample W are enlarged by the objective lenses 37 and 6 so as to travel in the second opposite direction, and are then NA aperture plates 37 and 5
  • the objective lens 37/6, the intermediate lens 37/7, and the projection lens 37/8 are all high-precision electrostatic lenses.
  • the magnification of the optical system is configured to be variable. Primary electrons are incident on the sample W almost perpendicularly ( ⁇ 5 degrees or less, preferably ⁇ 3 degrees or less, more preferably ⁇ 1 degrees or less), and secondary electrons are taken out almost perpendicularly. No shading due to
  • the Ein filters 37 and 4 are also called EXB filters, have electrodes and magnets, have a structure in which the electric field and the magnetic field are orthogonal to each other, and bend the primary electrons by, for example, 35 degrees to the sample direction (the direction perpendicular to the sample). On the other hand, it has a function to make at least one of the secondary, reflected and backscattered electrons from the sample go straight.
  • the secondary electron detection system 37, 9, which receives the secondary electrons from the projection lens 37, 8, consists of a microchannel plate (MCP) '37, 10, which multiplies the incident secondary electrons, and MC P 37 ⁇ 11 ⁇ Fluorescent screen 37 ⁇ 11 to convert electrons emitted from light to fluorescent light, and Fluorescent screen 37 ⁇ 11 ⁇ Sensor unit 37 ⁇ 7 to convert light emitted from 3 ⁇ 11 to electrical signals With.
  • the sensor unit 37 ⁇ 12 has a high-sensitivity line sensor 37 ⁇ 13 composed of a large number of solid-state imaging devices arranged two-dimensionally, and emits light from the fluorescent screen 37 ⁇ 11. The fluorescence thus obtained is converted into an electric signal by the line sensors 37, 13 and sent to the image processing sections 37, 14, where it is processed in parallel, in multiple stages and at high speed.
  • the image processing unit 37, 14 While moving the sample W and sequentially irradiating and scanning the individual regions on the sample W with a surface beam, the image processing unit 37, 14 outputs data on the XY coordinates and the image of the region including the defect. The evening is accumulated sequentially, and an inspection result file including the coordinates and images of all the inspection target areas including the defect for one sample is generated. In this way, inspection results can be managed collectively. When this inspection result file is read, the defect distribution and the detailed defect list of the sample are displayed on the display of the image processing unit 12.
  • the sensor unit 37 712 is placed in the atmosphere, but the other components are placed in a vacuum-maintained lens barrel.
  • a light guide is provided on an appropriate wall surface of the lens barrel, and light emitted from the fluorescent screen 37 11 is taken out into the atmosphere via the light guide to obtain a line sensor 37 * 1. Relay to 3.
  • Transmittance (%) (electrons that can reach MC P 37-10) / (electrons emitted from sample W) X 100
  • the transmittance depends on the opening area of the NA aperture plate 37/5.
  • Fig. 38 shows the relationship between the transmittance and the opening diameter of the NA aperture plate.
  • at least one of secondary electrons, reflected electrons, and backscattered electrons generated from the sample reaches the electron detection system D in about 200 to 100,000 pixels per pixel.
  • the center of the image formed by magnifying and projecting the image on the detector and the center of the electrostatic lens are a common axis.
  • the electron beam between the polarizer and the sample has the common axis as the optical axis, and the optical axis of the electron beam. Is perpendicular to the sample.
  • a secondary electron image or a backscattered electron image 39.1 is formed on the incident surface of 37′10.
  • MC P 3 7 - 1 0, for example the resolution is 6 m, a gain of 1 0 3 to 1 0 4, execution pixel is 2 1 0 0 X 5 2 0, formed corresponding to the electronic image 3 9 - 1
  • fluorescent light is emitted from the part of the fluorescent screen 37,11 irradiated by the electrons, and the emitted fluorescent light passes through the light guide 39,2 with low distortion (for example, 0.4% distortion).
  • the emitted fluorescent light enters the line sensors 37 13 through the optical relay lenses 39 3.
  • the optical relay lens 39.3 has a magnification of 12, a transmittance of 2.3% and a distortion of 0.4%, and the line sensor 37.13 has 2048 X 5 1 2 Pixels.
  • the optical relay lens 39.3 forms an optical image 39.4 corresponding to the electronic image 39.1 on the incident surface of the line sensor 37.3.
  • F ⁇ P fiber optic plate
  • the magnification is 1x.
  • the electric index per pixel is 500 or more, the MCP may be omitted.
  • the defect inspection system EBI shown in Fig. 37 adjusts the accelerating voltage of the electron gun 37.1 and the sample voltage applied to the sample W and uses the electron detection system 37'9 to make the secondary inspection possible. In the case of electrons, it can operate in either the positive charging mode or the negative charging mode. Further, by adjusting the accelerating voltage of the electron gun 37.1, the sample voltage applied to the sample W, and the conditions of the objective lens, the defect inspection apparatus EBI can be used to adjust the height of the electron beam emitted from the sample W by irradiation of primary electrons. The device can be operated in a backscattered electron imaging mode for detecting backscattered electrons of engineering energy.
  • the backscattered electrons have the same energy as the primary electrons incident on the sample W, and have a higher energy than the secondary electrons, so they are less susceptible to the influence of potential due to charging of the sample surface.
  • an electron impact type detector such as an electron impact type CCD or an electron impact type TDI which outputs an electric signal corresponding to the intensity of secondary electrons or reflected electrons can be used.
  • an electronic impulse detector at the imaging position without using MCP 3710, fluorescent screen 3711, and relay lens 399.3 (or FOP).
  • the defect inspection apparatus EBI can operate in a mode suitable for the inspection target. For example, to detect defects in metal wiring, defects in GC wiring, and defects in the resist pattern, negative charging mode or reflection electron imaging mode may be used, via conduction failure or peer bottom after etching.
  • the backscattered electron imaging mode may be used to detect the residue.
  • FIG. 40 is a diagram for explaining the requirements for operating the defect inspection apparatus EBI of FIG. 37 in the above three modes.
  • the acceleration voltage of the electron gun 37.1 is V A
  • the sample voltage applied to the sample W is V W
  • the irradiation energy of the primary electrons when irradiating the sample is E ⁇ N
  • the secondary electron detection system 37 ⁇ Let the signal energy of the secondary electrons incident on 9 be ⁇ ' ⁇ ⁇ .
  • the electron gun 37 • 1 is configured so that the acceleration voltage V A can be changed, and a variable sample voltage V W is applied to the sample W from an appropriate power supply (not shown).
  • the defect inspection system EBI can reduce the secondary electron field to 1 as shown in (B) of FIG.
  • the operation can be performed in the positive charging mode in a range larger than “1” and in the negative charging mode in a range smaller than “1”.
  • the defect inspection system EBI can distinguish between two types of electrons using the energy difference between secondary electrons and reflected electrons. It can operate in a backscattered electron imaging mode that detects only electrons. VA, Vw , E! To operate the defect inspection system EBI in the reflection electron imaging mode, the negative charging mode and the positive charging mode.
  • V A -4.0 kV ⁇ 1 degree V (preferably soil 0.1 degree, more preferably ⁇ 0.01 degree or less)
  • V w -2-5 3 ⁇ 4: ⁇ 1 degree ⁇ (preferably ⁇ 0.1 degree, more preferably ⁇ 0.01 degree or less)
  • E IN 1.5 ke V ⁇ l degree V (preferably ⁇ 0.1 degree, more preferably soil 0.01 degree or less)
  • V A -7.0 kV ⁇ lV (preferably ⁇ 0. IV, more preferably 0.01 V or less)
  • V w — 4.0 kV ⁇ l V (preferably ⁇ 0. IV, more preferably ⁇ 0.01 V or less)
  • E IN 3.0 ke V ⁇ l V (preferably ⁇ 0. IV, more preferably ⁇ 0.01 V or less)
  • V A -4.5 kV ⁇ l 'V (preferably ⁇ 0. IV, more preferably ⁇ 0.01 V or less)
  • V w -4. O kV IV (preferably ⁇ 0. IV, more preferably ⁇ 0.01 V or less)
  • the potential of the sample at the time of the secondary electrons mode basically V w is the case also negatively charged mode when the positive charging mode, 4 kV ⁇ 1 0 V (preferably 4 k V ⁇ 1 V, More preferably, apply a constant potential of 4 kV ⁇ 0.01 V or less.
  • the accelerating potential V A is set to 4 kV ⁇ 10 V (preferably 4 kV ⁇ 1 V, more preferably 4 kV ⁇ 0.01 V or less)
  • the sample potential V w is set to Acceleration potential Set to an arbitrary potential of 4 kV or less for use.
  • the detector MCP has an optimal energy of 4 keV ⁇ 10 eV + ⁇ (preferably 4 kV ⁇ IV, more preferably 4 kV ⁇ 0.01 V). , So that secondary electrons or reflected electrons serving as signals are set to be incident.
  • the above potential setting is basically a case where the energy of signal electrons passing through the secondary optical system is 4 keV and an electron image of the sample surface is formed on the detector.
  • an optimal electron image can be obtained according to the type of sample.
  • As the negative charging mode it is possible to use a region having a lower electron irradiation energy (for example, 50 eV or less) than the positive charging region in (B) of FIG. 40.
  • the detection amount of secondary electrons and reflected electrons depends on the surface composition, pattern shape, and surface potential of the region to be inspected on the sample W. That is, the secondary electron yield and the amount of reflected electrons differ depending on the surface composition of the inspection object on the sample W, and the secondary electron yield and the amount of reflected electrons are large at sharp points and corners of the pattern as compared with the plane.
  • the surface potential of the object to be inspected on the sample W is high, the amount of secondary electron emission decreases.
  • the intensity of the electron signal obtained from the secondary electrons and the reflected electrons detected by the detection systems 37 and 9 varies depending on the material, the pattern shape, and the surface potential.
  • a Wien filter is an electromagnetic prism optical system unit in which electrodes and magnetic poles are arranged in orthogonal directions, and electric and magnetic fields are orthogonalized.
  • an electromagnetic field When an electromagnetic field is selectively applied, an electron beam incident on the field from one direction is deflected, and an electron beam incident on the opposite direction is a condition where the effects of the electric field and the magnetic field cancel each other out. (Wien condition), which deflects the primary electron beam, irradiates it vertically onto the wafer, and allows the secondary electron beam to travel straight toward the detector.
  • the detailed structure of the electron beam deflecting unit of the EXB unit will be described with reference to FIG. 41 and FIG. 42 showing a vertical cross section taken along line AA of FIG.
  • the field of the electron beam deflecting unit 41.2 of the EXB unit 41.1 crosses the electric field and the magnetic field on a plane perpendicular to the optical axis of the projection optical unit.
  • the electric field is generated by the electrodes 4 1 ⁇ 3 and 4 1 ⁇ 4 having concave curved surfaces.
  • the electric fields generated by the electrodes 41.3 and 41.4 are controlled by the control units 41.5 and 41.6, respectively.
  • a magnetic field is generated by arranging the electromagnetic coils 41, 7 and 41'8 so as to be orthogonal to the electric field generating electrodes 41, 3 and 41.
  • the electrodes 4 1 ⁇ 3 and 4 1 ⁇ 4 for generating an electric field are point objects, but may be concentric circles.
  • a magnetic path is formed by providing a pole piece having a parallel plate shape.
  • the behavior of the electron beam in the longitudinal section along the line A-A is shown in Fig. 42.
  • the irradiated electron beams 42.1 and 42.2 generate an electric field generated by the electrodes 41.3 and 41.4, and an electromagnetic coil 41.7 and 41.8. After being deflected by the magnetic field, it is incident on the sample surface in the vertical direction.
  • the positions and angles of incidence of the irradiation electron beams 42'1, 42.2 on the electron beam deflecting units 41.2 are determined uniquely when the energy of the electrons is determined.
  • the secondary electrons are The beam goes straight through the electron beam deflecting sections 41.2 and enters the above-mentioned image projection optical section.
  • V electron velocity (m / s)
  • B magnetic field (T)
  • e charge (C)
  • E electric field (VZm).
  • the EXB filter 4 1 ⁇ 1 is used to separate the primary electron beam and the secondary electron, but it goes without saying that it is possible to use a magnetic field.
  • the primary electron beam and secondary electrons may be separated only by the electric field. Furthermore, it is natural that it can be used to separate primary electrons and reflected electrons.
  • FIG. 43 is a cross-sectional view taken along a plane perpendicular to the optical axis.
  • 4 pairs of electrodes for generating an electric field 43 1 and 4 3 2 3, 43 3 and 43 4, 43 5 and 43 6, 43 7 and 43 8 are made of non-magnetic conductor It has a substantially cylindrical shape as a whole, and is fixed to the inner surfaces of the electrode supporting cylinders 43 and 9 made of an insulating material by screws (not shown) or the like.
  • the axes of the electrode supporting cylinders 43 and 9 and the axis of the cylinder formed by the electrodes are made to coincide with the optical axes 43 and 10.
  • the magnetic field is generated by arranging two rectangular platinum alloy permanent magnets 43-13 and 43-14 in parallel outside the electrode supporting cylinder 43 ⁇ 9.
  • Protrusions 43 and 16 made of a magnetic material are provided around the surface of the permanent magnets 43 and 13 and 43 and 14 on the optical axis 43 and 10 sides.
  • the projections 43 and 16 compensate for the magnetic lines of force on the optical axis 43 and 10 being distorted outwardly. Its size and shape can be determined by simulation analysis.
  • a yoke or a magnetic circuit 43 15 made of a ferromagnetic material is provided so as to be a cylinder coaxial with the supporting cylinders 43 9.
  • the separator shown in Fig. 43 can be applied not only to the projection electron beam inspection device as shown in Fig. 25-1 but also to the scanning electron beam inspection device.
  • FIG. 1 An example of the scanning electron beam inspection apparatus is shown in FIG.
  • An electron beam is irradiated from the electron gun 25 to the sample 25 toward the sample 25.
  • the primary electron beam passes through 5 ⁇ 25 ⁇ 16, but when incident, it travels straight without applying any deflecting force, is narrowed down by the objective lens 25 ⁇ 17, and is almost focused on the sample 25 ⁇ 15. Incident vertically. Electrons emitted from the sample 25 ⁇ 15 are now deflected by ⁇ ⁇ 25 ⁇ 16 and guided to the detectors 25 ⁇ 18. In this way, by adjusting the electric and magnetic fields of 5 5 25 and 16, one of the primary and secondary charged particle beams goes straight and the other goes straight in any direction. be able to.
  • the deflection force is applied, causing aberrations in the changed direction.
  • the electron guns 25 ⁇ 14 of the primary optical system must be used.
  • a deflector may be further provided between 25 and 16.
  • an X-ray deflector may be further provided between the secondary-system detector 25'18 and the optical detector 825'16.
  • narrowing down with a primary electron beam leads to an increase in resolution, so that an extra deflection force is not applied to the primary electron beam.
  • the primary system electron beam goes straight and the secondary system beam is deflected.
  • the secondary system beam is polarized so as to minimize aberration. It is generally preferred that no directional force be applied. Therefore, as shown in Fig. 25--1, it is common to deflect the primary system beam and make the secondary system beam go straight, but to make the primary system beam go straight, If it is preferable to adopt a configuration for deflecting the beam of the secondary system, such a configuration may be adopted.
  • the setting of the electric field and magnetic field strength of the EXB may be changed for each of the secondary electron mode and the reflected electron mode. It is possible to set the strength of the electric and magnetic fields so that an optimal image is obtained for each mode. When it is not necessary to change the setting, it goes without saying that the strength can be kept constant.
  • both the electric and magnetic fields around the optical axis The uniform area can be increased, and even if the primary electron beam irradiation range is expanded, the aberration of the image passing through the EXB separator can be set to a value that does not cause any problem.
  • projections 43 and 16 are provided around the magnetic pole that forms the magnetic field, and this magnetic pole is placed outside the electric field generating electrode, so that a uniform magnetic field can be generated and the electric field distortion due to the magnetic pole is reduced. it can.
  • the entire EXB separator can be held in a vacuum. Further, by forming the electric field generating electrode and the magnetic circuit for forming the magnetic path in a coaxial cylindrical shape with the optical axis as the central axis, the entire EXB separator can be miniaturized.
  • the secondary electron image formed by the secondary optics is amplified by a microchannel plate (MCP), then hits a phosphor screen and converted into a light image.
  • MCP microchannel plate
  • the principle of MCP is diameter 1 ⁇ 100m, length 2 ⁇ 10, preferably diameter 2 ⁇ 50m, length 02. ⁇ 5mm, more preferably diameter 6 ⁇ 25m, length
  • One capillary acts as an independent secondary electron amplifier, forming a secondary electron amplifier as a whole.
  • the image converted to light by this detector is projected one-to-one onto a TDI-CCD by an F0P system placed in the atmosphere through a vacuum transmission window.
  • the primary electron beam emitted from the electron guns 25.4 is focused by the lens system 25.5.
  • the converged primary electron beam enters the EXB-type deflectors 25 and 6 and is deflected so that it is irradiated perpendicularly to the surface of the wafer W, and is deflected by the objective lens system 25 and 8 onto the surface of the wafer W. It is imaged.
  • the secondary electrons emitted from the wafer by the irradiation of the primary electron beam are accelerated by the objective lens system 25 • 8 and enter the EXB deflectors 2 5 and 6. Through the lens system 25 ⁇ 10 of the detector. Then, the signals are detected by the detectors 25 and 11, and the detection signals are sent to the image processing units 25 and 12. It is assumed that a high voltage of 10 to 20 kV is applied to the objective lens systems 25 and 7, and a wafer is installed.
  • the voltage applied to the electrodes 25, 8 is set to +350 V when the vias 25, 13 are not provided in the AA8, a discharge occurs between the objective lens system 25, 7 and the A18. No defect occurred, and wafer W could be inspected for defects.
  • the secondary electrons are focused by the voltage applied to the electrode 25.8 and further focused by the objective lens 25.7, so that the detection efficiency of the secondary electrons in the detector 25.111 is improved. did. Therefore, the processing as an aerial defect device became faster, and the inspection could be performed with high throughput.
  • the power supply section in this device is mainly composed of a DC high voltage precision power supply with several hundreds of output channels for electrode control, and the supply voltage differs depending on the role and positional relationship of the electrodes. Due to accuracy requirements, stability is required to be less than a few lOO ppm, preferably less than 20 ppm, and more preferably several ppm, relative to the set value. In order to minimize temperature fluctuations, noise, and ripples, circuit schemes, component selection, and mounting have been devised.
  • the types of power supplies other than the electrodes include a constant current source for heating the heater and a high-voltage high-speed amplifier for deflecting the beam two-dimensionally in order to confirm the centering of the beam near the center of the aperture electrode when the primary system beam is centered.
  • a constant current source for heating the heater a constant current source for the electromagnetic coil for the EXB, which is an energy filter, a retarding power supply for applying bias to the wafer, and a chuck for attracting the wafer to the electrostatic chuck.
  • Fig. 45 shows the overall configuration of the power supply unit.
  • the power supply rack 4 5 2 and the high-speed high-voltage amplifier 4 5 ⁇ 3, 4 5 4 and 4 5 5 Power is supplied.
  • High-speed high-voltage amplifiers 4 5-3-4 5 ⁇ 5 are broadband amplifiers, and the frequency of the signal to be handled is also high (DC-MHz), so it is necessary to suppress deterioration of characteristics and increase in power consumption due to cable capacitance. Install it near the electrode to prevent an increase in cable capacitance.
  • a correction signal is output from the £ 0 correction 45, 6 and the octapole converters 45, 7 convert each of the octupole electrodes into a voltage with a phase and magnitude matched to the vector value, resulting in high speed. After being input to the high voltage amplifiers 4 5 and 4 and amplified, it is supplied to the electrodes included in the lens barrel. '
  • the AP image acquisition block 45.8 generates a sawtooth wave from the AP image acquisition block 45.8 to confirm beam centering near the center of the aperture electrode when the primary system beam is centered, and mirrors it with a high-voltage high-speed amplifier.
  • the magnitude of the beam current received by the aperture electrode is determined by applying a voltage to the deflection electrode of the cylinder 45.1 and deflecting the beam two-dimensionally. By associating and displaying images, it has a role as an auxiliary function to adjust the beam position to the mechanical center position.
  • the power supply rack that realizes the function of applying the voltage to the focus adjustment electrodes included in the lens barrel unit 41.5 through the high-speed high-voltage amplifier 4 5 2 houses a DC high-voltage precision power supply consisting of power supply groups 1 to 4 and having about several hundred output channels for electrode control.
  • the power supply racks 45, 2 are equipped with a control communication unit 45, 10 and a communication power supply 45, 11 and have electrical insulation to ensure safety and prevent the occurrence of ground loops.
  • Make up. UPS 4.5.14 prevents equipment damage, abnormal discharge, and danger to the human body due to runaway of the system when a control failure occurs due to a power failure or unexpected power cut.
  • the power supply 45, 15 is a large power receiving unit, including interlock, current limiting, etc., and is configured to enable safe coordination of the entire defect inspection device.
  • the communication power supply 45.11 is connected to the data bus 45.16 and the address bus 45.17 of the control CPU unit 45.13, and can perform real-time processing.
  • Figure 46 shows an example of the circuit configuration of a static high-voltage unipolar power supply (for lenses) for a circuit system for generating a static DC voltage of several hundred to several tens of kilovolts.
  • the signal source 4 6 1 generates an AC voltage with a frequency at which the magnetic permeability of the transformer 4 6 2 becomes optimal, passes through the multiplier 4 6 3 and then to the drive circuit 4 6 4
  • the transformer 46-2 generates a voltage with an amplitude of tens to hundreds of times.
  • Cockcraft Wolton [Roads II and III are circuits that boost voltage while rectifying.
  • the desired DC voltage is obtained by the combination of the transformer 46.2 and the cock craft Walton circuit 46.5, and further smoothing is performed by the low-pass filter 46.6 to reduce ripple and noise.
  • the high voltage output voltage is divided by the resistance ratio of the output voltage detection resistors 46, 7 and 46-8, so that it falls within the voltage range that can be handled by ordinary electronic circuits. Since the stability of this resistor determines most of the voltage accuracy, use elements with excellent temperature stability, long-term fluctuation, etc., and since the voltage division ratio is especially important, form a thin film on the same insulating substrate. Or take measures such as bringing the resistance elements close to each other so that the temperature does not differ.
  • the divided voltage is compared with the value of the reference voltage generating D / A comparator 46/10 by the operational amplifiers 46 and 9, and if there is an error, the operational amplifiers 46 and 9 Output increases or decreases
  • the AC voltage having an amplitude corresponding to the value is output from the multiplier 46 ⁇ 3 to form a negative feedback.
  • the outputs of the operational amplifiers 46 and 9 are either unipolar, or the response quadrants of the multipliers 46 and 3 are limited to prevent saturation.
  • the operational amplifiers 46 and 9 require a very large amplification (120 dB or more), and since they are mostly used in open loop, low-noise operational amplifiers are used.
  • the reference voltage generation D / A converter 46 ⁇ 10 must have the same or higher stability as the output voltage detection resistors 46 ⁇ 7 and 46-8.
  • a reference IC (not shown) that combines a constant-voltage function using a heater with a constant-voltage diode using a band gap is often used, but a Peltier element is used instead of the heater.
  • a single or multiple Peltier elements may be used to keep the output voltage detection resistors 46 ⁇ 7 and 46 ⁇ 8 at a constant temperature.
  • FIG. 47 shows an example of a circuit configuration of a static bipolar power supply (for an aligner or the like).
  • the basic idea is to generate V5 and V6 with the same power supply as the circuit in Fig. 46, and use this voltage to send the command value from 47'1 to the linear amplifier consisting of 47.1 to 476.6.
  • the operational amplifier 47 ⁇ 2 operates around ⁇ 12 V, so it is not shown in the figure.
  • an amplification circuit using a discrete element is required between 47 ⁇ 2 and 47 ⁇ 5 and 47 ⁇ 6. It amplifies V and converts it from hundreds to several V. Notes on the characteristics required for 47 ⁇ 1 to 47 ⁇ 4 are the same as those described in the circuit of Fig. 46.
  • Fig. 48 to Fig. 50 show examples of special power supply circuits.
  • Fig. 48 shows examples of circuits for heating and guns.
  • ⁇ 48 ⁇ formed from 4.
  • the voltage source 48 • 1, the resistor 48 • 3, and the power source 48 • 4 are superimposed on the bias voltage source 48 • 2.
  • the heater power supply 48.4 is composed of a constant current source, and the value of the actual flowing current is detected by the resistor 48.3 and is not shown. And send the value to the control communication unit 45 ⁇ 10.
  • the value from the control communication unit 45, 10 is inversely converted according to the same principle, and the value is set in the actual power setting unit ing.
  • Fig. 49 shows an example of a power supply circuit for MC II.
  • the terminal MC ⁇ 1 measures the flow of current into the MCP from several ⁇ ⁇ , so it is necessary to use a strict shield structure to prevent leakage current and noise.
  • the terminal MCP 2 includes the current measurement after amplification by the MCP, and the amplification degree can be calculated from the ratio of the current flowing through the resistors 49 ⁇ 6 and 49 ⁇ 7.
  • Resistors 49 and 5 measure the current on the phosphor screen. The measurement and setting in the superimposed area are the same as those in the heater and gun. Fig.
  • a constant current source for coil formed by 50 ⁇ 1 and 50 ⁇ 2, and generally outputs a current of several hundred mA.
  • the stability of the magnetic field as an energy filter is important, and a stability on the order of several ppm is required.
  • FIG. 51 shows an example of a power supply circuit for a re-delivery / chuck, which is formed by 5 1 1 to 5 1 1 9. Pierce power supply (for re-deployment) This power supply has the same power supply as the super power supply (for aligner, etc.) in Fig. 46 superimposed on 5 11 ⁇ 10. The measurement and setting in the superimposed part are the same as those in the heater and gun (Fig. 48).
  • FIG. 52 shows an example of the hardware configuration of the EO correction deflection electrode, which is composed of 52 1 to 52 7.
  • the correction signal is input to the X-axis ⁇ ⁇ 0 correction 5 2 ⁇ 1 and the ⁇ ⁇ -axis ⁇ 0 correction 52.2 to the octapole converters 52 24, and the converted output is sent to the high-speed amplifiers 52 ⁇ 5.
  • ⁇ correction 5 2 ⁇ 3 is an input for performing fine correction such as mirror bending, and is added to the X signal inside 52 ⁇ 4.
  • Fig. 53 shows an example of the circuit configuration of the octapole conversion unit.
  • the signals 53, 2, 5 3 Vector calculation is performed from 3, 5, 3, 4, 5 and 3 to generate the same voltage.
  • the calculation example in this case uses the values described in 5 3 • 6, 53 7, 53 8 and 53 9. This can be realized by an analog resistor network, or by reading a table using ROM when 53.63 to 53.9 is a digital signal.
  • 'Fig. 54 shows an example of a high-speed high-voltage amplifier, composed of 54 ⁇ 1 to 54 ⁇ 11.
  • (B) shows a waveform example when a rectangular wave is output.
  • an amplifier is configured using a PA-85A power operational amplifier manufactured by APEX of the United States, and a band covering a mega band, an output range of about ⁇ 200 V, and a power range of less than about 100 V / ⁇ S
  • the slew rate was realized, and the dynamic characteristics required for a high-speed high-voltage amplifier were realized.
  • the precharge units 13 and 9 are arranged in the working chambers 13 and 16 adjacent to the column 13 and 38 of the electro-optical device 13 and 8. Is established.
  • the substrate to be inspected that is, the wafer is irradiated with an electron beam to inspect a device pattern or the like formed on the wafer surface.
  • Information such as secondary electrons generated by the irradiation of rays is used as information on the surface of the wafer.
  • the surface of the wafer may be charged (charged up).
  • a strongly charged portion or a weakly charged portion may occur on the wafer surface. ⁇ If the charge amount on the wafer surface is uneven, the secondary electron information will also be uneven, I cannot get information.
  • the precharge units 13 ⁇ 9 having the charged particle irradiation units 13 ⁇ 39 are provided.
  • the charged particles are irradiated from the charged particle irradiating sections 13 and 39 of this pleated unit 13 and 9 to eliminate charging unevenness. Eliminate unevenness.
  • the charge-up on the wafer surface forms in advance an image of the wafer surface that is symmetrical to be detected, detects the image by evaluating the image, and operates the precharge units 13 and 9 based on the detection.
  • the primary electron beam may be irradiated with a defocused beam, that is, a beam shape is blurred.
  • FIG. 55 shows a main part of the first embodiment of the precharge unit 13 9.
  • the charged particles 5 5 ⁇ 1 are irradiated from the charged particle irradiation source 5 5 ⁇ 2 to the sample substrate W while being accelerated at the voltage set by the bias power supply 5 5 ⁇ 3.
  • the areas 55 and 4 to be inspected together with the areas 55 and 5 indicate the places where the charged particles have been irradiated in the pretreatment, and the areas 55 and 6 indicate the places where the charged particles have been irradiated.
  • the sample substrate W is scanned in the direction of the arrow in the figure, but when performing reciprocal scanning, as shown by the dotted line in the figure, the other charged particle beam sources 55, 7 are connected to the primary electron beam source.
  • the charged particle beam sources 5 5 • 2, 5 5 ⁇ 7 may be turned on and off alternately in synchronization with the scanning direction of the sample substrate W.
  • the energy of the charged particles is too high, the secondary electron yield from the insulating part of the sample substrate W will exceed 1, causing the surface to become positively charged and secondary electrons being generated even below that. And the irradiation effect is reduced, so the generation of secondary electrons is drastically reduced.
  • It is effective to set the landing voltage to 100 eV or less (ideally, 0 eV or more and 30 eV or less). It is a target.
  • FIG. 56 shows a second embodiment of the precharge units 13.9.
  • This figure shows an irradiation source of the type that irradiates an electron beam 56.1 as a charged particle beam.
  • the irradiation source consists of thermal filaments 56,2, extraction electrodes 56,3, shield case 56,4, filament sources 56,5, and an electronic power supply 56,6.
  • the extraction electrode 56'3 has a thickness of 0.1111111, a slit of 0.2mm in width and 1.0mm in length, and a filament of 0.1mm in diameter (thermionic emission source).
  • the positional relationship with 562 is in the form of a three-electrode electron gun.
  • the shield case 56, 4 has a slit of width 1 mm and length 2 mm, and the distance between the extraction electrodes 56, 3 is lmm, and the slit centers of both are matched It is assembled like.
  • the filament is made of tungsten (W), heated at 2 A, and an electron current of several A is obtained at an extraction voltage of 2.0 V and a bias voltage of ⁇ 30 V. '
  • the material of the filament is a high melting point metal such as Ta, Ir, Re, etc., or Triacoat W, an oxide cathode, etc.
  • the filament current changes depending on the material, wire diameter and length.
  • other types of electron guns can be used as long as the electron beam irradiation area, electron current, and energy can be set to appropriate values.
  • FIG. 57 shows a third embodiment of the precharge units 13 and 9.
  • An irradiation source that irradiates ions 57.1 as a charged particle beam is shown.
  • the irradiation source consists of a filament 57 72, a filament power supply 57 73, a discharge power supply 57 74, and an anode shield case 57 75.
  • the anode 57 76 and the shield 'case 5 A slit of the same size of 1 mm x 2 mm is opened in 7 ⁇ 5 and assembled so that the centers of both slits coincide at 1 mm intervals.
  • Ar gas 57 ⁇ 8 is introduced into the shield case 57 ⁇ 5 through the pipe 57 ⁇ 7 to the extent of I Pa, and the device is operated in an arc discharge type using a hot filament 57 ⁇ 2.
  • the bias voltage is set to a positive value.
  • FIG. 58 shows a case of the plasma irradiation system according to the fourth embodiment of the precharge units 13 and 9.
  • the structure is the same as in FIG.
  • the operation is performed by the arc discharge type using the hot filament 577.2, but by setting the bias potential to 0 V, the plasma 588.1 leaks out of the slit due to the gas pressure, and is applied to the sample substrate. Irradiated.
  • both positive and negative surface potentials of the sample substrate surface can be made closer to 0 because of the group of particles having both positive and negative charges compared to other methods.
  • the charged particle irradiator located close to the sample substrate W has the structure shown in Figs. 55 to 58, and has different surface structures of the oxide film and nitride film of the sample substrate W and different processes.
  • Each sample substrate is irradiated with the charge electron 55 1/1 under appropriate conditions so that the surface potential becomes zero for each sample substrate. Later, that is, after averaging the potential of the surface of the sample substrate W or neutralizing it with charged particles, an image is formed with electron beams 55, 8 and 55, 9 to detect defects. I have.
  • the measurement immediately before the measurement by irradiation of the charged particles does not cause or causes slight distortion in the measurement image due to the charging, so that the defect can be correctly measured.
  • the stage is scanned by irradiating a large amount of current (for example, 1 A to 20 A, preferably ⁇ ⁇ to 10 ⁇ , more preferably 1 ⁇ to 5 A), which has been a problem in the past. Since secondary electrons are also emitted in large quantities from the sample or wafer, the SZN ratio is good (for example, 2 or more and 100 or less, preferably 5 or more and 100 or less, More preferably, a detection signal of 10 or more and 100 or less is obtained, and the reliability of defect detection is improved.
  • FIG. 59 schematically shows an imaging apparatus having a precharge unit according to the present embodiment.
  • the imaging device 591/1 includes a primary optical system 592 /, a secondary optical system 59/3, a detection system 59/4, and a charge / discharge device for equalizing or reducing the charge charged on the imaging target.
  • the primary optical system 59, 2 is an optical system that irradiates the surface of the object to be inspected with the electron beam (hereinafter referred to as W).
  • the electron guns 59, 6 that emit electron beams and the electron guns 59, 6 An electrostatic lens 59.8 to deflect the primary electron beam 5 9 ⁇ 7, and a Wien filter or XB deflector 5 9 ⁇ to deflect the primary electron beam so that its optical axis is perpendicular to the target surface. 9 and an electrostatic lens 59.10 that deflects the electron beam, which are emitted in order from the electron gun 59.6 as shown in FIG. 59 and from the electron gun.
  • the optical axes of the primary electron beams 599 and 7 are inclined with respect to the line perpendicular to the surface (sample surface) of the target W.
  • the EXB deflector 59 * 9 is composed of an electrode 59.11 and an electromagnetic stone 59.12.
  • the secondary optical system 59/3 is provided with an electrostatic lens 599-13 arranged above the primary optical system EXB type deflector 49.9.
  • the detection system 59 ⁇ 4 is a combination of a scintillator that converts secondary electrons 59.14 into an optical signal and a microchannel plate (MCP) 59 ⁇ 15 and converts the optical signal into an electric signal
  • MCP microchannel plate
  • a CCD 59.16 and an image processing device 59.17 are provided.
  • the structure and function of each component of the primary optical system 59, 2 and the secondary optical system 59, 3 and the detection system 59, 4 are the same as those of the conventional ones, so detailed description of them is omitted. I do.
  • the charge control means 59,5 for equalizing or reducing the electric charge charged on the object is, in this embodiment, the object W and the primary optical system 59,2 which is closest to the object W.
  • the charge detectors 59, 23 have high impedance.
  • the charge reduction means 59,5 further includes a dalide 59,24 arranged between the electron gun 59,6 of the primary optical system 59 * 2 and the electrostatic lens 59,8, A voltage generator 59.25 electrically connected to the grid 59.24.
  • the timing generator 59.26 is a CCD 59.16 of the detection system 59.4, an image processing device 59.17, a switching switch 59.19 of the charge reduction means 59.19, and a voltage. Operation timing is commanded to the generators 59.21 and the charge detectors 59.23 and 59.25.
  • the primary electron beam 59,7 emitted from the electron gun 59,6 passes through the electrostatic lens 59,8 of the primary optical system 59,2, and reaches the deflector 59,9. ⁇ Perpendicular to the surface of target W by deflectors 5 9 and 9 It is deflected so as to be straight, and then irradiates the surface (target surface) WF of the target W through the electrostatic lens 59/10.
  • the secondary electrons 59.14 are emitted from the surface WF of the target W depending on the properties of the target.
  • the secondary electrons 59/14 are converted into a combination of the scintillator and MCP of the detection system 59/4 via the electrostatic lens 59.13 of the secondary optical system 59/3.
  • the light is then converted to light by the scintillator, the light is photoelectrically converted by the CCD 59.16, and the converted electric signal causes the image processing device 59.17 to produce a two-dimensional image (gradation). Is formed).
  • the primary electron beam irradiated to the object is scanned by a known deflection means (not shown) by the primary electron beam, or a target supporting the object.
  • the primary electron beam 59, 7 applied to the target W generates electric charges near the surface of the target W and becomes positively charged.
  • the trajectories of the secondary electrons 59.14 generated from the surface WF of the target W change according to the state of the charges due to the Coulomb force with the charges.
  • distortion occurs in the images formed in the image processing devices 59 and 17. Since the charging of the target surface WF changes depending on the properties of the target W, when a wafer is used as the target, the same wafer is not necessarily the same and changes with time. Therefore, erroneous detection may occur when comparing patterns at two places on the wafer.
  • the charge detector 5 having a high impedance is utilized by utilizing the idle time after the CCD 59 'of the detection system 59 4 has captured an image for one scan.
  • the charge amount of the electrodes 59 ⁇ 18 arranged near the target W is measured.
  • a voltage for irradiating electrons according to the measured charge amount is generated by a voltage generator 59.21, and after the measurement, the switching switches 59 and 19 are operated to drive the electrodes 59 and 18 to the voltage generator 5 9 ⁇ 21 and the voltage generated by the voltage generator is applied to the electrodes 59 ⁇ 18 to cancel the charged charges.
  • no distortion occurs in the images formed in the image processing devices 59 and 17.
  • the Wehnelt electrode or Darlid 59, 24 stops the electron beam emitted from the electron guns 59, 6 during the idle time, measures the charge amount and cancels the charge. Has a function to execute the program stably.
  • the timing of the above operation is instructed by the timing generator 59-26, and is, for example, the timing as shown in the timing chart of FIG.
  • the charge amount differs depending on the position when using a battery as a target. Therefore, in the scanning direction of the CCD, the electrodes 59, 18, the switching switches 59, 19, the voltage generators 59, 21 It is also possible to provide a plurality of sets of charge detectors 59, 23 and subdivide to perform more precise control.
  • Image distortion caused by electrification can be reduced irrespective of the properties of the inspection object.
  • FIG. 61 shows a schematic configuration of a defect inspection apparatus provided with a precharge unit according to another embodiment of the present invention.
  • This defect inspection system consists of an electron gun 59, 6 that emits a primary electron beam, an electrostatic lens 59, 8 that deflects and shapes the emitted primary electron beam, and a sample that can be evacuated to vacuum by a pump (not shown).
  • An electrostatic lens of a projection system for projecting a secondary electron beam and / or a reflected electron beam emitted from W at a predetermined magnification to form an image, and forming an image.
  • Detector 6 1.3 to detect as secondary electron image of c and control of the whole device and detect wafer W defect based on secondary electron image detected by detector 6 1 and 3 And a control unit 6 1 and 4 that execute the processing to be performed.
  • the secondary electron image includes not only secondary electrons but also contributions from reflected electrons, but is referred to as a secondary electron image here.
  • UV lamps 6 1 and 5 that emit light in a wavelength range including ultraviolet light are installed above the 18 W.
  • the glass surface of the UV lamps 6 1 and 5 is coated with a photoelectron emitting material 6 1 and 6 that emits photoelectrons e due to the photoelectric effect by light rays emitted from the UV lamps 6 1 and 5.
  • the UV lamps 6 1 and 5 can be selected from any light sources that emit light rays in a wavelength range having a capability of emitting photoelectrons from the photoelectron emitting material 6 1 ′ 6.
  • the photoelectron emission material 6 1 ⁇ 6 can be selected from any metal as long as it has the ability to emit photoelectrons.
  • Au is preferable.
  • the above-mentioned photoelectrons have different energy from the primary electron beam, that is, lower energy than the primary electron beam.
  • low energy means on the order of several eV to several tens eV, preferably 0 to 10 eV.
  • the present invention can use any means for generating such low energy electrons. For example, this can be achieved by providing a low energy electron gun (not shown) instead of the UV lamps 6 1 and 5.
  • the defect inspection apparatus of this embodiment employs power supplies 61 and 7.
  • the negative electrodes of the power supplies 61 and 7 are connected to the photoelectron emitting materials 61 and 6, and the positive electrodes are connected to the stages 61 and 2. Accordingly, the photoelectron emitting material 6 1 ⁇ 6 is in a state where a negative voltage is applied to the stage 6 1 ⁇ 2, that is, the voltage of the ⁇ A watt.
  • the energy of the low energy electron gun can be controlled by the predetermined voltage.
  • the detectors 61 and 3 may have any configuration as long as the secondary electron image formed by the electrostatic lenses 59 and 13 can be converted into a post-processable signal.
  • the detectors 61 and 3 include a microphone port channel plate (MCP) 62 1, a phosphor screen 62 2 and a relay optical system 62 2 3 and an imaging sensor 62, 4 comprising a number of CCD elements.
  • MCP microphone port channel plate
  • the microchannel plate 6 2 ⁇ 1 has a large number of channels in the plate, and the secondary or reflected electrons imaged by the electrostatic lens 59 ⁇ 13 pass while passing through the channel. Generate more electrons. That is, secondary electrons are amplified.
  • the phosphor screen 62 2 converts the secondary electrons into light by emitting fluorescence by the amplified secondary electrons.
  • the relay lens 62 3 guides this fluorescence to the CCD imaging sensor 62 4, and the CCD imaging sensor 62 4 converts the intensity distribution of secondary electrons on the wafer W surface into electrical signals for each element, that is, a digital signal.
  • the data is converted into image data and output to the control units 6 1 and 4.
  • the control units 6 1 and 4 can be composed of general-purpose personal computers 6 1 and 8 as illustrated in FIG.
  • the computers 6 1 and 8 are composed of a control unit 6 1 and 9 for executing various control and arithmetic processing according to a predetermined program, and a CR 6 1 and 10 for displaying the processing results of the main unit 6 1 and 9.
  • a keyboard for inputting commands by the operator and an input unit 611 such as a mouse.
  • the control unit 61.4 is composed of a hard disk dedicated to the defect inspection device or a workstation. May be.
  • the control unit main bodies 61 and 9 are composed of various control boards such as a CPU, a RAM, a ROM, a hard disk, and a video board (not shown).
  • a memory such as a RAM or a hard disk
  • a secondary electron image storage area for storing electric signals received from the detectors 6 1 and 3, that is, digital image data of the secondary electron image of ⁇ W, is allocated.
  • secondary electronic image data is read out from the storage areas 61 and 12, and based on the image data, the secondary electronic image data is read according to a predetermined algorithm. Defect detection programs 6 1 and 13 for automatically detecting defects are stored.
  • This defect detection program 61, 13 compares, for example, the inspection tooth of AW W with another inspection location, and reports a pattern that is different from the pattern of most other locations to the operator as a defect. It has a function to do. Further, the secondary electron images 61 and 14 may be displayed on the display unit of the CRT 61-10, and the defect of the wafer W may be detected visually by the operator.
  • the wafer W to be inspected is set on the stage 61. 2 (step 63. 1). This may be a form in which a large number of wafers 18 stored in a loader (not shown) are automatically set on the stage 6.1.2 for each sheet.
  • a primary electron beam is emitted from the electron guns 59, 6 and irradiates a predetermined inspection area on the set wafer surface through the electrostatic lenses 59, 8 (step 63). ⁇ 2).
  • Secondary electrons and / or reflected electrons are emitted from the wafer W irradiated with the primary electron beam, and as a result, the wafer W is charged up to a positive potential. Then, an image of the generated secondary electron beam is formed on the detectors 6 1 and 3 at a predetermined magnification by the electrostatic lenses 5 9 and 13 of the magnifying projection system (step 63 3 and 3). With a negative voltage applied to stage 6 1 ⁇ 2 on 6 5 • 1, UV lamps 6 1 ⁇ 5 are emitted (step 6 3 ⁇ 4).
  • the emitted ultraviolet light of frequency V emits photoelectrons from the photoelectron emission material 6 5 ⁇ 1 by its energy quantum h V (h is Planck's constant)
  • These photoelectrons e— are negatively charged photoelectron emission material 6 1 ⁇ Irradiates from 6 to the positively charged wafer W It electrically neutralizes the wafer W.
  • the secondary electron beam is imaged on the detectors 6 1 and 3 without being substantially affected by the positive potential of the wafer W. .
  • the detectors 6 1 and 3 detect the image of the secondary electron beam emitted from the wafer W that has been electrically neutralized in this way (reduced image damage) and convert it to digital image data. Yes (Steps 6 3 and 5).
  • the control units 61 and 4 execute the defect detection processing of the wafer W based on the detected image data according to the defect detection program 61 and 13 (Step 63 and 6).
  • the control units 6 1 and 4 extract the defective portion by comparing the detected images of the detected dies in the case of the A8 with the same die as described above. I do.
  • the defect portion may be automatically detected by comparing and collating the reference secondary electron image of the A-Eight which has no defect stored in the memory in advance with the actually detected secondary electron beam image.
  • the detected image is displayed on the CRT 61
  • a mark may be displayed on a portion determined to be a defective portion, whereby the operator can finally confirm and evaluate whether the wafer W actually has a defect.
  • a specific example of this defect detection method will be described later.
  • Steps 6 3 and 8 As a warning method, for example, a message notifying the existence of a defect is displayed on the display of the CRT 61.10, and at the same time, an enlarged image 61.14 of the pattern having the defect is displayed. Is also good. Such a defective wafer may be immediately taken out of the sample chamber 6 1 ⁇ 1 and stored in a storage location different from that of the defective wafer (step 6 3 ⁇ 9).
  • step 63.10 If it is determined that there is no defect in the wafer W as a result of the defect detection processing in steps 6 and 6 (negative determination in steps 6 3 and 7), the area to be inspected for the wafer W that is currently being inspected It is determined whether or not still remains (step 63.10). If the area to be inspected remains (step 631/100 affirmative determination), the stage 6 1 ⁇ 2 is driven so that another area to be inspected enters the irradiation area of the primary electron beam. Move the W (Step 6 3 ⁇ 11). Thereafter, the flow returns to step 63.2 to repeat the same processing for the other inspection area.
  • the wafer W currently being inspected is Then, it is determined whether or not the wafer is the last one, that is, whether or not an uninspected wafer remains in the loader (not shown) (step 6312). If it is not the final wafer (step 6 3 ⁇ 1 2 negative judgment), the inspected wafer is stored in a predetermined storage location, and a new untested wafer is replaced with the stage 6 1 ⁇ 2 (Steps 6 3 and 13). After that, the process returns to step 63.2 to repeat the same processing for the relevant A8. If it is the last password (Step 63/12, affirmative determination), the inspected password is stored in the specified storage location, and the entire process ends.
  • the identification number of each cassette and the identification number of eA8, for example, the lot number, are also stored and managed.
  • UV photoelectron irradiation (steps 6 3 and 4) can be performed at any timing if secondary electron image detection (steps 6 3 and 5) can be performed in a state where positive charge-up of the wafer W is avoided and image disturbance is reduced. It can be done within any period. While the process of FIG. 6'3 is continued, the UV lamps 6 1 and 5 may be always turned on, but the light emission and the light extinguishing may be repeated with a fixed period for each wafer. In the latter case, in addition to the timing shown in FIG. 63, the timing of light emission, before the execution of the secondary electron beam imaging (steps 63, 3), and further, the irradiation of the primary electron beam (step 63, 2) ) May be started before execution.
  • UV photoelectrons may be stopped.
  • FIG. 64 shows the image 641/1 of the first detected die and the image 642/2 of the second die detected second. If the third detected image of another die is judged to be the same as or similar to the first image 641, the portion 643 of the second die IS image 644-2 is defective. And a defective portion can be detected.
  • FIG. 64 (b) shows an example of measuring the line width of a pattern formed on a wafer. ⁇
  • the actual secondary electron intensity signal when the actual pattern 64,4 on the wafer is scanned in the direction of 64,5 is 64,6.
  • the width 6 4 ⁇ 8 of the portion that continuously exceeds the shawl level 6 4 ⁇ 7 can be measured as the line width of the pattern 6 4-4. If the line width measured in this way is not within the predetermined range, it can be determined that the pattern has a defect.
  • FIG. 64 (c) shows an example of measuring the potential contrast of the pattern formed on the wafer.
  • axially symmetric electrodes 64 9 are provided above the wafer W, and for example, a potential of 110 V is applied to the wafer potential of 0 V.
  • the equipotential surface of 12 V has a shape as shown by 64 ⁇ 10.
  • the patterns 641, 11 and 641, 12 formed on the wafer have a potential of -4 V and 0 V, respectively.
  • the secondary electrons emitted from the pattern 641/11 have an upward velocity corresponding to the kinetic energy of 2 eV at the 12V isoelectric surface 641/10, so this potential It crosses the barrier 64 ⁇ 10 and escapes from the electrodes 64 ⁇ 9 as shown in orbit 64-13 and is detected by the detectors 61 ⁇ 3.
  • the secondary electrons emitted from the pattern 6.4.12 cannot be detected through the potential barrier of 12 V and are driven back to the wafer surface as shown in orbits 64 and 14. Not done. Therefore, the detected image of the pattern 64 ⁇ 11 is bright, and the detected image of the pattern 64 ⁇ 12 is dark. Thus, a potential contrast is obtained. If the brightness and the potential of the detected image are calibrated in advance, the potential of the pattern can be measured from the detected image. Then, the defective portion of the pattern can be evaluated from this potential distribution.
  • the precharging unit applies electric charge to charge the floating part, which is electrically conductive and grounded A potential difference with the portion can be generated.
  • the potential contrast data in this state can be acquired and analyzed to find the floating point. It can be used as a defect finding method when there is a single defect.
  • Potential contrast The data may be converted into a potential contrast image and compared with a potential contrast image of a pattern of another die, or may be compared with a potential contrast image obtained from design data such as CAD.
  • FIG. 65 shows a schematic configuration of a defect inspection apparatus provided with a precharge unit according to another embodiment of the present invention.
  • the photoelectron emitting material is not coated on the glass surfaces of the UV lamps 6 1 and 5.
  • the photoemission plate 65 1 is placed above the wafer W in the sample chamber 61 1, and the UV lamps 61 5 It is placed at the position where it is irradiated.
  • the negative electrodes of the power supplies 71 and 7 are connected to the photoelectron emission plates 65 1, and the positive electrodes of the power supplies are connected to the stages 61 2.
  • This photoemission plate 65. 1 may be made of a metal such as Au, or may be made as a plate coated with such a metal.
  • FIG. 66 shows a schematic configuration of a defect inspection apparatus including a precharge unit according to still another embodiment of the present invention.
  • the same components as those in the embodiment of FIGS. 61 and 65 are denoted by the same reference numerals, and detailed description thereof will be omitted.
  • a transparent window member 661 is provided on the side wall of the sample chamber 611, and the ultraviolet rays emitted from the UV lamps 61-5 are used for the window.
  • the UV lamps 6 1-5 are connected to the sample chamber 6 1 through the material 6 6 1 so that the photoelectron emission plate 6 5 1 arranged above the wafer W in the sample chamber 6 1 ⁇ Located outside of 2
  • FIG. a transparent window member 661 is provided on the side wall of the sample chamber 611, and the ultraviolet rays emitted from the UV lamps 61-5 are used for the window.
  • the UV lamps 6 1-5 are connected to the sample chamber 6 1 through the material 6 6 1 so that the photoelectron emission plate 6 5 1 arranged above the wa
  • the UV lamps 6 1 and 5 are arranged outside the sample chamber 6 1 and 1 to be evacuated, it is not necessary to consider the vacuum resistance performance of the UV lamps 6 1 and 5. Compared with the embodiment of FIGS. 61 and 65, the options of the UV lamps 6 1 and 5 can be expanded.
  • FIG. 66 Other operations of the embodiment of FIG. 66 are the same as those of the embodiments of FIGS. 61 and 65. Also in the embodiment of FIG. 66, it is possible to irradiate photoelectrons onto the surface of the wafer W in a timely manner, so that the same effects as those in the embodiments of FIGS. 61 and 65 are obtained.
  • the defect inspection apparatus provided with the precharge unit according to the present invention is not limited to the above example, but can be arbitrarily and appropriately changed within the scope of the present invention. It is possible.
  • the semiconductor wafer A is described as an example of the sample to be inspected, the sample to be inspected of the present invention is not limited to this, and any one that can detect a defect by an electron beam can be selected.
  • a mask on which a wafer exposure pattern is formed A square transmission type mask (stencil mask) or the like can be inspected.
  • the present invention can be used not only for semiconductor processes but also for inspections or evaluations related to micromachines and liquid crystals.
  • the electron beam apparatus for defect inspection the configurations shown in FIGS. 61 to 66 are shown, but the electron optical system and the like can be arbitrarily and suitably changed.
  • the electron beam irradiating means (59 ⁇ 6, 59 ⁇ 8) of the illustrated defect inspection apparatus is of a type in which primary electron beams are incident on the surface of the wafer W from obliquely above.
  • a primary electron beam deflecting means may be provided below the electric lenses 59, 13 so that the primary electron beam is incident perpendicularly on the surface of the wafer W.
  • a deflecting means for example, there is a winn filter for deflecting a primary electron beam by a field EXB in which an electric field and a magnetic field are orthogonal to each other.
  • the flow of the flowchart in FIG. 63 is not limited to this. For example, for samples judged to be defective in steps 63 and 7, defect inspection of other areas was not performed.However, the processing flow was changed to cover all areas and detect defects. Is also good. Also, if the irradiation area of the primary electron beam can be enlarged and the entire inspection area of the sample can be covered by one irradiation, steps 631 and 10 and steps 6 and 11 can be omitted.
  • Steps 63 and 9 if it is determined that the wafer has a defect in Steps 63 and 7, the operator is immediately alerted to the presence of the defect in Steps 63 and 8 and post-processing (Steps 63 and 9). However, after the patch information has been recorded and the patch processing has been completed (after the affirmative determination in step 63.12), the processing flow has been changed so that the defect information for the defective page is reported. May be.
  • the defect inspection apparatus shown in FIGS. 61 to 66 is used in the device manufacturing method, the defect inspection of the sample is performed using the above-described defect inspection apparatus, so that the product yield is increased. The excellent effect of improving the quality and preventing the shipment of defective products can be obtained.
  • the electron energy for precharge is mainly low energy of 100 eV or less and the sample surface is softly irradiated.
  • the electron energy for precharge is mainly low energy of 100 eV or less and the sample surface is softly irradiated.
  • an image may be obtained in a positive charging or negative charging mode or a reflection electron mode.
  • precharging may be performed with the same energy as the landing energy of the electron beam at the time of inspection.
  • the film thickness at this time is 1 to: 10 O mm, preferably:! ⁇ 10 mm, more preferably :! ⁇ 3 mm is preferred.
  • the conductive thin film coating and the sputtering etching may be used independently, or may be used together with the precharge. For example, precharging may be performed after sputter etching to obtain an image, or precharging may be performed after coating a conductive thin film after sputter etching.
  • the evacuation system is composed of a vacuum pump, a vacuum valve, a vacuum gauge, a vacuum pipe, etc., and evacuates the electron optical system, the detector section, the sample chamber, and the load lock chamber according to a predetermined sequence.
  • a vacuum valve is controlled so as to achieve a required degree of vacuum.
  • the degree of vacuum is monitored at all times, and in the event of an abnormality, emergency control of the isolation valve etc. is performed by the interlock function to secure the degree of vacuum.
  • a vacuum pump a turbo molecular pump will be used for the main exhaust, and a Roots type dry pump will be used for roughing. Pressure 1 0- 3 ⁇ 1 0- 5 P a of inspection site (electron beam irradiating portion), preferably the '1 0 _ 4 ⁇ 1 0- 6 P a a decade practical.
  • the control system mainly consists of a main controller, control controller, and stage controller.
  • the main controller is equipped with a man-machine interface, through which operator operations are performed (various instructions / commands, input of recipes, etc., instructions to start inspection, automatic and manual inspection modes) Switching, input of all necessary commands in the manual inspection mode, etc.).
  • operator operations are performed (various instructions / commands, input of recipes, etc., instructions to start inspection, automatic and manual inspection modes) Switching, input of all necessary commands in the manual inspection mode, etc.).
  • it communicates with the host computer in the factory, controls the vacuum pumping system, transports samples such as wafers, controls positioning, transmits commands to other control controllers and stage controllers, and receives information. This is done in the main controller.
  • the transmission and reception of the feedback signal and the like to the electron optical system and the transmission and reception of the signal from the stage are performed via the control controller and the stage controller, respectively.
  • the controller is mainly responsible for controlling the electron beam optics (such as controlling high-precision power supplies for electron guns, lenses, aligners, Wien filters, etc.).
  • the irradiation area is always irradiated with a constant electron current even when the magnification is changed, and the automatic voltage setting to each lens system aligner corresponding to each magnification is performed.
  • Control such as automatic voltage setting for each lens system liner corresponding to the operation mode is performed.
  • the stage controller mainly controls the movement of the stage and performs precise movement in the X and Y directions on the order of ⁇ m (5 m or less, preferably ⁇ 1 m or less, more preferably ⁇ 0 m or less). (Error of about 5 m or less).
  • control of the rotation direction is also performed within an error accuracy of about ⁇ 10 seconds, preferably within ⁇ 1 second, and more preferably within ⁇ 0.3 seconds.
  • the configuration of the control system will be specifically described.
  • This device has the following functions: (1) a function to image and display the designated position of n-18 with an electron microscope or an optical microscope; (2) a function to image the designated position of the wafer with an electron microscope to detect and classify defects; and And a function of capturing and displaying the position with an electron microscope or an optical microscope.
  • electron optical system control, vacuum system control and transport control, operation of component devices, imaging function, automatic defect inspection processing, device abnormality detection, and device startup / Stop processing function for realization and maintenance of the above functions.
  • the auxiliary functions are as follows.
  • a user mode designation function as a function to limit the operable items according to the skill and knowledge level of the operator.
  • This user mode is specified by the user ID and password that are entered when the GUI (graphical user interface) is started.
  • the user mode includes a maintenance mode, a recipe creation mode, and an operator mode. Operations are performed in the maintenance mode during start-up work and maintenance work after installation of the device, and necessary operations in the recipe creation mode when creating a recipe. During automatic defect inspection, inspection is performed using recipes created in operator mode.
  • Figure 67 shows the relationship between each user mode and device operation mode. here,
  • This equipment has equipment constants and recipes as variable parameters necessary for operation.
  • a device constant is defined as a parameter for absorbing errors inherent to the device (such as an installation error)
  • a recipe is defined as a parameter for defining various conditions for performing an automatic defect inspection.
  • Equipment constants are set during start-up work and after maintenance work. It will not be changed thereafter.
  • Recipes are categorized into transport recipes, alignment recipes, die map recipes, focus map recipes, and inspection recipes, and defect inspections are performed according to these recipes. Is saved.
  • the first step in creating a recipe is to transport the wafer onto the stage (je-halo) as shown in Fig. 68.
  • After installing the wafer cassette in the device perform an Aha search to detect the presence or absence of an eha in each slot in the cassette.
  • the notch direction is specified, and the wafer is loaded according to the procedures shown in FIGS. 69 and 70. These conditions are stored in the transfer recipe.
  • the direction of the wafer die placed on the stage does not always match the scan direction of the TDI camera (Fig. 71). In order to match this, an operation to rotate the wafer at stage 0 is required, and this operation is called an alignment (Fig. 72).
  • the alignment execution conditions after being loaded on the stage are stored.
  • a die map indicating the arrangement of dies (Fig. 73) is created, and the die map recipe stores the die size and the position of the origin die (which is the starting point indicating the die position).
  • a coarse positioning was first performed at a low magnification of the optical microscope, and then a detailed positioning was performed using the EB image at the high magnification of the optical microscope. .
  • the stage is moved by the user so that the lower left corner of the die located below the wafer is located near the center of the camera.
  • the template image for pattern matching is acquired.
  • This die is the reference die for positioning, and the coordinates of the lower left corner are the coordinates of the feature points. In the future, we will measure the exact position coordinates of any die on the substrate by performing pattern matching with this template image.
  • This template image must be selected so that it has a unique pattern within the search area.
  • the lower left corner is used as the pattern matching template image acquisition position.
  • the present invention is not limited to this, and any position in the die may be selected as a feature point. However, it is generally preferable to select any of the four corners because the corners are easier to specify the coordinates than the points on the inside or on the side of the die.
  • Has acquired the template image for pattern matching for the die located below the wafer but it is natural that any die may be selected so that the alignment can be easily performed.
  • the die next to the first search die is the second search die, and the stage is moved by the user so that the lower left corner of the second search die is located near the center of the force sensor.
  • the exact coordinate values of the pattern of the second search die that matches the template image specified by the first search die are obtained.
  • the die on the right of the first search die has been described as an example of the second search die.
  • the second search die of the present invention is not limited to this. It is. In short, it is only necessary to select a point where the positional relationship of the die in the row direction can be accurately grasped by pattern matching from the reference point which grasped the exact coordinates of the feature points. Therefore, for example, the die on the left of the first search die can be used as the second search die.
  • the stage next to the second search die is the third search die, and the stage is moved by user operation so that the lower left corner of the third search die is located near the center of the force sensor.
  • the exact coordinate values of the pattern of the third search die that matches the template image specified by the first search die are obtained.
  • the die adjacent to the second search die is described as an example of the third search die, but the third search die of the present invention is not limited to this.
  • the point is that it is only necessary to be able to grasp the positional relationship including the distance of the coordinates of the specific point of the die in the column direction, based on the die that has grasped the exact coordinates of the feature points. Therefore, the die immediately above the first search die can be preferably used as a substitute.
  • the stage is moved from the first search die as the starting point by a movement amount (2 * dX, 2 * dY) twice as large as the calculated movement amount (dX, dY).
  • the moving amount is repeated twice to increase the accuracy, reduce the number of processing times (the number of repetitions), and reduce the processing time.
  • the processing time it is possible to execute the processing at a high magnification such as 3 times or 4 times or an integral multiple such as 2 times or more.
  • the movement may be repeated with a fixed movement amount to further increase the accuracy. In each case, it goes without saying that the number is also reflected in the number of detections.
  • the stage is moved from the first search die as the starting point by the amount of movement (2 * dX, 2 * dY) that is twice the calculated amount of movement (dX, dY).
  • the EB image is captured by the TDI camera, and pattern matching is performed using the template image to update the exact coordinate values (XN, YN) of the pattern currently being observed. And double the number of detected dies.
  • the die size (XD) is obtained, and the die map (ideal die arrangement information) is created by combining the die size (YD) obtained when the amount of rotation (0) is calculated in advance. I do.
  • the die map shows the ideal arrangement of the dies.
  • dies on different substrates can be caused by mechanical errors in the stage (errors in parts such as guides and assembly), errors in the interferometer (due to problems in assembly of mirrors, etc.) and image distortion due to charge-up. In some cases, it may not be possible to observe the available arrangement.However, the error between the actual die position and the ideal arrangement on the die map is grasped, and this error is considered. The inspection will be performed while automatically correcting this.
  • the focus recipe is the optimal focus position at the position of the mark on the plane of the sample such as the substrate, or the focus Information on various conditions relating to the position is stored in a predetermined format such as a table.
  • focus map recipe focus conditions are set only for the specified position on the wafer, and the focus value between the specified positions is linearly complemented (see Fig. 76).
  • the procedure for creating a focus recipe is as follows.
  • the die map created by the alignment process is ideal position information calculated from the die coordinates at both ends of the wafer, and errors occur between the die position on the die map and the actual die position due to various factors.
  • the procedure for creating parameters to absorb this error is called fine alignment.
  • the fine alignment recipe contains the error information between the die map (ideal die arrangement information) and the actual die position. Is saved. The information set here is used at the time of defect inspection. In the finement recipe, the error is measured only for the die specified on the die map, and the error between the specified die is captured in a straight line.
  • TDI scan imaging (Fig. 79) is performed by setting the conditions of the electron optical system (setting the imaging magnification etc.) and moving the stage while irradiating the electron beam.
  • Set inspection conditions array inspection conditions, random inspection conditions, inspection Area
  • defect inspection is performed in real time by the dedicated inspection processing unit (IPE).
  • the conditions of the electron optical system, the die to be inspected, the inspection area, and the inspection method are set (A and B in Fig. 80).
  • E ⁇ correction that suppresses blurring of the captured image due to positional deviation and uneven speed, etc., absorbs errors between the ideal die map arrangement and the actual die position.
  • Die position correction, and focus adjustment that complements the focus value of the entire area of the wafer using focus values measured in advance at finite measurement points are performed simultaneously in real time.
  • thinning inspection can be performed by adjusting the amount of step movement in the direction perpendicular to the scan direction as shown in Fig. 82. Yes (reduction of inspection time).
  • the number of defects, the position of the die containing the defect, the defect size, the defect position in each die, the defect type, the defect image, and the comparative image are displayed on the display as inspection results.
  • the number of defects, the position of the die containing the defect, the defect size, the defect position in each die, the defect type, the defect image, and the comparative image are displayed on the display as inspection results.
  • the wafer is loaded according to the transport recipe, the wafer is aligned on the stage according to the alignment recipe, the focus condition is set according to the focus map recipe, and the inspection level is set. Inspection is performed according to the recipe, and wafers are unloaded according to the transport recipe (A and B in Fig. 83).
  • This device is composed of a plurality of controllers as shown in FIG.
  • the main controller is responsible for the sequence operation of the GUI section of the device (EBI), receives operation commands from the factory host computer or GUI, and gives necessary instructions to the VME controller and the IPE controller.
  • the VME controller controls the operation of the equipment (EBI) components and gives instructions to the stage controller and PLC controller according to the instructions from the main controller.
  • the IPE controller acquires defect inspection information from the IPE node computer, classifies the acquired defects, and displays an image according to instructions from the main controller.
  • the IP node computer acquires images output from the TD II camera and performs defect inspection.
  • the PLC controller receives instructions from the VME controller, drives devices such as valves, acquires sensor information, and monitors abnormalities such as abnormalities in the degree of vacuum that require constant monitoring.
  • the stage controller receives instructions from the VME controller and moves in the X and Y directions. Movement and rotation of the stage installed on the stage.
  • Figure 85 shows the device configuration of the user interface unit.
  • Monitor 1 Displays images acquired by the CCD camera or TDI camera
  • Monitor 2 GU I display
  • the X coordinate value increases rightward and the Y coordinate value increases upward.
  • the position (coordinate value) indicated in the stage coordinate system is the center of the stage (center of the stage).
  • Wavelength of the laser used in the laser interferometer ( ⁇ 1 ⁇ 2 6 3.2.991 [ ⁇ ]) (2). ⁇ Aha coordinate system [X w , Y w ]
  • the X coordinate value increases to the right and the Y coordinate value increases upward.
  • the position (coordinate value) indicated by the aerial coordinate system is determined by the imaging device (C Focus on imaging with a CD camera, TDI camera).
  • the wavelength of the laser used in the laser interferometer ( ⁇ 63 2.991 [ ⁇ m]) Note that the dies on the wafer are numbered Call. By default, the die closest to the wafer coordinate system origin is set as the origin die, but the position of the origin die can be selected by the user.
  • Figure 86 shows the relationship between the coordinate values in each coordinate system and the positions observed (displayed). * The relationship between the coordinates specified by the user interface and the stage movement direction
  • the direction indicated by the joystick and the GUI arrow button is opera-the direction you want to see in the evening, and the stage is moved in the opposite direction to the indicated direction 'Example)
  • the coordinates directly input on the GUI are regarded as a place that the operator wants to see on the ⁇ A8 coordinate system, and the stage is moved so that the corresponding ⁇ Aha coordinates are displayed at the center of the captured image.
  • FIG. 87 shows an overall configuration diagram of the present embodiment. However, the illustration is partially omitted.
  • the inspection device has a primary column 87 ⁇ 1, a secondary column 87 ⁇ 2 and a chamber 87 ⁇ 3.
  • An electron gun 874 is provided inside the primary column 871, and is positioned on the optical axis of the electron beam (primary beam) emitted from the electron gun 874.
  • Primary optics 8 7 ⁇ 5 are arranged.
  • a stage 876 is set inside the chamber 877.3, and a sample W is placed on the stage 8776.
  • the objective lens 87 ⁇ 7, the numerical aperture 87 ⁇ 8, and the Wien filter 8 7 ⁇ are placed on the optical axis of the secondary beam generated from the sample W.
  • Numeric, • Rical aperture 871/2 is equivalent to an aperture stop, and is a thin metal plate (Mo, etc.) with a circular hole.
  • the apertures are arranged so as to be at the focal position of the primary beam and the focal positions of the objective lenses 87 and 7. Therefore, the objective lens 87-7 and the numerical aperture 87-8 constitute a telecentric electron optical system.
  • the output of the detector 87 14 is input to the control unit 87 15, and the output of the control unit 87 15 is input to the CPU 87 16.
  • the control signals of CPU 877-16 are input to primary column control units 87 717, secondary column control units 87 718 and stage drive mechanisms 87 719.
  • the primary column control unit 87/17 controls the lens voltage of the primary optical system 8 7 ⁇ 5 and the secondary column control unit 87/18 is the objective lens 87/7 and the second lens 8 Performs lens voltage control of 7-10 to the fourth lens 871/13 and electromagnetic field applied to the Wien filters 87/9.
  • the stage driving mechanism 87.19 transmits the position information of the stage to the CPU 87.16.
  • the primary column 871, the secondary column 872/2, and the chamber 877.3 are connected to a vacuum exhaust system (not shown), and are exhausted by a vacuum pump system molecular pump. Therefore, the inside maintains a vacuum state.
  • the primary beam from the electron gun 8 7 ⁇ 4 is incident on the Viennanadoc 8 7 ⁇ 9 while undergoing a resisting action by the primary optical system 8 7 ⁇ 5.
  • the primary optical system 72 uses a quadrupole or octupole electrostatic (or electromagnetic) lens with a rotational axis asymmetric. This can cause convergence and divergence in each of the X and Y axes, similar to a so-called cylindrical lens. By arranging this lens in two, three or four stages and optimizing each lens condition, the beam irradiation area on the sample surface can be arbitrarily rectangular or It can be formed into an oval shape.
  • the quadrupole lens may not be a cylinder but may be a lens obtained by dividing a circular plate generally used as an electrostatic deflector into four parts. In this case, the size of the lens can be reduced.
  • the trajectory of the primary beam that has passed through the primary optical system 72 is bent by the deflection action of the Wien filters 87 and 9.
  • the lens voltages of the primary optics 87.5 are preset so that the primary beam forms an image at the aperture of the numerical aperture 87,8.
  • the numerical apertures 87, 8 prevent unnecessary electron beams scattered in the apparatus from reaching the sample surface, thereby preventing charge-up and contamination of the sample W. Further, since the numerical aperture 87,8 and the objective lens 87,7 constitute a telecentric electron optical system, the primary beam transmitted through the objective lens 87,7 becomes a parallel beam, Irradiate sample W evenly and uniformly. In other words, the illumination of the optical microscope is realized.
  • the objective lenses 87 and 7 are composed of three electrodes.
  • the bottom «pole is designed to form a positive electric field with the potential on the sample W side, attract electrons (especially secondary electrons with low directivity), and efficiently guide them into the lens. ing.
  • the lens operation is performed by applying a voltage to the first and second electrodes of the objective lens 87, 7 and setting the third electrode to zero potential.
  • the numerical apertures 8 7 and 8 are arranged at the focal position of the objective lens 8 7 7, that is, at the back focus position from the sample W. Therefore, the luminous flux of the electron beam emitted from outside the center of the visual field (off-axis) also becomes a parallel beam and passes through the center position of this secondary aperture 87, 8 without being shaken. .
  • the numerical apertures 87 and 8 play a role of suppressing the lens aberration of the second lens 87'10 to the fourth lens 87'13 with respect to the secondary beam.
  • the secondary beam that has passed through the numerical aperture 8 7 ⁇ 8 goes straight through without passing through the deflection effect of the Wien filter 8 7 ⁇ 9.
  • only electrons with specific energy for example, secondary electrons, reflected electrons, or backscattered electrons
  • 8 7 Can be done.
  • the secondary particles are imaged only with the objective lenses 87 and 7, the lens action becomes stronger and aberrations are likely to occur. Therefore, one image is formed together with the second lens 87.10.
  • the secondary particles obtain an intermediate image on the field aperture 87.11 by the objective lens 87.7 and the second lens 87.10.
  • the third lens 871, 12 and the fourth lens 871, 13 are used as lenses for enlarging the intermediate image.
  • the configuration is added.
  • the secondary particles are magnified and imaged by the third lens 87 712 and the fourth lens 87 313, respectively, and here are imaged three times in total. Note that the third lens 87 1 and 12 and the fourth lens 87 13 may be combined to form an image once (a total of two times).
  • the second lens 87.10 to the fourth lens 87.13 are all rotationally symmetric lenses called Unipotential lenses or Einzel lenses.
  • Each lens is composed of three electrodes. Normally, the outer two electrodes are set to zero potential, and the voltage is applied to the center electrode to perform the lens action and control.
  • a field aperture 871/11 is arranged at the intermediate imaging point.
  • the field aperture 8 7 ⁇ 11 1 limits the field of view to the required range, similar to the field stop of an optical microscope, but in the case of an electron beam, an extra beam is passed to the third lens 8 7 ⁇ 12
  • the fourth lens 87.13 is shut off together with the detector 87.14 to prevent charging up and contamination.
  • the magnification is set by changing the lens conditions (focal length) of the third lens 87.12 and the fourth lens 87.13.
  • the secondary particles are enlarged and projected by the secondary optical system, and form an image on the detection surface of the detectors 87 14.
  • the detectors 8 7 and 14 are an MCP that amplifies electrons, a fluorescent plate that converts electrons into light, a lens and other optical elements for relaying a vacuum system to the outside and transmitting an optical image, and an image sensor. (CCD, etc.).
  • the secondary particles are imaged and amplified on the MCP detection surface, and the electrons are converted into optical signals by the fluorescent plate, and are converted into photoelectric signals by the image sensor.
  • the control unit 8 7 ⁇ 15 reads the image signal of the sample from the detector 87 14 and transmits it to the CPU 87 16.
  • the CPUs 87 and 16 perform pattern defect inspection on the image signal by template matching or the like.
  • the stages 87 and 6 can be moved in the X and Y directions by stage driving mechanisms 87 and 19.
  • the CPU 87, 16 reads the position of the stage 87, 6 and outputs a drive control signal to the stage drive mechanism 87, 19 to drive the stage 87, 6 to sequentially detect images. Perform an inspection.
  • the numerical aperture 87.8 and the objective lens 87.7 constitute a telecentric electron optical system, the primary As for the beam, the beam can be uniformly applied to the sample. That is, car illumination can be easily realized.
  • the magnification is changed after passing through the numerical aperture 87,8, even if the set magnification of the lens condition of the third lens 87,10 and the fourth lens 87,13 is changed, A uniform image is obtained over the entire field of view on the detection side. In this embodiment, a uniform image can be obtained without any unevenness.
  • the magnification is increased, the brightness of the image is reduced. Therefore, in order to improve this, when changing the magnification conditions by changing the lens conditions of the secondary optical system, the effective field of view on the sample surface and the electron beam irradiated on the sample surface are determined by the change. Set the lens conditions of the primary optical system so that they have the same size.
  • a win-filler 87, 9 that bends the trajectory of the primary beam and makes the secondary beam go straight is not limited thereto, and the trajectory of the primary beam is used.
  • the inspection system may be configured to use a Vienna Filler that moves straight ahead and bends the trajectory of the secondary beam.
  • EXB is used, but only magnetic field may be used.
  • the primary electron incidence direction and the direction toward the signal electron detector may be equal and a Y-shaped configuration may be adopted.
  • a rectangular beam is formed from a rectangular cathode and a quadrupole lens.
  • the present invention is not limited to this.
  • a rectangular beam or an elliptical beam may be generated from a circular beam, or a circular beam may be slit.
  • a linear beam or a plurality of beams may be used, and these beams may be scanned and used.
  • FIGS. 88 and 89 show examples of the shape of this electrode.
  • Fig. 88 and Fig. 89 are perspective views of the electrodes 8 8 ⁇ 1, 89 ⁇ 1
  • FIG. 88 is a perspective view showing the case where the electrodes 88 1 are axially symmetric and cylindrical
  • FIG. 89 is a perspective view showing the case where the electrodes 89 1 and 1 are axially symmetric and disk-shaped. It is.
  • the electrodes 88 1 are described as having a cylindrical shape as shown in FIG. 88. However, if they are substantially axially symmetric with respect to the irradiation optical axis of the electron beam, a disk as shown in FIG. 89 will be described.
  • the shape of the electrode may be 89.1.
  • the electrode 88. 1 is provided with an electric field to prevent discharge between the objective lens 87.7 (25.7 in FIG. 25-1) and the wafer W.
  • a predetermined voltage (negative potential) lower than the applied voltage to the wafer W (the potential is 0 V because it is grounded in the present embodiment) is applied by the power supplies 25 and 9.
  • the potential distribution between the antenna W and the objective lenses 97 and 7 at this time will be described with reference to FIG.
  • FIG. 90 is a graph showing a voltage distribution between the wafer W and the objective lenses 87 and 7.
  • the voltage distribution from the wafer W to the positions of the objective lenses 87 and 7 is shown with the position on the irradiation optical axis of the electron beam as the horizontal axis.
  • the voltage distribution from the objective lens 87.7 to 110 W is grounded with the maximum voltage applied to the objective lens 87.7 It is changing gently up to Eha W. (Thin line in FIG.
  • the electrode 88 1 is arranged between the objective lens 87 7 and the wafer W, and the electrode 88 1 At 1, a predetermined voltage (negative potential) lower than the voltage applied to the wafer W is applied by the power supplies 25 and 9, so the electric field at the wafer W is weakened (thick line in FIG. 90). Therefore, in the electron beam apparatus of the present embodiment, the electric field does not concentrate near the peer 25-13 (FIG. 25-1) in the wafer W, and does not become a high electric field. Even if the via 25.13 is irradiated with an electron beam and secondary electrons are emitted, the emitted secondary electrons are not accelerated enough to ionize the residual gas. Discharge that occurs between 7 and ⁇ AW can be prevented.
  • FIG. 3 is a flowchart showing a secondary electron detection operation of the electron beam device.
  • the detector 8 7 ⁇ 1 The secondary electrons from the sample to be inspected are detected by step 4 (step 9 1 ⁇ 1).
  • step 91.4 "1 J” is added to N and counted up (step 91.4), and in step 91/2, it is determined again whether the signal-to-noise ratio is equal to or greater than a predetermined value. If the signal-to-noise ratio is less than the predetermined value, the process again proceeds to steps 91 and 3, and the secondary electron detection operation is performed eight times, and N is counted up to obtain the signal-to-noise ratio. Steps 9 1 to 2 to 9 1 and 4 are repeated until is equal to or greater than the specified value.
  • the vias 25 5 13 ( ⁇ some wafer W
  • the detection efficiency of secondary electrons may be reduced, so that the sample to be inspected is less likely to be discharged between the objective lens 87 and 7 such as wafers without vias.
  • the voltage applied to the electrodes 88.1 can be controlled so that the detection efficiency of secondary electrons in the detectors 8714 increases.
  • the voltage applied to the electrode 88 * 1 is set to a predetermined voltage higher than the voltage applied to the DUT, for example, , +10 V. Also, at this time, the distance between the electrode 88 ⁇ 1 and the test sample is set so that no discharge occurs between the electrode 88 ⁇ 1 and the test sample.
  • the secondary electrons generated by the irradiation of the test sample with the electron beam are accelerated to the detectors 87 and 14 by the electric field generated by the voltage applied to the electrodes 88 and 84. Then, the electric field generated by the voltage applied to the objective lens 8 7 ⁇ 7 is further accelerated to the detector 87 1 14 side to be subjected to a convergence action. Secondary electrons are incident, and the detection efficiency can be increased.
  • the electrodes 88.1 are axially symmetric, they also have a lens function of converging the electron beam irradiated on the sample to be inspected. Therefore, the primary electron beam can be narrowed down by the voltage applied to the electrodes 88.1. Further, since the primary electron beam can be narrowed down by the electrodes 88 1, the objective lens system having a lower aberration can be configured in combination with the objective lenses 8 7 7. The electrode 88-1 only needs to be substantially axially symmetric to such an extent that such a lens action is possible. According to the electron beam apparatus of the above embodiment, between the sample to be inspected and the objective lens, the shape of the electron beam is substantially axially symmetric with respect to the irradiation axis of the electron beam. Since the electrode for controlling the electric field intensity in the apparatus is provided, the electric field between the sample to be inspected and the objective lens can be controlled.
  • the voltage for weakening the electric field strength on the electron beam irradiation surface of the sample to be inspected is a type of sample that easily discharges with the objective lens, the voltage of the electrodes is changed to weaken the electric field intensity on the electron beam irradiation surface of the sample to be inspected. This can prevent discharge.
  • the voltage applied to the electrode can be changed depending on the presence or absence of the via of the semiconductor wafer, that is, the voltage for weakening the electric field intensity on the electron beam irradiation surface of the semiconductor wafer can be changed.
  • the test sample is a test sample of a type that easily discharges with the objective lens
  • the pattern ⁇ of the semiconductor layer 8 is not damaged by the discharge.
  • the potential applied to the electrodes is lower than the charge applied to the sample to be inspected, the electric field intensity on the electron beam irradiation surface of the sample to be inspected can be weakened, and discharge to the sample to be inspected can be prevented. Since the potential applied to the electrode is a negative potential, and the sample to be inspected is grounded, the electric field intensity on the irradiation surface of the sample to be irradiated with the electron beam can be weakened, and discharge to the sample to be inspected can be prevented.
  • the control electrode can be used for energy separation of secondary electrons emitted from the wafer. That is, in order to obtain a high-resolution image, when only secondary electrons having the highest signal detection efficiency and having an energy of a certain level or more are detected, a predetermined negative voltage is applied to the control electrode. Can be used as an energy barrier for secondary electrons. Since a negative potential is applied to the control electrode, a force acts to repel secondary electrons toward the sample. The secondary electrons that cannot cross the potential barrier return to the sample, and only the secondary electrons that exceed the potential barrier are detected by the detector, and an image with the desired resolution can be obtained. .
  • the potential application mechanism 9 2 ′ 1 is based on the fact that the secondary electron information (secondary electron generation rate) emitted from the layer 18 depends on the potential of the layer 18.
  • the generation of secondary electrons is controlled by applying a potential of several volts to the stage base on which the wafer is mounted.
  • This potential application mechanism also serves the purpose of decelerating the energy initially possessed by the irradiated electrons and providing the e-beam with an irradiated electron energy of about 100 to 500 eV.
  • the potential applying mechanism 9 2 ⁇ 1 includes a voltage applying device 9 2 ⁇ 4 electrically connected to the mounting surface 9 2 ⁇ 3 of the stage device 9 2 ⁇ 2 and charge-up. It has a survey and voltage determination system (hereinafter referred to as a survey and determination system).
  • the investigation and decision system 9 2 ⁇ 5 is the image forming section 9 of the detection system of the electro-optical device 13 ⁇ 8 (Fig. 13).
  • Monitor 9 2 ⁇ 7 electrically connected to 2 ⁇ 6
  • Operation 9 2 ⁇ 8 connected to monitor 9 2 ⁇ 7
  • CPU 9 2 ⁇ 8 connected to operator 9 2 '8 4 9
  • the CPUs 92 and 9 are adapted to supply signals to the voltage applying devices 92 and 4.
  • the above-described potential applying mechanism searches for a potential at which the wafer to be inspected is difficult to be charged, and applies the potential. Designed.
  • the voltage of the part that is originally electrically insulated and the part of the part that is originally electrically insulated, but the part that is energized for some reason By generating a voltage difference with the voltage, and then irradiating the beam of the present invention, a data having a voltage difference is obtained, and the obtained data is analyzed to detect that the power is on. .
  • Faraday power 933 and 4 are for narrow beams (approx. ⁇ 2 / m), and Faraday power 933 and 5 are for thick beams (approx. ⁇ 30 m).
  • the beam profile was measured by stepping the turntable 9 3'2.
  • the Faraday cup 9 3 ⁇ 5 ′ for thick beams measures the total beam current.
  • the Farade cups 9 3 ⁇ 4 and 9 3 ⁇ 5 are arranged such that the upper surface is at the same level as the upper surface of the e-ha W placed on the mounting surface 9 3 ⁇ 3. Emitted from the electron gun in this way The primary electron beam is constantly monitored. This is because the electron gun cannot always emit a constant amount of electron beam, and the amount of emission changes during use.
  • the target substance floats and is attracted to the high-pressure region due to the proximity interaction (charge of particles near the surface), so that various electrodes used for forming and deflecting the electron beam are used.
  • Organic material is deposited. Insulators that gradually accumulate due to surface charging have a negative effect on electron beam formation and deflecting mechanisms, so the accumulated insulators must be removed periodically.
  • the alignment control device 9 4 ⁇ 1 shown in FIG. 94 is a device for positioning the wafer A with respect to the electron optical devices 94.2 using a stage device, and using the optical microscope 94.3 for the wafer. Approximate alignment by wide-field observation (measurement with lower magnification than by electron optical system), Electro-optical device High-power alignment using electron optical system of 94.2, focus adjustment, inspection area setting, pattern alignment, etc. Control is performed. In order to inspect wafers at a low magnification using an optical system in this way, it is necessary to automatically perform inspection of the A-8 pattern. This is because it is necessary to easily detect the alignment mark with an electron beam when observing the image and performing e-alignment.
  • the optical microscopes 94.3 are provided in the housing (they may be provided movably in the housing), and a light source for operating the optical microscope is also provided in the housing (not shown). .
  • the electron optical system for high magnification observation shares the electron optical system (primary optical system and secondary optical system) of the electron optical device 94-2.
  • a schematic diagram of the configuration is shown in FIG. To observe the observation point on the wafer at low magnification, the observation point of the wafer is moved into the field of view of the optical microscope by moving the X stage of the stage device in the X direction.
  • the observer With the optical microscope 94.3, the observer observes the e-mail in a wide field of view, and displays the position to be observed on the e-aware on the monitor 94.5 via the CCD 94.4, to roughly determine the observation position.
  • the magnification of the optical microscope may be changed from low to high.
  • the stage device is connected to the optical axis of the electron optical device 94.2 and the optical axis of the optical microscope 94.3. Is moved by a distance corresponding to ⁇ x, and the observation point on the wafer predetermined by the optical microscope is moved to the visual field position of the electron optical device.
  • Optical axis ⁇ 3 Detects a displacement ⁇ 3 ⁇ ⁇ in the rotational direction of ⁇ 18 with respect to ⁇ 3, and detects a positional shift of the predetermined putter with respect to the electro-optical device in the X-axis and ⁇ -axis directions.
  • the operation of the stage devices 9 and 8 is controlled based on the detected value and the data of the inspection mark provided on the A8 or the data on the shape of the A8 pattern obtained separately.
  • the range of the alignment is within ⁇ 10 pixels in the ⁇ ⁇ coordinates. It is preferably within 5 pixels, more preferably within ⁇ 2 pixels.
  • the position of the wafer must be accurately positioned, but in reality, the wafer is on the X- ⁇ stage, and is mechanically positioned. Therefore, the accuracy is a realistic value of several hundred to ten nm, and the response speed is several seconds to several ms.
  • design rules are shrinking down to several 10 nm, so wiring with a line width of several 10 nm and vias with a diameter of 10 nm are inspected and their shape and electrical defects are inspected. And the detection of dust with a diameter of 10 nm is required. If the imaging is performed by relying only on the mechanical positioning described above, the order of the response time and the positioning accuracy is far from the order of the design rule and the imaging accuracy, which is a significant obstacle in obtaining an accurate image.
  • the imaging sequence is performed by a combination of steps (X-axis) and constant-speed scanning (y-axis).
  • steps X-axis
  • y-axis constant-speed scanning
  • the control residual is generally large and blurs the image. To prevent this, more advanced control is required.
  • the basic method is that the position of the stage 18 on the stage is within the time delay of several microseconds on the order of sub-nm by the laser interferometer system and the vermirer installed on the X-y axis.
  • a mechanical actuator is driven by an automatic control loop to position the target position with a time delay and residual error.
  • the control residual of the position determined by this control is obtained from the difference between the target position generated inside the controller and the current position obtained by the laser interferometer system.
  • the beam passes through a number of electrodes, and is guided to the imaging device via the deflection electrode for correction.
  • the correction deflection electrode has a sensitivity capable of deflection of about several hundred m or less, preferably 100 im or less, more preferably several tens m or less in terms of the distance on the wafer, By applying, it is possible to deflect the beam two-dimensionally to an arbitrary position.
  • the control residual is calculated by the arithmetic unit, converted into a voltage by the D / A converter, and applied to the correction deflection electrode in a direction to cancel the residual. With the above configuration, it is possible to execute a correction close to the resolution of the laser interferometer.
  • a method was also devised in which the X axis (step direction) uses the above means, and the Y axis (scan direction) transfers the transfer clock of the TDI, which is the image sensor, in synchronization with the moving speed of the stage.
  • Figure 95 shows the concept of EO correction.
  • the instruction 951 to the target position is output and applied to the control feedback loop 95.2 including the mechanical operation. This part corresponds to the stage.
  • the position of the drive system is fed back by the position detectors 95 and 3 as a result of the displacement and the position displacement of the drive system converges to the target position from the position indication, but the gain of the control system is limited. Therefore, a residual occurs.
  • the current position is detected on the order of sub-nm by the position output system 95 ⁇ 4 (here, a laser interferometer is used), and the difference from the position pointing device 95 ⁇ 1 is detected by the residual detector 95 ⁇ 5 Using a high-speed high-speed amplifier 9 5 ⁇ 6, a voltage is applied to the polarizing electrodes 95 5 and 7, and a voltage is applied in such a direction as to cancel the residual. It has the function of reducing the fluctuations that occur as in 95/5.
  • Fig. 96 shows the specific device configuration.
  • the XY stage 96.1 uses the X-axis drive servomotor 96.2 and encoder 96.3 to detect the X-axis drive and the approximate position and speed to achieve smooth support characteristics. .
  • a servo motor is used.
  • an actuator such as a linear motor or an ultrasonic motor.
  • 9 6 ⁇ 6 ′ is a power amplifier that drives this motor.
  • the precise position information of the X-axis is sub-nm by the combination of mirrors 96, 7, interferometers 96, 8, receivers 96, 9, laser light source 96.10, and interferometer board 96.11. It has a position detection function with a resolution of.
  • the Y-axis has the same function as the orthogonal X-axis. It consists of 13, mirrors 96 ⁇ 14, interferometers 9 ⁇ 5, and receivers 96 ⁇ 16.
  • the X-Y stage controller 96'17 controls these devices in an integrated manner to enable two-dimensional operation of the stage, with an accuracy of 100; m to 1 nm, preferably Accuracy of 100 nm to 2 nm, more preferably 1 / ⁇ ! ⁇ 2 nm accuracy, more preferably 0.12 nm accuracy, and a response speed of several 100 ms or less, preferably several 10 ms or less, more preferably several ms or less I do.
  • the X-Y stage controller 96 ⁇ 17 outputs the X reference value and the Y reference value to the EO correction 96 ⁇ 18 and outputs the 32-bit binary format from the interference type 96 ⁇ 11
  • the E ⁇ correctors 966 and 18 receive the current position via the high-speed buffer ap- plications 96 and 19, respectively.
  • the voltage is amplified by the high-voltage high-speed amplifiers 96, 20 and 96, 21 and then applied to the deflection electrodes 96, 22 to deflect to correct the residual difference.
  • the image information electron beam with minimal displacement is guided to TDI (image sensor) 96 ⁇ 23.
  • TDI image sensor
  • 96 • 24 is a part that generates a timing signal for determining the transfer speed of TDI 96 ⁇ 23.
  • ⁇ Correction is a function that calculates the difference between the target position and the actual position, and corrects the position by deflecting the electron beam so as to cancel the difference.
  • the correction range is limited to a range of about several tens of ⁇ . This is determined by the electrode sensitivity, the dynamic range of the high-voltage high-speed amplifier, the noise level, the number of D / A comparator bits, and the like.
  • the actual position of the stage at the time of scanning has a large deviation from the target position due to the finite gain of the control loop, as compared with that at the time of stopping.
  • the deviation from the target position is about 400 m, and even if the difference is calculated and output as it is, the system greatly exceeds the correction range and saturates the system.
  • the actual mechanical position 97.2 of the stage as a result of the controlled operation includes a mechanical vibration of several microns and has a steady-state deviation 97.3 of about 400 ⁇ .
  • a filter to smooth the position information during actual traveling.In this case, however, a delay always occurs due to the time constant of the filter, and ripples are generated. If the time constant is negligible, the measurement start area is greatly limited, and this has the disadvantage of significantly increasing the overall measurement time.
  • the present invention in order to detect this steady-state deviation, at least the difference between the current position and the target position at the time of the previous scan and at least about 2 to the 16th power are integrated in the present embodiment, and this is calculated. sample By dividing by the number of times, the average value 974 of the steady-state deviation between the target position and the current position is calculated, and the target synthesized by subtracting the average value 97.4 from the target position 97.5 during this scan The calculation was performed at positions 97-6, and a configuration was realized in which EO correction was possible within the dynamic range, as shown in 981 in Fig. 98.
  • the number of integrations is not limited to this value as long as the target accuracy is obtained, and may be a smaller number of integration stages.
  • Fig. 99 shows a block diagram.
  • the target value 99.1 is subtracted from the current position 99.2, and the integration operation of the previous period is executed during the scan in the 99.3 block.
  • the average value of the steady-state deviation obtained in the same way as the previous time is output from 9 9 ⁇ 4.
  • Subtractor 9 9 ⁇ 5 subtracts 9 9 ⁇ ⁇ 4 from 9 9 ⁇ ⁇ 1 as the combined target position 9 9 ⁇ 6, and subtracts this value from the current position 9 9 ⁇ 7 from the interferometer to obtain a response delay or EO correction data without ripple is realized.
  • FIG. 100 shows the structure of the block difference average detection of 9 9 3 in FIG. 1 0 0 ⁇ 1, 1 0 0 ⁇ 2 performs integration, selects the lead of data selector 1 0 ⁇ 4 according to the value of the accumulation counter 100 ⁇ 3, executes the division phase, etc. It outputs the average value of.

Landscapes

  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Engineering & Computer Science (AREA)
  • Immunology (AREA)
  • Physics & Mathematics (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Health & Medical Sciences (AREA)
  • Pathology (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Analysing Materials By The Use Of Radiation (AREA)
  • Length-Measuring Devices Using Wave Or Particle Radiation (AREA)
  • Electron Sources, Ion Sources (AREA)

Description

明細書
荷電粒子線による検査装置及びその検査装置を用いたデバイス製造方法
1 . 発明の技術分野
本発明は、 電子ビームを用いて検査対象の表面に形成されたパターンの欠陥等を検 査する検査装置に関し、 詳しくは、 半導体製造工程におけるゥエーハの欠陥を検出す る場合のように、 電子ビームを検査対象に照射してその表面の性状に応じて変化する 二次電子を捕捉して画像データを形成し、 その画像データに基づいて検査対象の表面 に形成されたパターン等を-高いスループッ 卜で検査する検査装置、 並びにそのような 検査装置を用いて歩留まり良くデバイスを製造するデバイス製造方法に関する。 より 具体的には、 面ビームを用いた写像投影方式による検出装置および該装置を用いたデ バイス製造方法に関する。 . '
半導伴プロセスにおいて、 デザィンルールは 1 0 0 n mの時代を迎えようとしてお り、 また生産形態は D R A Mに代表される少品種大量生産から S O C ( S i 1 i c o n o n c h i ) のように多品種少量生産へ移行しつつある。 それに伴い、 製造 工程数が増加し、 各工程毎の歩留まり向上は必須となり、 プロセス起因の欠陥検査が 重要になる。 本発明は半導体プロセスにおける各工程後のゥエー八等の検査に用いら れる装置に関し、 電子ビームを用いた検査方法及び装置またはそれを用いたデバイス 製造方法に関する。
2 . 関連技術の説明 ' 半導体デバイスの高集積化、 パターンの微細化に伴い、 高分解能、 高スループッ ト の検査装置が要求されている。 1 0 0 n mデザィンルールのゥエーハ基板の欠陥を調 ベるためには、 1 0 0 n m以下の線幅を有する配線におけるパターン欠陥ゃパ一ティ クル · ゼァの欠陥及びこれらの電気的欠陥を見る必要があり、 したがって 1 0 0 n m 以卞の分解能が必要であり、 デバイスの高集積化による製造工程の増加により、 検査 量が増大するため、 高スループッ トが要求されている。 また、 デバイスの多層化が進 むにつれて、 層間の配線をつなぐピアのコンタク ト不良 (電気的欠陥) を検出する機 能も、 検査装置に要求されている。 現在は主に光方式の欠陥検査装置が使用されてい るが、 分解能及びコンタク ト不良検査の点では、 光方式の欠陥検査装置に代わって、 電子ビームを用いた欠陥検査装置が今後は検査装置の主流になると予想される。 ただ し、 電子ビーム方式欠陥検査装置にも弱点があり、 それはスループッ トの点で光方式 に劣ることである。
このため、 高分解能、 高スループッ ト、 且つ電気的欠陥検出が可能な検査装置の開 発が要求されている。 光方式での分解能は使用する光の波長の 1 / 2が限界と言われ ており、 実用化されている可視光の例では 0. 2 m程度である。
一方、 電子ビームを使用する方式では、 通常、 走査型電子ビーム方式 (S EM方式 ) が実用化されており、. 分解能は 0. l ^m、 検査時間は 8時間ノ枚 (2 0 0 mmゥ ェ一ハ) である。 電子ビーム方式はまた、 電気的欠陥 (配線の断線、 導通不良、 ピア の導通不良等) も検査可能であることが大きな特徴であるが、 検査速度が非常に遅く 、 検査速度の速い欠陥検査装置の開発が期待されている。
- 一般に、 検査装置は高価であり、 またスループッ トも他のプロセス装置に比べて低 いために、 現状では重要な工程の後.、 例えばエッチング、 成膜、 又は CMP (化学機 械研磨) 平坦化処理後等に使用されている。
電子ビームを用いた走査 (S EM) 方式の検査装置について説明する。 S EM方式 の検査装置は電子ビームを細く絞って (このピーム径が分解能に相当する) これを走 査してライン状に試料を照射する。 一方、 .ステージを電子ビームの走査方向に直角の 方向に移動させることにより、 平面状に観察領域を電子ビームで照射する。 電子ビ一 ムの走査幅は一般に数 1 00 mである。 前記細く絞られた電子ビーム (一次電子線 と呼ぶ) 照射により発生した試料からの二次電子を検出器 (シンチレ一タ +フォ トマ ルチプライヤ (光電子増倍管) 又は半導体方式の検出器 (P I Nダイオード型) 等) で検出する。 照射位置の座標と二次電子の量 (信号強度) を合成して画像化し、 記憶 装置に記憶し、 あるいは CRT (ブラウン管) 上に画像を出力する。 以上は S EM ( 走査型電子顕微鏡) の原理であり、 この方式で得られた画像から工程途中の半導体 ( ' 通常は S i ) ゥェ一 Λの欠陥を検出する。 検査速度 (スループッ トに相当する) は一 次電子線の量 (電流値)、 ピ一ム径及び検出器の応答速度で決まる。 ビ一ム径 0. 1 ΐΐΐ (分解能と同じと考えてよい) 電流値 1 00 η Α、 検出器の応答速度 1 0 0 ΜΗ ζが現在の最高値で、 この場合で検査速度は 20 cm径のゥエーハー枚あたり約 8時 間と言われている。 この検査速度が光方式に比べてきわめて遅い (1/2 0以下) こ と^大きな問題点となっている。 特に、 ゥェ一八上に作られた 1 00 nm以下のデザ ィン · ルールのデバィス ■ パターン、 即ち、 1 0 0 nm以下の線幅や直径 1 0 0 nm 以下のビア等の形状欠陥や電気的欠陥の検出及び 1 0 0 nm以下のゴミの高速の検出 が必要となっている。
上で説明した S E M方式の検査装置では、 上記の検査速度がほぼ限界と考えられて おり、 更に高速にする、 すなわちスループッ トを上げるためには新しい方式が必要で ある。
課題を解決するための手段
こうした必要に応えるため、 本発明は、
電子ビームを試料に向けて照射する手段と、 該電子ビームの前記試料へ向けた照射 によって前記試料の表面の情報を得た電子を検出器に導く手段と、 該検出器に導かれ た、 前記試料の表面の情報を得た電子を画像として合成する手段とを備える電子線装 置であって、
前記電子ビームが前記試料上を照射する領域の前記電子ビームの照度が均一である 電子線装置、
を提供する。
前記試料の表面の情報を得た電子は、 前記試料より'発生する二次電子、 反射電子、 後方散乱電子のうちの少なく とも 1つ、 或いは前記試料の表面付近で反射されたミラ 一電子であることが望ましい。
本発明の検査方法又は検査装置により、 1 0 0 n m以下の線幅の配線を有するゥ工 ーハ等の基板の欠陥を検査することが可能となる。
図面の簡単な説明
図 1は、 半導体検査装置の全体構成を示す図である。
図 2は、 図 1の装置の全体構成を示す図である。
図 3は、 図 1の装置の全体構成を機能から見た図である。
図 4は、 図 1の装置の検査部の主要構成要素を示す図である。
図 5は、 図 1の装置の検査部の主要構成要素を示す図である。
図 6は、 図 1の装置の検査部の主要構成要素を示す図である。
図 7は、 図 1の装置の検査部の主要構成要素を示す図である。
図 8は。 図 1の装置の検査部の主要構成要素を示す図である。
図 9は、 図 1の装置の検査部の主要構成要素を示す図である。
図 1 0は、 図 1の装置の検査部の主要構成要素を示す図である。
図 1 1は、 図 1の装置の検査部外装を示す図である。
図 1 2は、 図 1の装置の検査部外装を示す図である。
'図 1 3は、 本発明に係る半導体検査装置の主要構成要素を示す立面図である。 図 1 4は、 本発明に係る半導体検査装置の主要構成要素を示す正面図である。 図 1 5は、 本発明に係る半導体検査装置のカセッ トホルダの構成の一例を示す図で ある。
図 1 6は、 本発明に係る半導体検査装置のミニエンバイロメント装置の構成を示す 図である。
図 1 7は、 本発明に係る半導体検査装置のローダーハウジングの構成を示す図であ る。
図 1 8は、 本発明に係る半導体検査装置のローダーハウジングの構成を示す図であ る。 図 1 9 (A) 及び図 1 9 (B) は、 本発明に係る半導体検査装置に使用される静電 チヤックを説明する図である。
図 2 0は、 本発明に係る半導体検査装置に使用される静電チヤックを説明する図で あ 。
図 2 0— 1 (A) 及び図 2 0— 1 (B) は、 本発明に係る半導体検査装置に使用さ れる静電チャックの他の例を説明する図である。
図 2 1は、 本発明に係る半導体検査装置に使用されるブリッジツールを説明する図 である。
図 22は、 本発明に係る半導体検査装置に使用されるプリッジツールの他の例を説 明する図である。
図 22— 1は、 図 2 2のロード口ック室におけるエレベータ機構の構成と動作手順 (A) 〜 (C) を説明する図である。
図 2 2— 2は、 図 2 2のロードロック室におけるエレべ一夕機構の構成と動作手順 (D) 〜 (F) を説明する図である。
図 2 3は、 本発明に係る半導体検査装置における主ハウジングの支持方法の変形例 を示す図である。
図 24は、 本発明に係る半導体検査装置における主ハウジングの支持方法の変形例 を示す図である。
図 2 5— 1は、 本発明に係る半導体検査装置のうちの写像投影型電子線検査装置の 電子光学系の構成を示す図である。 ' 図 2 5— 2は、 本発明に係る半導体検査装置のうちの走査型電子線検査装置の電子 光学系の構成を示す図である。
図 2 5— 3は、 本発明に係る半導体検査装置の検出器回転機構の一例の構成を概略 的に示す図である。
図 2 5— 4は、 本発明に係る半導体検査装 *の検出器回転機構の一例の構成を概略 的に示す図である。
図 2 5— 5は、 本発明に係る半導体検査装置の検出器回転機構の一例の構成を概略 的に示す図である。
図 2 6は、 本発明に係る半導体検査装置の第 1の実施の形態を示す図である。 図 2 7— 1の ( 1 ) ~ ( 5 ) は試料照射ビームの形状を説明する図である。
図 2 7— 2の (1 ^ 1 ) ~ (4) は線形ビームの照射形状を説明するための図であ る。
図 2 8は、 本発明に係る半導体検査装置における鏡筒からの二次電子の取り出しを 説明する図である。 図 2 9は、 本発明に係る半導体検査装置の第 2の実施の形態を示す図である。 図 3 0は、 本発明に係る半導体検査装置の第 3の実施の形態を示す図である。 図 3 1は、 牢発明に係る半導体検査装置の第 4の実施の形態を示す図である。 図 3 2は、 本発明に係る半導体検査装置の第 5の実施の形態を示す図である。 図 3 3は、 観察領域をカバーする照射領域を説明する図である。
図 3 4は、 照射 状と照射効率を説明する図である。
図 3 5は、 本発明に係る半導体検査装置の第 6の実施の形態を示す図で、 リ レーレ ンズを用いた検出系の構成を示す図である。
図 3 6は、 本発明に係る半導体検査装置の第 6の実施の形態を示す図で、 F O Pを 用いた検出系の構成を示す図である。
図 3 7 ( A ) 及び図 3 7 ( B ) は、 本発明に係る半導体検査装置の第 8の実施の形 態を示す図である。
図 3 8は、 透過率の開口部直径依存性を示すグラフである。
図 3 9は、 図 3 7の装置における電子検出系の具体的構成例を示す図である。 図 4 0 ( A ) 及び図 4 0 ( B ) は、 図 3 7の装置における電子検出系を 3つのモー ドで動作させるための要件を説明する図である。
図 4 1は、 本発明に係る半導体検査装置の E X Bュニッ トの構成を示す図である。 図 4 2は、 図 4 1の線 Aに沿う断面図である。
図 4 3は、 本発明に係る半導体検査装置の第 9の実施の形態を示す図である。 図 4 4は、 電界分布のシミュレーションを示す図である。
図 4 5は、 本発明に係る半導体検査装置の電源部の構成を示す図である。
図 4 6は、 図 4 5に示す電源部の直流電圧を発生する回路方式を示す図である。 図 4 7は、 図 4 5に示す電源部のスタテック両極性電源の回路構成の一例を示す図 である。
囪 4 8は、 図 4 5に示す電源部における特殊電源を示す図である。
図 4 9は、 図 4 5に示す電源部における特殊電源を示す図である。
図 5 0は、 図 4 5に示す電源部における特殊電源を示す図である。
図 5 1は、 図 4 5に示す電源部におけるリターディング · チャック向けの電源回路 の一例を示す図である。
図 5 2は、 図 4 5に示す電源部における E〇補正用偏向電圧めハードウェア構成の 一例を示す図である。 - 図 5 3は、 図 4 5に示す電源部におけるォクタポール変換部の回路構成の一例を示 す図である。
図 5 4 ( A ) は図 4 5に示す電源部における高速高圧増幅器の回路構成の一例を示 P T脑 04/006010 し、 図 5 4 ( B ) は出力は形を示す図である。
図 5 5は、 図 1 3に示す半導体検査装置のプレチャージュニッ 卜の第 1の実施の形 態を示す図である。
図 5 6は、 図 1 3に示す半導体検査装置のプレチャージュニッ トの第 2の実施の形 態を示す図である。
図 5 7は、 図 1 3に示す半導体検査装置のプレチャージュニッ トの第 3の実施の形 態を示す図である。
図 5 8は、 図 1 3に示す半導体検査装置のプレチャージュニッ トの第 4の実施の形 態を示す図である。
図 5 9は、 図 5 5〜図 5 8に示すプレチャージユニッ トを備えた撮像装置を示す図 である。
図 6 0は、 図 6 0の装置の動作を説明する図である。
図 6 1は、 プレチャージユニッ トを備えた欠陥検査装置の他の構成例を示す図であ る。
図 6 2は、 図 6 1 に示す装置における、 二次電子画像信号を電気信号へ変換する装 置を示す図である。
図 6 3は、 図 6 1に示す装置の動作を説明するフロー図である。
図 6 4 ( a )、 図 6 4 ( b )、 図 6 4 ( c ) は、 図 6 3のフロー図における欠陥検出 方法を説明する図である。
図 6 5は、 プレチャージユニッ トを備えた欠陥検査装置の他の構成例を示す図 ぁ る。
図 6 6は、 プレチャージュニッ トを備えた欠陥検査装置の更に他の構成例を示す図 である。
図 6 7は、 本発明に係る半導体検査装置の制御系の動作を説明する図である。 囪 6 8は、 本発明に係る半導体検査装置の制御系の動作を説明する図である。 図 6 9は、 本発明に係る半導体検査装置の制御系の動作を説明する図である。 図 7 0は、 本発明に係る半導体検査装置の制御系の動作を説明する図である。 図 7 1は、 本発明に係る半導体検査装置の制御系の動作を説明する図である。 図 7 2は、 本発明に係る半導体検査装置の制御系の動作を説明する図である。 図 7 3は、 本発明に係る半導体検査装置の制御系の動作を説明する図である。 図 7 4は、 本発明に係る半導体検査装置におけるァライメント手順を説明する図で ある。
図 7 5は、 本発明に係る半導体検査装置におけるァライメント手順を説明する図で ある。 図 7 6は、 本発明に係る半導体検査装置におけるァライメント手順を説明する図で ある。
図 7 7は、 本発明に係る半導体検査装置における欠陥検査手順を説明する図である 図 7 8は、 本発明に係る半導体検査装置における欠陥検査手順を説明する図である 図 7 9は、 本発明に係る半導体検査装置における欠陥検査手順を説明する図である 図 8 0 ( A ) お y pび図 8 0 ( B ) は、 本発明に係る半導体検査装置における欠陥 検査手順を説明する図である。
図 8 1は、 本発明に係る半導体検査装置における欠陥検査手順を説明する図である 図 8 2は、 本発明に係る半導体検査装置における欠陥検査手順を説明する図である 図 8 3は、 本発明に係る半導体検査装置における欠陥検査手順を説明する図である 図 8 4は、 本発明に係る半導体検査装置における制御系の構成を説明する図である 図 8 5は、 本発明に係る半導体検査装置におけるユーザーインタ一フェースの構成 を説明する図である。
図 8 6は、 本発明に係る半導体検査装置におけるユーザ一インタ一フェースの構成 を説明する図である。
• 図 8 7は、 本発明に係る半導体検査装置のその他の機能と構成を説明する図である 囪 8 8は、 本発明に係る半導体検査装置のその他の機能と構成における電極を示す 図である。
図 8 9は、 本発明に係る半導体検査装置のその他の機能と構成における電極を示す 図である。
図 9 0は、 ゥェ一八と対物レンズとの間の電圧分布を示すグラフである。
図 9 1は、 本発明に係る半導体検査装置のその他の機能と構成における二次電子検 出動作を説明するフロー図である。
図 9 2は、 図 9 1に示す装置における ¾位印加機構を示す図である。
図 9 3 ( A ) 及び図 9 3 ( B ) は、 図 9 1 に示す装置における電子ビームキヤリブ レーション方法を説明する図である。 図 94は、 図 9 1に示す装置におけるァライメント制御方法を説明する図である。 図 9 5 (A) 及び図 9 5 (B) は、 図 9 1に示す装置における EO補正の概念を説 明する図である。
図 9 6は、 図 9 1に示す装置における EO補正のための具体的機器構成を説明する 図である。
図 9 7 (A) 及び図 9 7 (B) は、 図 9 1に示す装置における EO補正を説明する 図である。
図 98は、 図 9 1に示す装置における EO補正を説明する図である。
図 99は、 図 9 1に示す装置における E O補正を説明する図である。
図 1 0 0は、 図 9 1に示す装置における EO補正を説明する図である。
図 1 0 1は、 TD I転送クロックのアイデアを説明する図である。
図 1 0 2は、 TD I転送クロヅクのアイデアを説明する図である。
図 1 0 3は、 図 102の回路の動作を説明するタイミングチヤ一トを示す図であ る。
図 1 04は、 本発明に係る欠陥検査装置の変形例を示す図である。
図 1 0 5は、 図 1 04に示す装置の動作を説明するフロー図である。
図 1 0 6は、 図 1 04に示す装置の動作を説明するフロー図である。
図 1 0 7は、 図 1 04に示す装置の動作を説明するフロー図である。
図 1 08は、 図 1 04に示す装置の動作を説明する図である。
図 1 09は、 図 1 04に示す装置の動作を説明する図である。 ' 図 1 1 0は、 本発明に係る半導体デバイス製造方法を説明する図である。
図 1 1 1は、 本発明に係る半導体デバイス製造方法を説明する図である。
図 1 1 2は、 本発明に係る半導体デパイス製造方法の検査手順を説明する図である
01 1 3は、 本発明に係る半導体デバイス製造方法の検査手順の基本的な流れを説 明する図である。
図 1 14は、 検査対象ダイの設定を示す図である。
図 1 1 5は、 ダイ内部の検査領域の設定を説明する図である。
図 1 1 6は、 本発明に係る半導体デパイス製造方法の検査手順を説明する図である 図 1 1 7 (A) 及び図 1 1 Ί (B) は、 本発明に係る半導体デバイス製造方法の検 査手順を説明する図である。
図 1 1 8— 1は、 本発明に係る半導体デバイス製造方法の検査手順における、 検査 ダイが 1個の場合の走査例を示す図である。 図 1 1 8 — 2は、 検査ダイの一例を示す図である。
図 1 1 9は、 本発明に係る半導体デバイス製造方法の検査手順における、 参照画像 の生成方法を説明する図である。
図 1 2 0は、 本発明に係る半導体デバイス製造方法の検査手順における隣接ダイ比 較方法を説明する図である。
図 1 2 1は、 本発明に係る半導体デバイス製造方法の検査手順における隣接ダイ比 較方法を説明する図である。
図 1 2 2は、 本発明に係る半導体デバイス製造方法の検査手順における基準ダイ比 較方法を説明する図である。
図 1 2 3は、 本発明に係る半導体デバイス製造方法の検査手順における基準ダイ比 較方法を説明する図である。
図 1 2 4は、 本発明に係る半導体デバイス製造方法の検査手順における基準ダイ比 較方法を説明する図である。
図 1 2 5は、 本発明に係る半導体デバイス製造方法の検査手順におけるフォーカス マッピングを説明する図である。
図 1 2 6は、 本発明に係る半導体デバイス製造方法の検査手順におけるフォーカス マツピングを説明する図である。
図 1 2 7は、 本発明に係る半導体デバイス製造方法の検査手順におけるフォーカス マッピングを説明する図である。
図 1 2 8は、 本発明に係る半導体デバイス製造方法の検査手順におけるフォーカス マッピングを説明する図である。
図 1 2 9は、 本発明に係る半導体デバイス製造方法の検査手順におけるフォーカス マツビングを説明する図である。
図 1 3 0は、 本発明に係る半導体デバイス製造方法の検査手順におけるフォーカス マッピングを説明する図である。
図 1 3 1は、 本発明に係る半導体デバイス製造方法の検査手順におけるリゾマー ジン測定を説明する図である。
図 1 3 2は、 本発明に係る半導体デバイス製造方法の検査手順におけるリソマージ ン測定を説明する図である。
図 1 3 3は、 本発明に係る半導体デバイス製造方法の検査宇順におけるリソマージ ン測定を説明する図である。
図 1 3 4は、 本発明に係る半導体デバイス製造方法の検査手順におけるリソマージ ン測定を説明する図である。
図 1 3 5は、 本発明に係る半導体デバイス製造方法の検査手順におけるリソマージ ン測定を説明する図である。
図 1 3 6は、 本発明に係る半導体デバイス製造方法の検査手順におけるリソマ一ジ ン測定を説明する図である。
図 1 3 7は、 本発明に係る半導体デバイス製造方法の検査手順におけるリソマージ ン測定を説明する図である。
図 1 3 8は、 本発明に係る半導体検査装置におけるステージ装置の一例を示す図で ある。
図 1 3 9は、 本発明に係る半導体検査装置におけるステージ装置の一例を示す図で ある。
図 1 4 0は、 本発明に係る半導体検査装置におけるステージ装置の一例を示す図で ある。
図 1 4 1は、 本発明に係る半導体検査装置におけるステージ装置の他の例を示す図 である。
図 1 4 2は、 本発明に係る半導体検査装置におけるステージ装置の他の例を示す図 である。
図 1 4 3は、 本発明に係る半導体検査装置におけるステージ装置の更に他の例を示 す図である。
図 1 4 4は、 本発明に係る半導体検査装置におけるステージ装置の更に別の例を示 す図である。
図 1 4 5は、 本発明に係る半導体検査装置におけるステージ装置の別の例を示す図 である。
図 1 4 6は、 本発明に係る半導体検査装置におけるステージ装置の別の例を示す図 である。
図 1 4 7は、 本発明に係る半導体検査装置におけるステージ装置の別の例を示す図 である。
図 1 4 8 ( A ) 及び図 1 4 8 ( B ) は、 従来のステージ装置を示す図である。 図 1 4 9は、 本発明に係る半導体検査装置における光学系及び検出器を示す図であ る。
図 1 5 0 ( a ) 及び図 1 5 0 ( b ) は、 本発明に係る半導体検査装置の他の実施の 形態を示す図である。 '
図 1 5 1は、 図 1 5 0の電子線装置を詳細に示す図である。
図 1 5 2は、 本発明に係る半導体検査'装置における一次電子照射方法を示す図であ る。
図 1 5 3は、 本発明に係る半導体検査装置の実施の形態を示す図で、 絶縁破壊を防 止する電極構造を備えている。
図 1 54は、 図 1 53の装置の動作を説明する表である。
図 1 5 5は、 図 1 53の装置における電極の構造を示す図である。
図 1 5 6は、 図 1 53の装置における電極の構造を示す図である。
図 1 5 7は、 図 1 53め装置における電極の構造を示す図である。
図 1 5 8は、 図 1 53の装置における電極の構造を示す図である。
図 1 5 9は、 本発明に係る半導体検査装置の実施の形態を示す図で、 制振装置を備 えている。
図 1 6 0 (a) 〜図 1 6 0 ( c ) は、 図 1 5 9の装置を説明する図である。
図 1 6 1は、 図 1 59の装置を説明する図である。
図 1 6 2は、 図 1 59の装置を説明する図である。
図 1 6 3は、 図 1 59の装置を説明する図である。
図 1 64 (a) 〜図 1 64 ( c ) は、 図 1 5 9の装置におけるパターンマッチング 法を説明する図である。
図 1 6 5は、 本発明に係る半導体検査装置におけるゥエーハの保持を説明する図で ある。
図 1 6 6は、 本発明に係る半導体検査装置におけるゥエーハの保持を説明する図で ある。
図 1 6 7 (a) 及び図 1 67 ( b ) は、 本発明に係る半導体検査装置におけるゥェ ーハの保持を説明する図である。
図 1 6 8は、 図 1 66で説明したチャックを備えた電子線装置を示す図である。 図 1 6 9は、 図 1 68に示す装置における E XB分離器を示す図である。
図 1 7 0は、 図 1 68に示す装置における E XB分離器を示す図である。
図 1 7 1は、 本発明に係る検査装置を製造ラインに接続した実施の形態を示す図で あ'る。
図 1 7 2 (A) は、 二次電子と反射電子を切り換えて用いることができる写像投影 方式電子線装置の実施の形態を概略的に示す図である。
図 1 7'2 (B) は、 その二次光学系の構成を概略的に示す図である。
図 1 7 3は、 図 1 72 (A) における二次電子検出系の具体的構成を示す図である 図 1 74 (A) 及び図 1 74 (B) は、 図 1 72 (A) に示す欠陥検査装置の異な る動作モードを説明する図である。
図 1 7 5は、 図 1 72 (A) に示す欠陥検査装置の二次光学系のレンズの具体的構 成を示す図である。 図 1 7 6 (A) は、 図 1 72 (A) に示す写像投影方式電子線装置の変形例の構成 を概略的に示す図である。
図 1 7 6 (B) は、 図 1 7 6 (A) の装置の走査方法を説明する図である。
図 1 7 7 (A) は、 図 1 7 2 (A) に示す写像投影方式電子線装置の他の変形例の 構成を概略的に示す図である。
図 1 7 7 (B) は、 図 1 7 7 (A) の装置の走査方法を説明する図である。
図 1 7 8は、 図 1 72 (A) に示す写像投影方式電子線装置の真空チャンバ及び X Yステージの構造とそのための不活性ガス循環配管系を示す図である。
図 1 7 9は、 図 1 78における差動排気機構の一例を示す図である。
図 1 80は、 検査システム全体の構成を概略的に示す図である。
発明を実施するための最良の形態
以下、 本発明に係る半導体検査装置の実施の形態を、 図面を参照しながら、 下記の 順番で詳細に説明する。
1. 全体構成
1— 1 ) メインチャンバ、 ステージ、 真空搬送系外装
1一 1一 1 ) ァクティブ除振台
1— 1一 2 ) メインチャンパ
1一 1一 3 ) X Yステージ
1— 2) レーザ干渉測定系 '
1 - 3) 検査部外装
2. 実施の形態
2— 1 ) 搬送系
2— 1— 1) カセッ トホルダ
' 2— 1— 2) ミニエンパイロメント装置
2— 1一 3 ) 主ハウジング
2 - 1 - 4) ローダハウジング
2— 1— 5 ) ローダ一
2— 1— 6 ) ステージ装置
2— 1— 7 ) ゥェ一ハチャッキング機構
2 - 1 - 7 - 1) 静電チャックの基本構造
2 - 1 - 7 - 2 ) 20 0Z30 0ブリツジツールのためのチヤッキング機構 2— 1一 7— 3 ) ゥ工一八チヤッキング手順
2— 1— 8) 2 0 0/3 00ブリツジツールのための装置構成 - 2 ) ゥエーハの搬送方法
- 3 ) 電子光学系
2— 3— 1 ) 概要
2 - 3 - 2 ) 構成の詳細
2 - 3 - 2-1 ) 電子銃 (電子線源〉
2— 3 — 2— 2 ) —次光学系
2 — 3 — 2— 3 ) 二次光学系
2— 3— 3) E XBユニッ ト (ウィーンフィルタ) 2 - 3 - 4) 検出器
2 - 3 - 5) 電源
— 4) プレチャージユニッ ト
— 5 ) 真空排気系
— 6 ) 制御系
2— 6— 1 ) 構成及び機能 '
2— 6— 2 ) ァライメント手順
2— 6— 3 ) 欠陥検査
2 - 6 - 4) 制御系構成
2 - 6 - 5 ) ユーザーインタ一フェース構成 — 7 ) その他の機能と構成の説明
2— 7— 1 ) 制御電極
2— 7— 2 ) 電位印加方法
2 - 7 - 3 ) 電子ビームキャリブレーション方法
2 - 7 - 4) 電極の清掃
2 - 7 - 5) ァライメント制御方法
2 - 7 - 6 ) E O補正
2— 7— 7 ) 画像比較方法
2 - 7 - 8) デバイス製造方法
2 - 7 - 9 ) 検査 (
— 8 ) 検査方法
2— 8— 1 ) 概要
2— 8— 2 ) 検査アルゴリズム
2— 8 2— 1 ) ァレイ検査
2 - 8 - 2—2) ランダム検査
2— 8— 2— 3 ) フォーカスマッピング 2 - 8 - 2 - 4) リソマージン測定
3. 他の実施の形態
3— 1 ) ステージ装置の変形例
3— 2 ) 電子線装置の他の実施の形態
3 - 2— 1 ) 電子銃 (電子線源)
3 - 2 - 2 ) 電極の構造
3— 3 ) 制振装置に関する実施の形態
3 - 4) ゥエー八の保持に関する実施の形態
3— 5) E XB分離器の実施の形態
3— 6) 製造ラインの実施の形態
3— 7) 他の電子を用いた実施の形態
3— 8 ) 二次電子と反射電子を用いる実施の形態。
1 全体構成
まず、 該半導体検査装置の全体の構成について説明する。
装置の全体構成を図 1を用いて述べる。 装置は検査装置本体、 電源ラック、 制御ラ ック、 画像処理ユニッ ト、 成膜装置、 エッチング装置等から構成される。 ドライボン プ等の粗引きポンプはクリーンルームの外に置かれる。 検査装置本体内部の主要部分 は、 図 2に示すように、 電子ビーム光学鏡筒、 真空搬送系、 ステージを収容している 主ハウジング、 除振台、 タ一ポ分子ポンプ等から構成されている。
制御系には二台の C RTを備え、 指示命令入力機能 (キーボード'等) を備えている 。 図 3は機能からみた構成を示す。 電子ビーム鏡筒は主に電子光学系、 検出系、 光学 顕微鏡等から構成されている。 電子光学系は電子銃、 レンズ等、 搬送系は真空搬送口 ポッ ト、 大気搬送口ポッ ト、 カセッ トローダ、 各種位置センサ等から構成されている ここでは、 成膜装置及びエッチング装置、 洗浄装置 (図示していない) を検査装置 本体近くに並べて設置しているが、 これらは検査装置本体に組み込んでも良い。 これ らは、 例えば試料の帯電抑制のために又は試料表面のクリーニングに使用される。 ス パッタ方式を用いると、 一台で制膜及びエッチングの両方の機能を持たせることがで さる。
図示していないが、 使用用途によってはその関連装置を検査装置本体近くに並べて 設置するか、 それらの関連装置を検査装置本体に組み込んで使用しても良い。 或いは 、 それらの関連装置に検査装置を組み込んでもよい。 例えば、 化学的機械研磨装置 ( CMP) と洗浄装置を検査装置本体に組み込んでも良く、 或いは、 CVD (化学蒸着 法 : chemical vapor deposition) 装置を検査装置に組み込んでもよく、 この場合、 設置面積や試料搬送のためのュニッ 卜の数を節約でき、 搬送時間を短縮できるなどの メリッ トが得られる。
同様に、 メツキ装置等の成膜装置に検査装置本体に組み込んでも良い。 同様にリソ グラフィ装置と組み合わせて使用することも可能である。
1一 1 ) メインチャンバ、 ステージ、 真空搬送系外装
図 4、 図 5、 図 6において、 半導体検査装置の検査部の主要構成要素が示されてい る。 半導体検査装置の検査部は、 外部環境からの振動を遮断するためのアクティブ除 振台 4 · 1と、 検査室であるメインチヤンパ 4 · 2と、 メインチャンバ上部に設置さ れた電子光学装置 4 · 3と、 メインチャンパ内部に搭載されたゥェ一ハスキャン用の XYステージ 5 · 1と、 XYステージ動作制御用のレーザ干渉測定系 5 · 2と、 メイ ンチャンバに付随する真空搬送系 4 · 4を備え、 それらは図 4、 図 5に示されるよう な位置関係で配置されている。 半導体検査装置の検査部は、 更に、 検査ユニッ トの環 境制御、 及ぴメンテナンスを可能とするための外装 6 · 1を備えており、 図 6に示さ れるような位置関係で配置されている。
1一 1— 1 ) ァクティブ除振台
ァクティブ除振台 4 · 1は、 ァクティブ除振ュニッ ト 5 · 3上に溶接定盤 5 · 4が 搭載されており、 この溶接定盤上に検査室であるメインチャンバ 4 · 2、 メインチヤ ンパ上部に設置された電子光学装置 4 · 3、 及びメインチャンバに付随する真空搬送 系 4 · 4等を保持するようになっている。 これにより、 検査部における外部環境から の振動を抑制できるようになつている。 この実施の形態では、 固有周波数が X方向 5 Hz、 Y方向 5 H z、 Z方向 7. 6Hzに対して ±2 5 %以内に収まっており、 制御 性能は、 各軸の伝達特性において、 1 H zで O dB以下、 7. 6 H zで一 6. 4 d B 以下、 1 0Hzで— 8. 6 dB以下、 2 0 Hzで一 1 7. 9 d B以下となっている ( 以上、 定盤上無負荷状態)。 アクティブ除振台の他の構造では、 メインチャンバ、 電 子 ¾学装置等を吊り下げて保持するようになっている。 さらに他の構造では、 石定盤 を搭載し、 メインチャンバ等を保持するようになっている。
1一 1一 2 ) メインチャンバ
メインチャンバ 4 · 2は、 検査環境である真空度 ( 1 0— 4 P a以下) を実現する ために夕一ポ分子ポンプ 7 · 2を下部に直接保持しており、 ゥェ一ハスキャン用の高 精度の XYステージ 5 · 1を内部に備え、 外部からの磁気を遮蔽できるようになって いる。 この実施の形態では、 高精度 XYステージの設置面の平面度を可能な限り良く するために以下の構造となっている。 メ ンチャンパの下板 7 · 3は、 溶接定盤上に 用意された特に平面度のよい部分 7 - 4 (この実施の形態では、 平面度 5 ^m以下) に設置、 固定されている。 さらに、 メインチャンパ内部にはステージ設置面として中 板を設けている。 中板は、 メインチャンバの下板に対して 3点で支持されており、 下 板の平面度の影響を直接受けないようになつている。 この実施の形態では、 支持部分 が球面座 7 · 6により構成されている。 中板は、 自重及びステージ重さを負荷された 場合にステージ設置面を平面度 5 m以下に達成できるようになつている。 また、 内 部の圧力変化 (大気圧より真空度 1 0—4 P a以下) によるメインチャンバ変形のス テージ取付け面に対する影響を抑えるために、 下板の中板 3点支持部分付近は、 溶接 • 定盤に直接固定されている。
X Yステージを高精度に制御するためにレーザ干渉計によるステージ位置の測定系 が設置されている。 干渉計 8 · 1は、 測定誤差を抑えるために真空中に配されおり、 直接測定誤差となる干渉計自体の振動を限りなくゼロとするために、 この実施の形態 では剛性の高いチャンパ壁 7 · 7に直接固定されている。 また、 測定位置と検査位置 の誤差を無くすために、 干渉計による測定部分の延長線上が検査部分と極力一致する ようになつている。 また、 ステージの X Y動作を行なうためのモータ 8 · 2はこの実 施の形態ではチャンバ壁 7 · 7により保持されているが、 メインチャンバへ及ぼすモ 一夕振動の影響をさらに抑制する必要がある場合には溶接定盤 7 · 1により直接保持 し、 ベローズ等の振動を伝達しない構造によりメインチャンパに取付けられる。 メインチャンパ 4 · 2は、 検査部分に及ぼす外部磁場の影響を遮断するために透磁 率の高い材料で構成されている。 この実施の形態では、 パーマロイと S S 4 0 0等の 鉄に防鲭コーティングとして N iめっきを施したものとなっている。 他の実施の形態 では、 パーメンジエール、 スーパ一マロイ、 電磁軟鉄、 純鉄などとなっている。 さら に、 チャンバ内部の検査部周辺を直接透磁率の高い材料で覆うことも磁気遮蔽効果と して有効である。
1 - 1 - 3 ) X Yステージ
X Yステージ 5 · 1は、 真空中でゥエーハを高精度にスキャンできるようになって い 。 Xおよび Yのストロークは、 例えば 2 0 O mmゥェ一八用としてそれぞれ 2 0 0 mm~ 3 0 0 mm、 3 0 0 mmゥェ一ハ用としてそれぞれ 3 0 0 mm ~ 6 0 0 mm となっている。 この実施の形態での X Yステージの駆動は、 メインチャンバ壁に固定 された Xおよび Y軸駆動用のモータ 8 · 2と、 これらに磁性流体シール 8 · 3を介し て取付けられたポールネジ 8 · 5により行われる。 Xおよび Y駆動用のポールネジが 、 チャンバ壁に対して固定された状態で X Y動作を行なえるた に、 この実施の形態 ではステージ構造は、 以下のようになつている。
まず下段には、 Yステージ 7 · 1 0が Eされており、 駆動のためのポールネジ 7 · 8およびクロスローラーガイ ド 7 · 1 1が設置されている。 Yステージ上部には、 X 軸駆動用のポールネジ 7 · 1 4が設置された中間ステージ 7 · 1 2を介してさらにそ の上部に Xステージ 7 · 1 3が搭載されている。 中間ステージと Yステージおよび X ステージは、 Y軸方向にクロス口一ラーガイ ドにより連結されている。 これにより、 Y軸移動時には Yステージおよび連結部 7 · 1 4により Xステージが移動し、 中間ス テ一ジは固定された状態のままとなる。 他の実施の形態では、 中間ステージは上段軸 と並べて配置される二段構造となっている。 また、 他の実施の形態の X Yステージで は、 X Yステージそのものがリニアモータで駆動されるようになっている。 さらに、 全ストロークに渡りレーザ干渉計による測定が可能なように高精度ミラ一 8 · 4 (こ の実施の形態では、 平面度 λ / 2 0以下、 材質は合成石英にアルミ蒸着) が設置され ている。
また、 真空中でゥェ一ハァライメントを行なうために Χ Υステージ上には 0ステー ジ 7 · 1 5が設置されている。 この実施の形態における 0ステージでは、 駆動用とし て 2つの超音波モ一夕、 位置制御用としてリニアスケールが配されている。 X、 Υ及 び 0動作を行なう可動部に接続された種々のケーブルは、 Xステージおよび Υステー ジにそれぞれ保持されたケーブルベアによりクランプされ、 チャンパ壁に設置された フィードスルーを介してメインチヤンパ外部へと接続されている。
上記の構造による本実施の形態のスペックを表 1、 表 2に示す。
Figure imgf000020_0001
表 2
システム仕様 性
. 項目 · 基準,. ·· · 検査方法 - . X軸横方向変位 ±'0..5 μ ra以下 Y軸一定速移動時の X軸偏'
.. @10mra/^ec- @15mm/ sec 値、' X軸ほ中央
Figure imgf000021_0001
@30mra/s,ec .
Figure imgf000021_0002
、(力 0滅 ハ' -振
動成分除ぐ)
(タ觸 .
.. X軸位置決め精度 ±0.5μηι 下 0— >20mni, 20讓 /sec移動後の停 止精度。 Y軸は中央 '. Ύ軸位置決め精度 ' ±0.5 《 ^ 0— >20nim、 20ra4/sec移勳後の停
(ク ス表示). +. · 止精度。 X軸は中央 .
. Y 速度むら ±3.ρ η.¾ 一定途移動後の偏差変動。 X軸は
@lOmm/ sec, ;@'15iii)ij/ sec 中央
±5··.0 ·ίϋ· ·卞□.·'
@30pnAS$Ci:.@ 0inm/sec
(タラフ表 : .
1 - 2 ) レーザ干渉測定系
レーザ干渉測定系は、 X軸および Y軸に平行で、 その延長線上が検査位置に相当す る光軸を有するレーザ光学系と、 その間に配された干渉計 8 · 1 により構成されてい る。 本実施の形態における光学系の配置は、 図 9、 図 1 0に示されるような位置関係 で配置されている。 溶接定盤上に設置されたレーザ 9 · 1より発射されたレーザ光は 、 ベンダ 9 · 2により垂直に立ち上げられたのちにベンダ 1 0 · 1により測定面と平 - 行に曲げられる。 さらに、 スプリツタ 9 · 4により X軸測定用と Y軸測定用に分配さ れた後に、 ベンダ 1 0 · 3およびベンダ 9 · 6によりそれぞれ Y軸および X軸に平行 に曲げられ、 メインチャンバ内部へと導入される。
上記光学系の立上げ時の調整方法を以下に説明する。 まず、 レーザより発射された レーザ光が、 ベンダ 9 · 2により垂直に、 ベンダ 1 0 · 1により水平に曲げられるよ うに調整する。 その後、 ベンダ 1 0 · 3により曲げられ、 Y軸に対して高精度に垂直 に設置されたミラ一 8 · 4に反射して戻る光軸が入射の光軸に完全に一致するように ベンダ 1 0 · 3を調整する。 光軸の確認を、 反射光を妨げないように干渉計を外した 状態で、 レーザ直後で行なうことにより、 精度の高い調整が可能となる。 また、 X軸 の光軸調整は、 Y軸の光軸調整を行なった後に、 スプリツ夕 9 · 4とベンダ 9 · 6に より独立に行なうことができる。 調整の要領は Y軸と同様である。 さらに、 X軸およ ぴ Y軸の入射光と反射光の軸を調整した後に、 各光軸の交点 (ミラーがないと考えた 場合) をゥエーハ検査位置に一致させる必要がある。 このために、 ベンダ 1 0 . 3を 固定しているブラケッ トは Y軸に対して垂直に、 ベンダ 9 · 6を固定しているブラケ ッ トは X軸に対して垂直に入射光と反射光を一致させたまま移動できるようになって いる。 さらに、 ベンダ 1 0 · 1、 スプリ ツ夕 9 · 4、 ベンダ 1 0 . 3、 ベンダ 9 . 6 はそれぞれの位置関係を保持したまま上下に移動できることが望ましい。
また、 立上げ後、 運転中の本装置におけるレーザの交換に伴う光軸調整方法を以下 に 明する。 運転中のメインチャンバ内部が真空に保持されている状態の装置では、 干渉計を取り外した光軸等が困難となっている。 そこで、 メインチャンパ外部の光路 に数箇所ターゲッ ト 1 0 · 2を設置し、 立上げ時の光路がメインチャンバ外部のみで 判断できる治具が用意されている。 レーザ交換後は、 レーザ取付け台に備えられた調 整機能のみでターゲッ ト 1 0 · 2に対して光軸を調整することにより、 立上げ時に行 なった調整を再現できるようになつている。 '
1 - 3 ) 検査部外装
検査部外装 4 · 7は、 メンテナンス用のフレーム構造としての機能を備えられるよ うになつている。 本実施の形態では、 収納可能な両持ちクレーン 1 1 · 1が上部に搭 載されている。 クレーン 1 1 · 1は横行レール 1 1 · 2に取付けられ、 横行レールは さらに走行レール (縦) 1 1 · 3に設置されている。 走行レールは、 通常時には図 1 1のように収納状態となっているのに対して、 メンテナンス時には図 1 2のように上 昇し、 クレーンの上下方向のストロークを大きくすることが可能となっている。 これ により、 メンテナンス時には外装に内蔵されたクレーンにより電子光学装置 4 · 3、 メインチャンバ天板、 X Yステージ 5 · 1を装置背面に脱着可能となっている。 外装 に内蔵されたクレーンの他の実施の形態では、 回転可能な片持ち軸を持つクレーン構 造となっている。
また、 検査部外装は、 環境チャンバとしての機能を兼ね備えることも可能となって いる。 これは、 必要に応じて温度、'湿度管理とともに、 磁気遮蔽効果を有するように なっている。
2 実施の形態
以下、 図面を参照して、 本発明の好ましい実施の形態について、 検査対象として表 面にパターンが形成された基板すなわちゥエーハを検査する半導体検査装置として説 明する。
2 - 1 ) 搬送系
図 1 3及び図 1 4は、 本発明に係る半導体検査装置の主要構成要素を立面図及び平 面図で示している。 この半導体検査装置 1 3 · 1は、 複数枚のゥエーハを収納した力 セッ トを保持するカセッ トホルダ 1 3 · 2と、 ミニエンバイロメント装置 1 3 · 3 と 、 ヮ一キングチャンパを構成するローダハウジング 1 3 · 5 と、 ゥエーハをカセッ ト ホルダ 1 3 · 2から主ハウジング 1 3 · 4内に配置されたステージ装置 1 3 · 6上に 装填するローダ一 1 3 · 7 と、 真空ハウジングに取り付けられた電子光学装置 1 3 · 8とを備え、 それらは図 1 3及ぴ図 1 4に示されるような位置関係で配置されている 半導体検査装置 1 3 · 1は、 更に、 真空の主ハウジング 1 3 · 4内に配置されたプ レチャージユニッ ト 1 3 · 9と、 ゥエーハに電位を印加する電位印加機構と、 電子ビ —ムキヤリプレーション機構と、 ステージ装置上でのゥェ一八の位置決めを行うため のァライメント制御装置 1 3 * 1 0を構成する光学顕微鏡 1 3 * 1 1 とを備えている
2 - 1 - 1 ) 力セッ トホルダ
カセッ トホルダ 1 3 · 2は、 複数枚 (例えば 2 5枚) のゥェ一八が上下方向に平行 に並べられた状態で収納されたカセッ ト 1 3 · 1 2 (例えば、 アシスト社製の S M I F、 F〇U Pのようなクロ一ズドカセッ ト) を複数個 (この実施の形態では 2個) 保 持するようになっている。 このカセッ トホルダ 1 3 · 2 としては、 カセッ トをロポッ ト等により搬送してきて自動的にカセッ トホルダ 1 3 · 2に装填する場合にはそれに 適した構造のものを、 また人手により装填する場合にはそれに適したオープンカセッ ト構造のものをそれぞれ任意に選択して設置できるようになつている。 カセッ トホル ダ 1 3 · 2は、 この実施の形態では、 自動的にカセッ ト 1 3 · 1 2が装填される形式 であり、 例えば昇降テーブル 1 3 · 1 3 と、 その昇降テ一プル 1 3 . 1 3を上下移動 させる昇降機構 1 3 · 1 4とを備え、 カセッ ト 1 3 · 1 2は昇降テーブル 1 3 · 1 3 上に図 1 4で鎖線で示す状態で自動的にセッ ト可能にされ、 セッ ト後、 図 1 4で実線 で示す状態に自動的に回転されてミニエンバイロメント装置内の第 1の搬送ュニッ ト の回動軸線に向けられる。
また、 昇降テーブル 1 3 · 1 3は図 1 3で鎖線で示す状態に降下される。 このよう に、 自動的に装填する場合に使用するカセッ トホルダ或いは人手により装填する場合 に使用するカセッ トホルダはいずれも公知の構造のものを適宜使用すれば良いので、 その構造及び機能の詳細な説明は省略する。
別の実施の形態では、 図 1 5に示すように、 複数の 3 0 0 mm基板を箱本体 1 5 · 1の内側に固定した溝型ポケッ ト(記載せず)に収納した状態で収容し、 搬送、 保管等 を行うものである。 この基板搬送箱 1 5 · 2は、 角筒状の箱本体 1 5 * 1 と基板搬出 入ドア自動開閉装置に連絡されて箱本体 1 5 · 1の側面の開口部を機械により開閉可 能な基板搬出入ドア 1 5 · 3 と、 開口部と反対側に位置し、 フィルタ類およびファン モータの着脱を行うための開口部を覆う盖体 1 5 · 4と、 基板 W (図 1 3 ) を保持す るための溝型ポケッ ト(図示せず)、 U L P Aフィルタ 1 5 · 5、 ケミカルフィルタ 1 5 · 6、 ファンモータ 1 5 · 7 とから構成されている。 この実施の態様では、 ローダ — 1 3 ■ 7の口ポッ ト式の第 1の搬送ュニッ ト 1 5 · 7により、 基板を出し入れする なお、 カセッ ト 1 3 · 1 2内に収納される基板すなわちゥェ一八は、 検査を受ける ゥェ一ハであり、 そのような検査は、 半導体製造工程中でゥェ一八を処理するプロセ スの後、 若しくはプロセスの途中で行われる。 具体的には、 成膜工程、 C M P、 ィォ ン注入等を受けた基板すなわちゥェ一ハ、 表面に配線パターンが形成されたゥェ一ハ 、 又は配線パターンが未だに形成されていないゥェ一ハが、 カセッ ト内に収納される 。 カセッ ト 1 2 · 1 2内に収容されるゥエーハは多数枚上下方向に隔ててかつ平行に 並べて配置されているため、 任意の位置のゥェ一八と後述する第 1の搬送ュニッ トで 保持できるように、 第 1の搬送ユニッ トのアームを上下移動できるようになつている 。 また、 カセッ トにはプロセス後のゥェ一ハ表面の酸化等の防止のために、 カセッ ト 内の水分をコントロールするための機能 設けられている。 例えば、 シリカゲル等の 除湿剤がカセッ トの中に置かれている。 この場合、 除湿効果があれば、 任意のものを 利用することができる。 2 - 1 - 2 ) ミ二エンバイロメント装置
図 1 3〜図 1 6において、 ミニエンバイロメント装置 1 3 · 3は、 雰囲気制御され るようになっているミニエンバイロメント空間 1 6 · 1を構成するハウジング 1 6 · 2と、 ミ二エンバイロメント空間 1 6 · 1内で清浄空気のような気体を循環して雰囲 気制御するための気体循環装置 1 6 · 3と、 ミニエンバイロメント空間 1 6 · 1内に 供給された空気の一部を回収して排出する排出装置 1 6 · 4と、 ミニエンバイロメン ト空間 1 6 · 1内に配設されていて検査対象としての基板すなわちゥエーハを粗位置 決めするブリアライナ一 1 6 · 5とを備えている。
ハウジング 1 6 · 2は、 頂壁 1 6 · 6、 底壁 1 6 · 7及び四周を囲む周壁 1 6 . 8 を有しており、 ミニエンバイロメント空間 1 6 · 1を外部から遮断する構造になって いる。 ミニエンバイロメント空間 1 6 · 1を雰囲気制御するために、 気体循環装置 1 6 · 3は、 図 1 6に示されるように、 ミニエンバイロメント空間 1 6 . 1内において 、 頂壁 1 6 · 6に取り付けられていて、 気体 (この実施の形態では空気) を清浄にし て一つ又はそれ以上の気体吹き出し口 (図示せず) を通して清狰空気を真下に向かつ て層流状に流す気体供給ユニッ ト 1 6 · 9と、 ミニエンパイロメント空間 1 6 ■ 1内 において底壁 1 6 · 7の上に配置されていて、 底に向かって流れ下った空気を回収す る回収ダク ト 1 6 ' 1 0 と、 回収ダク ト 1 6 · 1 0と気体供給ュニッ ト 1 6 . 9とを 接続して回収された空気を気体供給ュニッ ト 1 6 · 9に戻す導管 1 6 · 1 1 とを備え ている。
この実施の形態では、 気体供給ユニッ ト 1 6 ■ 9は供給する空気の約 2 0 %を六ゥ ジング 1 6 · 2の外部から取り入れて清浄にするようになっているが、 この外部から 取り入れられる気体の割合は任意に選択可能である。 気体供給ユニッ ト 1 6 · 9は、 清浄空気を作りだすための公知の構造の H E P A若しくは U L P Aフィルタを備えて いる。 清浄空気の層流状の下方向の流れすなわちダウンフローは、 主に、 ミニエンバ ィ'ロメント空間 1 6 · 1内に配置された後述する第 1の搬送ュニッ トによる搬送面を 通して流れるように供給され、 搬送ュニッ トにより発生する虞のある塵埃がゥエーハ に付着するのを防止するようになっている。 したがって、 ダウンフローの噴出ロは必 ずしも図示のように頂壁に近い位置である必要はなく、 搬送ュニッ トによる搬送面よ り上側にあればよい。 また、 ミニエンバイロメント空間 1 6 · 1全面に亘つて流す必 要もない。
なお、 場合によっては、 清浄空気としてイオン風を使用することによって清浄度を 確保することができる。 また、 ミニエンバイロメント空間 1 6 · 1内には清浄度を観 察するためのセンサを設け、 清浄度が悪化したときに装置をシャツ トダウンすること もできる。 ハウジング 1 6 · 2の周壁 1 6 ■ 8のうちカセッ トホルダ 1 3 · 2に隣接する部分 には出入り口 1 3 · 1 5が形成されている。 出入り口 1 3 · 1 5近傍には公知の構造 のシャッタ装置を設けて出入り口 1 3 · 1 5をミニエンバイロメント装置側から閉じ るようにしてもよい。 ゥェ一ハ近傍でつくる層流のダウンフローは、 例えば 0 . 3な いし 0 . 4 m / s e cの流速でよい。 気体供給ユニッ ト 1 6 · 9はミニエンパイロメ ント空間 1 6 · 1内でなくその外側に設けてもよい。
排出装置 1 6 · 4は、 前記搬送ユニッ トのゥェ ^ハ搬送面より下側の位置で搬送ュ ニッ トの下部に配置された吸入ダクト 1 6 · 1 2 と、 ハウジング 1 6 · 2の外側に配 置されたプロワ一 1 6 · 1 3 と、 吸入ダク ト 1 6 · 1 2とプロワ一 1 6 · 1 3 とを接 続する導管 1 6 · 1 4とを備えている。 この排出装置 1 6 · 4は、 搬送ュニッ 卜の周 囲を流れ下り搬送ュ二、;/ 卜により発生する可能性のある塵埃を含んだ気体を、 吸入ダ ク ト 1 6 · 1 2により吸引し、 導管 1 6 : 1 4及びブロワ一 1 6 ' 1 3を介してハウ ジング 1 6 · 2の外側に排出する。 この場合、 ハウジング 1 6 · 2の近くに引かれた 排気管 (図示せず) 内に排出してもよい。
ミ二エンバイロメント空間 1 6 · 1内に配置されたプリァライナ一 1 6 · 5は、 ゥ エー八に形成されたオリエンテ一ションフラッ ト (円形のゥェ一ハの外周に形成され た平坦部分を言い、 以下においてオリフラと呼ぶ) や、 ゥェ一八の外周縁に形成され た一つ又はそれ以上の V型の切欠きすなわちノツチを光学的に或いは機械的に検出し てゥェ一八の軸線 0— Oの周りの回転方向の位置を約士 1度の精度で予め位置決めし ておくようになっている。 ブリアライナ一 1 6 · 5は検査対象の座標を決める機構の 一部を構成し、 検査対象の粗位置決めを担当する。 このブリアライナ一 1 6 · 5 自体 は公知の構造のものでよいので、 その構造、 動作の説明は省略する。
なお、 図示しないが、 ブリアライナー 1 6 · 5の下部にも排出装置用の回収ダク ト を設けて、 ブリアライナ一 1 6 · 5から排出された塵埃を含んだ空気を外部に排出す るようにしてもよい。
2 - 1 - 3 ) 主ノ、ウジング
図 1 3〜図 1 5において、 ワーキングチャンバ 1 3 · 1 6を構成する主ハウジング 1 3 · 4は、 ハウジング本体 1 3 · 1 7を備え、 そのハウジング本体 1 3 · 1 7は、 台フレーム 1 3 · 1 8上に配置された振動遮断装置すなわち防振装置 1 3 · 1 9の上 に載せられたハウジング支持装置 1 3 · 2 0によって支持され'ている。 ハウジング支 持装置 1 3 · 2 0は矩形に組まれたフレーム構造体 1 3 · 2 1を備えている。 ハウジ ング本体 1 3 · 1 7はフレーム構造体 1 3 · 2 1上に配設固定されていて、 フレーム 構造体上に載せられた底壁 1 3 · 2 2と、 頂壁 1 3 · 2 3 と、 底壁 1 3 · 2 2及び頂 壁 1 3 · 2 3に接続されて四周を囲む周壁 1 3 · 2 4とを備えていてヮ一キングチヤ ンバ 1 3 · 1 6を外部から隔離している。 底壁 1 3 · 2 2は、 この実施の形態では、 上に載置されるステージ装置等の機器による加重で歪みの発生しないように比較的肉 厚の厚い鋼板で構成されているが、 その他の構造にしてもよい。
この実施の形態において、 ハウジング本体及びハウジング支持装置 1 3 · 2 0は、 剛構造に組み立てられていて、 台フレーム 1 3 · 1 8が設置されている床からの振動 がこの剛構造に伝達されるのを防振装置 1 3 ■ 1 9で阻止するようになっている。 八 ウジング本体 1 3 . 1 7の周壁 1 3 · 2 4のうち後述するローダハウジングに隣接す る周壁にはゥエーハ出し入れ用の出入り口 1 4 · 1が形成されている。
なお、 防振装置 1 3 · 1 9は、 空気パネ、 磁気軸受け等を有するアクティブ式のも のでも、 或いはこれらを有するパッシブ式のもよい。 いずれも公知の構造のものでよ いので、 それ自体の構造及び機能の説明は省略する。 ワーキングチャンパ 1 3 。 1 6 は公知の構造の真空装置 (図示せず) により真空雰囲気に保たれるようになつている 。 台フレーム 1 3 · 1 8の下には装置全体の動作を制御する制御装置 2が配置されて いる。 主ハウジングの圧力は通常 1 0—4〜 1 0— 6 P aに保たれている。
2— 1— 4 ) ローダハウジング
図 1 3〜図 1 5及び図 1 7において、 ローダハウジング 1 3 · 5は、 第 1のローデ イ ングチャンバ 1 4 · 2と第 2のローデイングチャンバ 1 4 · 3とを構成するハウジ ング本体 1 4 · 4を備えている。 ハウジング本体 1 4 · 4は底壁 1 7 · 1 と、 頂壁 1 7 · 2 と、 四周を囲む周壁 1 7 · 3 と、 第 1のローデイングチャンバ 1 4 · 2 と第 2 のローディングチャンバ 1 4 · 3とを仕切る仕切壁 1 4 · 5 とを有していて、 両口一 ディングチヤンバを外部から隔離できるようになつている。 仕切壁 1 4 · 5には両口 一ディングチャンパ間でゥエー八のやり取りを行うための開口すなわち出入り口 1 7 - 4が形成されている。 また、 周壁 1 7 · 3のミニエンバイロメント装置及び主ハウ ジングに隣接した部分には出入り口 1 4 · 6及び 1 4 · 7が形成されている。
このローダハウジング 1 3 · 5のハウジング本体 1 4 · 4は、 ハウジング支持装置 1 3 · 2 0のフレーム構造体 1 3 · 2 1上に載置されてそれによつて支持されている 。 したがって、 このローダハウジング 1 3 · 5にも床の振動が伝達されないようにな つている。 ローダハウジング 1 3 · 5の出入り口 1 4 ■ 6とミニエンバイロメント装 置 1 3 · 3のハウジング 1 6 · 2の出入り口 1 3 · 2 5とは整合されていて、 そこに はミニエンバイロメント空間 1 6 · 1 と第 1のローディングチヤンバ 1 4 . 2 との連 通を選択的に阻止するシャツタ装置 1 4 · 8が設けられている。
シャッタ装置 1 4 ' 8は、 出入り口 1 3 · 2 5及ぴ 1 4 . 6の周囲を囲んで側壁 1 7 · 3 と密に接触して固定されたシ一ル材 1 3 · 2 6、 シール材 1 3 · 2 6 と共動し て出入り口を介しての空気の流通を阻止する扉 1 3 · 2 7 と、 その扉を動かす駆動装 置 1 3 · 2 8とを有している。 また、 ローダハウジング 1 3 · 5の出入り口 1 4 · 7 とハウジング本体 1 3 · 1 7の出入り口 14 · 1とは整合されていて、 そこには第 2 の口一ディングチャンバ 14 · 3とワーキンググチャンバ 1 3 . 1 6との連通を選択 的に密封阻止するシャツタ装置 1 3 · 2 9が設けられている。 シャツ夕装置 1 3 - 2 9は、 出入り 口 1 4 · 7及び 14 , 1の周囲を囲んで側壁 1 7 · 3及び 1 3 · 24と 密に接触してそれらに固定されたシール材 1 3 · 3 0、 シール材 1 3 · 3 0と共動し • て出入り口を介しての空気の流通を阻止する扉 14 · 9と、 その扉を動かす駆動装置 1 3 · 3 1とを有している。
更に、 仕切壁 1 4 · 5に形成された開口には、 扉によりそれを閉じて第 1及び第 2 の口一ディングチャンバ間の連通を選択的に密封阻止するシャツ夕装置 1 4 · 1 0が 設けられている。 これらのシャッタ装置 1 4 · 8、 1 3 - 29及び 4 14 · 1 0は、 閉じ状態にあるとき各チャンパを気密シールできるようになつている。 これらのシャ ッタ装置は公知のものでよいので、 その構造及び動作の詳細な説明は省略する。 なお、 ミニエンバイロメント装置 1 3 ■ 3のハウジング 1 6 ■ 2の支持方法と口一 ダハウジングの支持方法が異なり、 ミニエンバイロメント装置 1 3 · 3を介して床か らの振動がローダハウジング 1 3 · 5及び主ハウジング 1 3 · 4に伝達されるのを防 止するために、 ハウジング 1 6 · 2と口一ダハウジング 1 3 · 5との間には出入り口 ' の周囲を気密に囲むように防振用のクッション材を配置しておけば良い。
第 1の口一ディ ングチャンバ 14 · 2内には、 複数 (この実施の形態では 2枚) の ゥェ一ハを上下に隔てて水平の状態で支持するゥェ一ハラック 14 · 1 1が配設され ている。 ゥェ一ハラック 14 · 1 1は、 図 1 8に示されるように、 矩形の基板 1 8 · 1の四隅に互いに隔てて直立状態で固定された支柱 1 8 · 2を備え、 各支柱 1 8 · 2 にはそれぞれ 2段の支持部 1 8 · 3及び 1 8 ■ 4が形成され、 その支持部の上にゥェ —ハ Wの周縁を載せて保持するようになっている。 そして後述する第 1及び第 2の搬 送ュニッ トのアームの先端を隣接する支柱間からゥエー八に接近させてアームにより ゥエーハを把持するようになっている。
ローディングチャンパ 14 · 2及び 14 · 3は、 図示しない真空ポンプを含む公知 の構造の真空排気装置 (図示せず) によって高真空状態 (真空度としては 1 0 _4〜 1 0— 6 P a) に雰囲気制御され得るようになつている。 この場合、 第 1のローディ ングチャンパ 14 · 2を低真空チャンパとして低真空雰囲気に'保ち、 第 2のローディ ングチャンパ 14 · 3を高真空チャンパとして高真空雰囲気に保ち、 ゥエーハの汚染 防止を効果的に行うこともできる。 この'ような構造を採用することによってローディ ングチャンバ内に収容されていて次に欠陥検査されるゥェ一ハをワーキングチヤンパ 内に遅滞なく搬送することができる。 このような口一ディングチャンバを採用するこ とによって、 後述するマルチビーム型電子装置原理と共に、 欠陥検査のスル一プッ ト を向上させ、 更に保管状態が高真空状態であることを要求される電子源周辺の真空度 を可能な限り高真空度状態にすることができる。
第 1及び第 2のローディングチャンバ 1 4 · 2及び 1 4 · 3は、 それぞれ真空排気 配管と不活性ガス (例えば乾燥純窒素) 用のベント配管 (それぞれ図示せず) が接続 されている。 これによつて、 各ローデイングチャンバ内の大気圧状態は不活性ガスべ ント (不活性ガスを注入して不活性ガス以外の酸素ガス等が表面に付着するのを防止 する) によって達成される。 このような不活性ガスベントを行う装置自体は公知の構 造のものでよいので、 その詳細な説明は省略する。
なお、 電子線を使用する本発明の検査装置において、 後述する電子光学系の電子源 として使用される代表的な六硼化ランタン (L a B 6 ) 等は一度熱電子を放出する程 度まで高温状態に加熱された場合には、 酸素等に可能な限り接触させないことがその 寿命を縮めないために肝要であるが、 電子光学系が配置されているワーキングチャン バにゥエー八を搬入する前段階で上記のような雰囲気制御を行うことにより、 より確 実に実行できる。
2— 1— 5 ) ローダ一
ローダ一 1 3 · 7は、 ミニエンバイロメント装置 1 3 . 3のハウジング 1 6 · 2内 に配置されたロポッ ト式の第 1の搬送ュニッ ト 1 6 · 1 4と、 第 2の口一ディングチ ヤンバ 1 4 · 3内に配置されたロポッ ト式の第 2の搬送ュニッ ト 1 4 · 1 2 とを備え ている。
第 1の搬送ュニッ ト 1 6 · 1 4は、 駆動部 1 6 · 1 5に関して軸線 0 i— O iの回 りで回転可能になっている多節のァ一ム 1 6 · 1 6を有している。 多節のアームとし ては任意の構造のものを使用できるが、 この実施の形態では、 互いに回動可能に取り 付けられた三つの部分を有している。
1の搬送ュニッ ト 1 6 · 1 4のアーム 1 6 . 1 6の一つの部分すなわち最も駆動 部 1 6 · 1 5側の第 1の部分は、 駆動部 1 6 · 1 5内に設けられた公知の構造の駆動 機構 (図示せず) により回転可能な軸 1 6 · 1 7に取り付けられている。 アーム 1 6 • 1 6は、 軸 1 6 · 1 7により軸線〇 i— O の回りで回動できると共に、 部分間の 相対回転により全体として軸線 0ェ一〇 に関して半径方向に伸縮可能になっている 。 アーム 1 6 · 1 6の軸 1 6 · 1 7から最も離れた第 3の部分の先端には、 公知の構 造の機械式チャック又は静電チヤック等のゥエーハを把持する把持装置 1 4 · 1 3が 設けられている。 駆動部 1 6 · 1 5は、 公知の構造の昇降機構 1 6 · 1 8により上下 方向に移動可能になっている。
この第 1の搬送ュニッ ト 1 6 · 1 4は、 アーム 1 6 · 1 6がカセッ トホルダに保持 された二つのカセッ 卜の内いずれか一方の方向 M 1又は M 2に向かってアームが伸び 、 力セッ ト内に収容されたゥエーハを 1枚アームの上に載せ或いはアームの先端に取 り付けたチャック (図示せず) により把持して取り出す。 その後アームが縮み (図 1 4に示すような状態)、 アームがブリアライナ一 1 6 · 5の方向 M 3に向かって伸長 できる位置まで回転してその位置で停止する。 するとアームが再び伸びてアームに保 持されたゥェ一ハをプリアライナ一 1 6 · 5に載せる。 ブリアライナー 1 6 · 5から 前記と逆にしてゥェ一ハを受け取った後はアームは更に回転し第 2の口一ディングチ ヤンバ 1 4 . 2に向かって伸長できる位置 (向き M 4 ) で停止し、 第 2のローデイン グチャンパ 1 4 ' 2内のゥェ一ハ受けにゥエーハを受け渡す。 なお、 機械的にゥエー ハを把持する塲合にはゥェ一ハの周縁部 (周縁から約 5 mmの範囲) を把持する。 こ れはゥエーハには周縁部を除いて全面にデバイス (回路配線) が形成されており、 こ の部分を把持するとデバイスの破壊、 欠陥の発生を生じさせるからである。
第 2の搬送ュニッ ト 1 4 ■ 1 2も第 1の搬送ュニッ トと構造が基本的に同じであり 、 ゥエー八の搬送をゥェ一ハラックとステージ装置の載置面上との間で行う点でのみ 相違するだけであるから、 詳細な説明は省略する。
上記ローダー 1 3 · 7では、 第 1及ぴ第 2の搬送ュニッ ト 1 6 · 1 4及び 1 4 · 1 2は、 カセッ トホルダに保持されたカセッ トからワーキングチャンバ 1 3 · 1 6内に 配置されたステージ装置 1 3 · 6上への及びその逆のゥェ一ハの搬送をほぼ水平状態 に保ったままで行い、 搬送ユニッ トのアームが上下動するのは、 単に、 ゥエーハのカ セッ トからの取り出し及ぴそれへの揷入、 ゥエーハのゥエーハラックへの載置及びそ こからの取り出し及びゥェ一ハのステージ装置への載置及びそこからの取り出しのと きるだけである。 したがって、 大型のゥヱ一ハ、 例えば直径 3 0 0 mmのゥェ一ハの 移動もスムースに行うことができる。
ステージには、 ゥエーハに逆バイアスをかける機構があるので、 アームがステージ にゥェ一ハを置きに行く、 もしくは取りに行く時に、 アームをステージと同じもしく は近い電位、 またはアームをフローティ ング電位にしておく ことにより、 電位のショ 一トによる放電などの不具合を避ける機構を有している。
2— 1— 6 ) ステージ装置 .
ステージ装置 1 3 · 6は、 主ハウジング 1 3 · 4の底壁 1 3 · 2 2上に配置された 固定テーブル 1 3 ' 3 2と、 固定テーブル上で Y方向 (図 1 おいて紙面に垂直の方 向) に移動する Yテ プル 1 3 · 3 3と、 Yテーブル上で X方向 (図 1において左右 方向) に移動する Xテ一プル 1 3 · 3 4と、 Xテーブル上で回転可能な回転テーブル 1 3 · 3 5 と、 回転テーブル 1 3 · 3 5上に配置されたホルダ 1 3 · 3 6 とを備えて いる。 そのホルダ 1 3 · 3 6のゥエーハ載置面 1 4 ■ 1 4上にゥェ一ハを解放可能に 保持する。 ホルダ 1 3 · 3 6は、 ゥエーハを機械的に或いは静電チャック方式で解放 可能に把持できる公知の構造のものでよい。 ステージ装置 1 3 · 6は、 サーポモータ 、 エンコーダ及び各種のセンサ (図示せず) を用いて、 上記のような複数のテ一プル を動作させることにより、 載置面 1 4 * 1 4上でホルダに保持されたゥエーハを電子 光学装置から照射される電子ビームに対して X方向、 Y方向及び Z方向 (図 1 3にお いて上下方向) に、 更にゥエー八の支持面に鉛直な軸線の回り方向 (0方向) に高い • 精度で位置決めできるようになつている。
なお、 Z方向の位置決めは、 例えばホルダ上の載置面の位置を Z方向に微調整可能 にしておけばよい。 この場合、 載置面の基準位置を微細径レーザによる位置測定装置 (干渉計の原理を使用したレーザ干渉測距装置) によって検知し、 その位置を図示し ないフィ一ドバック回路によって制御したり、 それと共に或いはそれに代えてゥエー ハのノツチ或いはオリフラの位置を測定してゥェ一ハの電子ビームに対する平面位置 、 回転位置を検知し、 回転テーブルを微小角度制御可能なステッピングモータなどに より回転させて制御する。
ワーキングチャンバ内での塵埃の発生を極力防止するために、 ステージ装置用のサ —ポモータ 1 4 · 1 5、 1 4 - 1 6及びエンコーダ 1 4 . 1 7、 1 4 . 1 8は、 主ハ ウジング 1 3 · 4の外側に配置されている。 なお、 ステージ装置 1 3 · 6は、 例えば ステッパー等で使用されている公知の構造のもので良いので、 その構造及び動作の詳 細な説明は省略する。 また、 上記レーザ干渉測距装置も公知の構造のものでよいので ' 、 その構造、 動作の詳細な説明は省略する。
電子ビームに対するゥェ一八の回転位置や X、 Y位置を予め後述する信号検出系或 いは画像処理系に入力することで得られる信号の基準化を図ることもできる。 更に、 このホルダに設けられたゥエーハチャック機構は、 ゥエーハをチャックするための電 圧を静電チャックの電極に与えられるようになつていて、 ゥェ一ハの外周部の 3点 ( 好ましくは周方向に等隔に隔てられた) を押さえて位置決めするようになっている。 ゥエーハチャック機構は、 二つの固定位置決めピンと、 一つの押圧式クランクピンと を備えている。 クランプピンは、 自動チャック及び自動リ リースを実現できるように なっており、 かつ電圧印加の導通箇所を構成している。
なお、 この実施の形態では図 1 4で左右方向に移動するテーブルを Xテ一プルとし 、 上下方向に移動するテーブルを Yテーブルとしたが、 同図で左右方向に移動するテ —ブルを Yテーブルとし、 上下方向に移動するテーブルを Xテーブルとしてもよい。
2 - 1 - 7 ) ゥェ—ハチャッキング機構
2— 1一 7— 1 ) 静電チヤックの基本構造
電子光学系の焦点を試料面に正確にかつ短時間で合わせるために、 試料面すなわち ゥエー八面の凹 ΰは極力小さくすることが好ましい。 そのため、 平面度良く (平面度
5 ; a m以下が好ましい) 製作された静電チヤックの表面にゥエーハを吸着することが 行われる。
静電チャックの電極構造には、 単極形と双極形が存在する。 単極形はゥ —ハに予 め導通をとり、 1つの静電チャック電極との間に高電圧 (一般的に数十〜数百 V 程 度) を印可することによってゥエーハを吸着する方法であり、 双極形は、 ゥエー八に 導通をとる必要が無く、 2つの静電チャック電極に正負逆の電圧を印可するだけでゥ ェ一ハを吸着できる。 但し、 一般的に、 安定した吸着条件を得るためには、 2つの電 極を櫛の歯状に入組ませた形状にする必要があり、 電極形状は複雑になる。
一方、 試料の検査のためには、 電子光学系の結像条件を得るため、 あるいは試料面 の状態を電子で観察しやすい状態にするために、 ゥヱ一八に所定の電圧 (リタ一ディ ング電圧) を印可する必要がある。 このリタ一デイング電圧をゥェ一八に印可するこ と、 およびゥエーハ表面の電位を安定させるためには、 静電チャックを上記の単極形 とすることが必要である。 (但し、 後述するように導通針でゥエーハとの導通をとる までは、 静電チャックを双極形として機能させる必要ある。 よって静電チャックは、 単極形と双極形の切換可能な構造にしている。)
したがって、 ゥエーハに機械的に接触して導通をとらなければならない。 ところが 、 ゥエーハに対する汚染防止の要求は厳しくなつてきており、 ゥェ一八への機械的接 触を極力避けることが求められ、 ゥエー八のエツジへの接触が許されない場合がある 。 このような場合は、。 ゥェ一ハ裏面にて導通をとらなければならない。
ゥエーハ裏面には、 シリコン酸化膜が形成されていることが普通であり、 そのまま では導通がとれない。 そこで、 ゥエーハ裏面に、 2力所以上の針を接触させ、 針の間 に電圧を印可することによって、 酸化膜を局部的に破壊し、 ゥェ一ハ母材のシリコン と導通をとることができる。 針に印可する電圧は、 数百 V程度の D C電圧もしくは A C '電圧である。 また針の材料としては、 非磁性で耐摩耗性があり高融点材料であるこ とが求められ、 タングステン等が考えられる。 またさらに耐久性を持たせるため、 あ るいはゥエーハの汚染防止のために、 表面に T i Nやダイヤモンドをコ一ティングす ることも有効である。 また、 ゥエー八との導通がとれたことを確認するために、 針の 間に電圧を印可し電流を測定することが有効である。
以上のような背景から作られたのが、 図 1 9に示すような^ャッキング機構である 。 静電チャックには、 ゥェ一ハ Wを安定に吸着するために櫛歯状に入り組んだ形状で あることが望ましい電極 1 9 · 1、 1 9' · 2 と、 ゥエーハ受渡し用の 3本のプッシャ 一ピン 1 9 · 3 と、 ゥエーハ印可用の 2つ以上の導通針 1 9 . 4とが設けられている 。 また、 静電チャックの周囲には補正リング 1 9 · 5 とゥエーハ落とし込み機構 1 9 • 6が配置される。
プッシヤーピン 1 9 · 3は、 ゥエーハ Wがロボッ トハンドによって搬送される際に 静電チャック面上から予め突出しており、 ロポットハンドの動作によってゥエーハ W がその上に載置されると、 ゆっく りと下降し、 ゥェ一ハ Wを静電チャック上に載せる 。 ゥエーハを静電チャック上から取出す際には逆の動作をして口ポッ トハンドにゥェ ーハ Wを渡す役割を果たす。 プッシヤーピン 1 9 · 3は、 ゥエーハ位置がずれたり汚 ■ 染されることが無いよう表面材料を選ばなければならず、 シリコンゴム、 フッ素ゴム 、 S i Cやアルミナ等のセラミックス、 テフロンやポリイミ ド等の樹脂などを使用す ることが望ましい。
プッシャ一ピン 1 9 · 3の駆動機構としては幾つか方法がある。 一つは、 静電チヤ ックの下部に非磁性ァクチユエ一夕を設置する方法である。 これは、 超音波リニアモ —タによりプッシャピンを直接リニア駆動する方法や、 回転形超音波モータとボール ネジまたはラック &ピ二オンギアの組合わせでプッシャピンの直線駆動を行う等の方 法が有り得る。 この方法は、 静電チャックを搭載する X Yステージのテーブル上に、 プッシャ機構がコンパク トにまとめらる反面、 ァクチユエ一夕やリミッ トセンサ等の 配線が非常に多くなつてしまう。 これらの配線は X Y動作するテ一ブルから試料室 ( メインチャンバ又は主ハウジング) 壁面まで繫がるが、 ステージの動作に伴って屈曲 するため、 大きな曲げ Rを持たせて配設する必要がありスペースをとつてしまう。 ま たパーティクル発生源になったり、 配線の定期的な交換も必要になるので、 使用数は 必要最小限にするのがよい。
そこで別方式として、 外部から駆動力を供給する方法もある。 ゥェ一ハ Wを着脱す る位置にステージが移動すると、 ベローズを介して真空中に突出した軸が、 チャンバ 外に備えられたエアシリンダで駆動されて、 静電チャック下部に設けられたプッシャ 駆動機構の軸を押すようになつている。 軸はプッシャ駆動機構内部で、 ラック · ピニ オシまたはリンク機構と繋がっており、 軸の往復移動がプッシャピンの上下動と連動 するようになっている。 ゥエーハ Wをロポッ トハンドとの間で受け渡しする際には、 コントローラで適切な速度に調整してエアシリンダで軸を真空中に押し出すことによ つて、 プッシャ一ピン 1 9 · 3を上昇させる。
なお、 外部からの軸の駆動源は、 エアシリンダに限るものではなく、 サーポモータ とラック · ピニオンやポールネジの組合わせでも良い。 また、 '外部からの駆動源を回 転軸とすることも可能である。 その場合、 回転軸は磁性流体シール等の真空シール機 構を介し、 プッシャ駆動機構は回転をプッシャの直線運動に変換する機構を内蔵する 補正リング 1 9 · 5は、 ゥェ一ハ端部の電界分布を均一に保つ作用を持つもので、 基本的にゥェ一八と同電位を印可する。 しかし、 ゥエー八と補正リング間の微小すき まや、 ゥェ一八と補正リ ング表面高さの微小な差の影響を打ち消すため、 ゥエー八端 部電位と若干異なる電位を印可することもある。 補正リングは、 ゥエー八の半径方向
1 0〜 3 0 mm程度の幅を持ち、 非磁性で導電性の材料、 例えば、 チタン、 りん青銅 、 T i N又は T i Cコ一ティ ングしたアルミ等を使用することができる。
導通釙 1 9 · 4はばね 1 9 · 7で支持されており、 ゥェ一八が静電チヤック上に搭 載されると、 ばね力でゥエーハ裏面に軽く押し付けられる。 この状態で、 上述した; ¾ に電圧を印可することによってゥエーハ Wとの電気的導通をとる。
静電チャック本体は、 タングステン等の非磁性の平面状電極 1 9 · 1、 1 9 · 2 と 、 その上に形成された誘電体から成る。 誘電体の材料はアルミナ、 窒化アルミ、 ポリ イミ ド等が使用できる。 一般にアルミナ等のセラミックスは体積抵抗率が 1 0 1 4 Ω c m程度の完全な絶縁体なので、 材料内部での電荷移動は発生せず、 吸着力としてク —ロン力が作用する。 これに対して、 セラミックス組成をわずかに調整することによ つて体積抵抗率を 1 0 1。 Ω c m程度にすることができ、 こうすることによって材料 内部で電荷の移動が生じるため、 ゥエーハ吸着力としてクーロン力より強い所謂ジョ ンソン · ラーベック力が作用する。 吸着力が強ければその分、 印可電圧を低くするこ とができ、 絶縁破壊に対するマ一ジンを大きく とることができ、 かつ安定した吸着力 も得やすい。 また、 静電チャック表面をたとえばディンプル状に加工することによつ て、 静電チャック表面にパーティクル等が付着しても、 パーティクルがディンプルの 谷部分に落ちる可能性が生じるのでゥェ一八の平面度に影響を与える可能性が減少す る効果も期待できる。
以上より、 静電チヤック材料を、 体積抵抗率を 1 0 1 Q Ω c m程度に調整した窒化 アルミやアルミナセラミックスとし、 表面にディンプル状などの凹凸を形成し、 その 凸面の集合で形成される面の平面度を 5 程度に加工したものが実用的である。
2 - 1 - 7 - 2 ) 2 0 0 / 3 0 0ブリッジツールのためのチヤツキング機構
2 0 0 mm と 3 0 0 mmの 2種類のゥエーハを機械的改造無く検査することが装置 に求められることがある。 その場合、 静電チャックは 2種類のサイズのゥェ一ハをチ ャッキングし、 かつゥヱ一八周縁部にゥエーハのサイズに合わせた補正リングを載置 しなければならない。 図 1 9の (A:)、 ( B ) 及び図 2 0はそのための構造を示してい る。
図 1 9の (A ) は静電チャック上に 3 0 0 mmのゥェ一ハ Wを搭載した状態を示し ている。 ゥエーハ Wのサイズより僅かに'大きい (隙間 0 . 5 mm程度) 内径を持った 補正リング 1 9 · 1が、 静電チャック外周の金属性リング状部品にインローで位置決 めされ載置されている。 この補正リング 1 9 · 1には、 ゥエーハ落し込み機構 1 9 ■ W 200
2が 3力所設けられている。 ゥエーハ落し込み機構 1 9 ' 2は、 プッシャ一ピン 1 9 • 3の駆動機構と連動した上下駆動機構によって駆動され、 補正リング 1 9 · 1 に設 けられた回転軸周りに回転可能に支持されている。
ゥエーハ Wをロポッ トハンドから受ける場合、 プッシヤーピン駆動機構が動作し、 プッシヤーピン 1 9 · 3を上に押上げる。 それと適切なタイミングをとつて捕正リン グ 1 9 · 1に設けられたゥエーハ落し込み機構 1 9 · 2も、 図 1 9の (B ) に示すよ うに、 駆動力を受けて回転する。 するとゥエーハ落し込み機構 1 9 · 2がゥエーハ W を静電チャック中心にガイ ドするテ一パ面を形成する。 次に、 押し上げられたプッシ ヤーピン 1 9 · 3にゥェ一ハ Wが載せられた後、 プッシヤーピン 1 9 . 3を下降させ る。 ゥエーハ落し込み機構 1 9 · 2に対する駆動力の作用タイミングをプッシャ一ピ ン 1 9 · 3の下降と適切に調整することによって、 ゥエーハ Wは落し込み機構 1 9 · 2のテ一パ面によって位置を修正されながら静電チヤック上にゥエーハ Wの中心と静 電チヤックの中心がほぼ一致するように置かれる。
落し込み機構 1 9 · 2のテーパ面にはテフロン等の低摩擦材、 好ましくは導電性の ある低摩擦材 (例えば、 導電性テフロン、 導電性ダイヤモンドライクカーボン、 T I Nコーティ ング) を形成することが望ましい。 なお、 図の符号 A、 B、 C、 D、 Eは 電圧を印加するための (後述する) 端子であり、 1 9 ■ 4はゥェ一ハ Wが静電チヤッ ク上に載置されたことを検知するゥエーハ導通用針で、 パネ 1 9 · 5によって押し上 げられている。
図 2 0は、 同じ静電チャックに 2 0 0 mmのゥェ一ハ Wを搭載した状態を示してい る。 静電チャックよりもゥエーハ径が小さいため、 静電チャック表面が露出してしま うので、 静電チャックを完全に隠す大きさを持った補正リング 2 0 · 1を搭載してい る。 補正リング 2 0 · 1の位置決めは 3 0 O mm用補正リングの場合と同様である。 補正リング 2 0 · 1の内周部には段差が設けてあり、 静電チャック側のリング状溝 2 ' 0 · 2に収まるようになつている。 これは、 2 0 0 mmゥエーハを搭載した時に補 正リング 2 0 · 1の内周とゥエーハ Wの外周との間の隙間から静電チャック表面が見 えないように導体 (補正リング 2 0 · 1 ) で隠すための構造である。 もし静電チヤッ ク表面が見える構造になっていると、 電子ビームが照射された際、 静電チャック表面 に電荷がチャージしてしまい、 試料面の電位が乱れてしまうからである。
補正リング 2 0 · 1の交換は、 真空チャンバ内の所定の位釐に補正リング交換場所 を設けておき、 そこから必要な大きさの補正リングをロポッ トによって搬送して静電 チャックに取りつける (イン口一部に揷入する) ことによって行う。
2 0 O mm用補正リングにも、 3 0 0 mmと同様にゥエーハ落し込み機構 2 0 - 2 が設けられている。 静電チャック側には、 このゥェ一ハ落し込み機構 2 0 · 2 と干渉 しないように逃げが形成されている。 静電チャック上へのゥエー八の搭載方法は 3 0 0 mmの場合とまったく同様である。 なお、 符号 A、 B、 C、 D、 Eは電圧を印加す るための端子、 2 0 · 3はプッシュピン 1 9 · 3 と同様のプッシュピン、 2 0 . 4は ゥエーハ導通用針 1 9 · 4と同様のゥエーハ導通用針である。
図 2 0— 1の (A ) 及び (B ) は、 3 0 0 mmゥエーハと 2 0 0 mmゥエーハの両 方に対応することができる静電チャックの構成を概略的に示した図であり、 (A ) は 3 0 0 mmゥェ一ハを、 (B ) は 2 0 0 m mゥェ一ハを載置した状態をそれぞれ示し ている。 図 2 0— 1の (A ) から理解されるとおり、 静電チャックは 3 0 0 mmゥェ —ハを載置することができる広さを持ち、 図 2 1 — 2の (B ) に示すように、 静電チ ャックの中央の部分は 2 0 0 mmゥェ一ハを載置することができる広さであり、 それ を囲むように、 補正リング 2 0 · 1の内周部が嵌り込む溝 2 0 · 6が設けられる。 な お、 符号 A、 B、 C、 D、 Eは電圧を印加するための端子である。
図 2 0— 1の (A ) 及び (B ) に示す静電チャックの場合、 ゥェ一八が静電チヤッ クに載置されているかどうか、 ゥェ一八が静電チヤックに正しく載置されたかどうか 、 補正リングがあるかどうか等は、 光学的に検出される。 例えば、 静電チャックの上 方に光学センサを設置し、 その光学センサから発された光がゥェ一八によって反射さ れて再び光学センサへ戻ったときの光路長を検出することによって、 ゥェ一ハが水平 に載置されたか、 傾いて載置されたかが検出できる。 また、 補正リングの有無は、 補 正リングが載置されるべき場所の中の適宜の点を斜めに照射する光送信機と、 補正リ ングからの反射光を受光する光受信機とを設けることで検出することができる。 更に 、 2 0 0 mmゥェ一ハ用の補正リングが載置される場所の適宜の点を斜めに照射する 光送信機及び該補正リングからの反射光を受光する光受信機の組み合わせと、 3 0 0 mmゥヱ一八用の捕正リングが載顰される場所の適宜の点を斜めに照射する光送信機 及び該補正リングからの反射光を受光する光受信機の組み合わせとを設け、 いずれの 光矣信機が反射光を受信するかを検知することにより、 2 0 0 mmゥエーハ用の補正 リングと 3 0 0 mmゥエーハ用の補正リングのいずれが静電チヤックに載置されたか を検出することができる。
2 - 1 - 7 - 3 ) ゥェ一ハチャッキング手順
以上説明した構造をもったゥエーハチャッキング機構は、 以下の手順でゥエーハをチ ャッキングする。 '
( 1 ) ゥエーハサイズに合った補正リングを口ポッ トによって搬送し、 静電チヤッ クに搭載する。
( 2 ) 口ポッ トハンドによるゥェ一ハ搬送とプッシヤーピンの上下動によって、 ゥ エーハを静電チャック上に載置する。 ( 3 ) 静電チャックを双極形で印加 (端子 C、 Dに正負逆の電圧を印加) し、 ゥェ —ハを吸着する。
( 4 ) 導通用針に所定電圧を印加し、 ゥェ一八裏面の絶縁膜 (酸化膜) を破壊する ( 5 ) 端子 A、 B間の電流を測定し、 ゥエー八との導通が取れたかどうか確認する ( 6 ) 静電チャックを単極形吸着に移行する。 (端子 A、 Bを G R D .、 端子 C、 D に同一電圧を印加する)
( 7 ) 端子 A (、 B ) と端子 C (、 D ) との電位差を保ったまま端子 A (、 B ) の 電圧を下げ、 ゥェ一八に所定のリタ一デイング電圧を印加する。
2— 1— 8 ) 2 0 0ノ 3 0 0ブリツジツールのための装置構成
2 0 0 mmゥェ一ハと 3 0 0 mmゥエーハのどちらも機械的改造なしに検査できる 装置にするための構成を図 2 1及び図 2 2に示す。 以下、 2 0 0 mmゥエーハもしく は 3 0 0 mmゥェ一ハの専用装置と異なる点を説明する。
2 0 0ノ 3 0 0 mmゥェ一ハ、 F〇U P、 S M I F、 オープンカセット等の仕様毎 に交換されるゥエーハカセットの設置場所 2 1 · 1には、 ユーザー仕様によって決ま るゥェ一ハサイズやゥェ一ハカセットの種類に応じたゥェ一ハカセットが設置できる ようになつている。 大気搬送ロポット 2 1 · 2は、 異なるゥエーハサイズに対応でき るようなハンドを備え、 すわなちゥエー八の落し込み部がゥエーハサイズに合わせて 複数設けられており、 ゥェ一ハサイズにあった箇所でハンドに搭載されるようになつ ている。 大気搬送ロポット 2 1 · 2はゥエーハを設置場所 2 1 · 1からブリアライナ 2 1 · 3へ送ってゥエーハの向きを整えた後、 ゥエーハをプリアライナ 2 1 · 3から 取り出して、 ロードロック室 2 1 · 4内へ送る。
ロードロック室 2 1 · 4の内部のゥェ一ハラックも同様の構造で、 ゥエーハラック の'ゥエーハ支持部には、 ゥェ一ハサイズに合わせた複数の落し込み部が形成されてお り、 大気搬送ロポット 2 1 · 2のハンドに搭載されたゥエーハは、 そのサイズに合つ た落し込み部に搭載されるようにロポットハンドの高さが調整されてゥエーハラック 内にゥエーハが揷入され、 その後、 口ポットハンドが下降することによってゥェ一ハ 支持部の所定の落し込み部にゥエー八が載置される。
ロードロック室 2 1 · 4内のゥェ一ハラック 載置されたゥ 'エーハは、 次いで、 搬 送室 2 1 · 5内に設置された真空搬送ロボット 2 1 · 6によってロードロック室 2 1 • 3から取り出されて試料室 2 1 · 7内のステージ 2 1 · 8上に搬送される。 真空搬 送口ポット 2 1 · 6のハンドも、 大気搬送ロポット 2 1 · 2と同様、 ゥエーハサイズ に合った複数の落し込み部を有している。 口ポットハンドの所定の落し込み部に搭載 されたゥエーハは、 ステージ 2 1 · 8において、 予めゥエーハサイズに合った補正リ ング 2 1 ■ 9を搭載した静電チャック上に載置され、 静電チャックで吸着固定される 。 補正リング 2 1 · 9は、 搬送室 2 1 · 5内に設けられた補正リングラック 2 1 - 1 0上に載置されている。 そこで、 真空搬送ロボッ ト 2 1 · 6はゥエーハサイズに合つ た補正リング 2 1 · 9を補正リングラック 2 1 · 1 0から取り出して静電チャック上 に搬送し、 静電チャック外周部に形成された位置決め用インロー部に補正リング 2 1 · 9を嵌め込んでから、 ゥエーハを静電チャックに載置する。
補正リングを交換する時は、 この逆の操作を行う。 すなわち、 ロボッ ト 2 1 · 6に よって静電チャックから補正リング 2 1 · 9を外し、 搬送室 2 1 · 5内の捕正リング ラック 2 1 · 1 0に補正リングを戻し、 これから検査するゥエーハサイズにあった補 正リ ングを補正リングラック 2 1 · 1 0から静電チャックまで搬送する。
図 2 1に示す検査装置のおいては、 ブリアライナ 2 1 · 3がロードロック室 2 2 · 4の近くに配置されているので、 ゥェ一ハのァライメントが不十分なために口一ド口 ック室で補正リングが装着できない場合にも、 ゥェ一ハをプリアライナに戻してァラ ィメントし直すことが容易であり、 工程での時間のロスを減らせるという利点がある 図 2 2は、 補正リングの置き場所を変えた例であり、 補正リングラック 2 1 · 1 0 は省略されている。 ロードロック室 2 2 · 1には、 ゥエーハラックと補正リングラッ クとが階層的に形成されており、 これらはエレべ一夕に設置されて上下動することが できる。 まず、 これから検査するゥェ一ハサイズに合った補正リングを静電チャック に設置するため、 真空搬送口ポッ ト 2 1 · 6が該補正リングを取出せる位置までロー ドロック室 2 2 · 1のエレべ一夕を移動する。 そして補正リングを真空搬送ロポッ ト 2 1 · 6で静電チャック上に設置すると、 今度は、 検査すべきゥェ一ハを搬送できる ようにエレべ一タを操作し、 ゥェ一ハを真空搬送ロボッ ト 2 1 · 6でゥェ一ハラック か'ら取出した後、 静電チャックに載置する。 この構成の場合、 口一ドロック室 2 2 · 1にエレベータが必要になるが、 真空の搬送室 2 1 · 5を小さく形成することができ 、 装置のフッ トプリントを小さくする上で有効である。
なお、 静電チャック上にゥエーハが存在するか否かを検知するセンサは、 異なるゥ エーハサィズのどちらにも対応できる位置に設置されることが望ましいが、 それが不 可能な場合には、 同一の働きをする複数のセンサをゥェ一ハサイズ毎に配置してもよ い。
図 2 1に関して述べた検査装置においては、 静電チャックの上に補正リングを載置 し、 その補正リングの内径に当てはまるようにゥエーハを位置決めするという手順が 取られている。 そこで、 図 2 2に示す検査装置においては、 ロードロック室 2 2 · 1 でゥエー八に補正リングを装着し、 補正リングが装着されたゥェ一ハを補正リングご と搬送して試料室 2 1 · 7へ導入し、 ステージ上の静電チャックに装着するという手 順が取られる。 それを実現する機構として、 図 2 2— 1及び図 2 2— 2に示す、 エレ ベ一夕を上下させてゥェ一八を大気搬送ロポッ トから真空搬送ロポッ トへ渡すための エレベータ機構がある。 以下、 この機構を用いてゥエーハを搬送する手順を説明する 図 2 2— 1の (A ) に示すように、 ロードロック室の中に設けられたエレべ一夕機 構は上下方向に移動可能に設けられた複数段 (図では 2段) の補正リング支持台を有 する。 上段の補正リング支持台 2 2 · 2 と下段の補正リング支持台 2 2 · 3 とは、 第 1のモータ 2 2 · 4の回転によって昇降する第 1の台 2 2 · 5に固定され、 これによ つて、 第 1のモータ 2 2 · 4の回転により、 第 1の台 2 2 · 5及び上下の補正リング 支持台 2 2 * 2、 2 2 · 3が上方又は下方に移動することになる。
各補正リ ング支持台にはゥエー八のサイズに応じた内径の補正リング 2 2 · 6が載 置されている。 補正リング 2 2 · 6は 2 0 0 mmゥェ一ハ用と 3 0 0 mmゥェ一ハ用 との、 内径が異なる 2種類が用意され、 これらの補正リングの外径は同じである。 こ のように、 同じ外径の補正リングを用いることにより、 相互互換性が生まれ、 ロード ロック室の中に 2 0 0 mm用と 3 0 0 mm用とを自由な組み合わせで載置しておく こ とが可能になる。 つまり、 2 0 0 mmゥエーハと 3 0 0 mmゥエーハとが混合して流 れてくるラインについては、 上段を 3 0 0 mm用、 下段を 2 0 0 mm用とし、 どちら のゥェ一ハが流れてきても検査を行えるよう柔軟に対応することができる。 また、 同 じサイズのゥェ一ハが流れてくるラインであれば、 上下の段を 2 0 0 mm用或いは 3 0 0 mm用とし、 上下の段のゥェ一ハを交互に検査することができるので、 スループ ッ 卜を向上させることができる。
第 1の台 2 2 · 5には第 2のモータ 2 2 · 7が載置され、 第 2のモー夕 2 2 · 7に は'第 2の台 2 2 · 8が昇降可能に取り付けられている。 第 2の台 2 2 · 8には上段の ゥエーハ支持台 2 2 · 9 と下段のゥエーハ支持台 2 2 · 1 0 とが固定されている。 こ れにより、 第 2のモータ 2 2 · 7が回転すると、 第 2の台 2 2 · 8と上下のゥエーハ 支持台 2 2 · 9、 2 2 - 1 0 とが一体に上方又は下方に移動することになる。
そこで、 図 2 2— 1の (A ) に示すように、 ゥエーハ Wを大気搬送ロポッ ト 2 1 · 2のハンドに載せてロードロック室 2 2 · 1 に搬入し、 次いセ、 ( B ) に示すように 、 第 2のモ一夕 2 2 · 7を第 1の方向に回転させてゥエーハ支持台 2 2 · 9、 2 2 · 1 0を上方に移動させ、 ゥェ一ハ Wを上'段のゥエーハ支持台 2 2 · 9の上に載置させ る。 これによつて、 ゥエーハ Wを大気搬送ロボッ ト 2 1 · 2からゥエーハ支持台 2 2 • 9へ移す。 その後、 (C ) に示すように大気搬送ロポッ ト 2 1 · 2を後退させ、 大 気搬送ロポッ 卜 2 1 · 2の後退が完了したところで、 (D ) に示すように、 第 2のモ 一夕 2 2 · 7を第 1の方向とは逆の方向に回転させてゥエーハ支持台 2 2 · 9、 2 2 • 1 0を下方へ移動させる。 これによつてゥエーハ Wは上段の補正リング 2 2 · 6に 載置される。
次いで、 (E ) に示すように、 真空搬送ロポッ ト 2 1 ■ 6のハンドをロードロック 室 2 2 · 1の中に入れて補正リング 2 2 · 6の下側で停止させる。 この状態で第 1の モータ 2 2 · 4を回転させ、 (F ) に示すように、 第 1の台 2 2 · 5、 上下の補正リ ング支持台 2 2 · 2、 2 2 · 3、 第 2のモータ 2 2 · 7及ぴ上下のゥエーハ支持台 2 2 · 9、 2 2 · 1 0を下方へ移動させ、 これによつて、 上段のゥエーハ支持台 2 2 · 9に載置されていた補正リング 2 1 · 6及びゥェ一ハ Wを真空搬送ロポッ ト 2 1 · 6 のハンドに載せ、 試料室 2 1 · 7へ搬入することができる。
試料室 2 1 · 7での検査が終了したゥエーハをロードロック室 2 1 · 4へ戻す動作 は、 上記とは逆の手順で行われ、 補正リングと共に真空搬送口ポッ トによりゥエーハ 支持台の上に搬入されたゥエーハは、 補正リング支持台に、 次いでゥエーハ支持台に 移され、 最後に大気搬送口ポッ トに載置されることになる。 なお、 図 2 2 — 1及び図
2 2— 2においては、 上段におけるゥェ一ハ受け渡し動作を説明したが、 大気搬送口 ボッ ト 2 1 · 2及び真空搬送ロポッ ト 2 1 · 6のハンドの高さを調整することにより 、 下段においても同様の動作が可能である。 このように大気搬送口ポッ ト 2 1 · 2及 び真空搬送ロポッ ト 2 1 · 6のハンドの高さを適切に切り換えることにより、 一方の 段から未検査のゥエーハを試料室へ搬入し、 次いで検査済みのゥエーハを試料室から 他方の段へ搬出することを交互に行うことができる。
2 - 2 ) ゥェ一ハの搬送方法
次に、 カセッ 卜ホルダ 1 3 · 2に支持されたカセッ ト 1 3 · 1 2からワーキングチ ヤンバ 1 3 · 1 6内に配置されたステージ装置 1 3 · 6までへのゥエー八の搬送を順 を追って説明する (図 1 4〜図 1 6参照)。
カセッ トホルダ 1 3 · 2は、 前述のように人手によりカセッ トをセッ トする場合に はそれに適した構造のものが、 また自動的にカセッ トをセッ トする場合にはそれに適 した構造のものが使用される。 この実施の形態において、 カセッ ト 1 3 · 1 2がカセ ッ トホルダ 1 3 · 2の昇降テ一ブル 1 3 · 1 3の上にセッ トされると、 昇降テーブル 1 3 - 1 3は昇降機構 1 3 - 1 4によって降下されカセッ ト 1 '3 . 1 2が出入り口 1
3 · 1 5に整合される。 カセッ トが出入り口 1 3 · 1 5に整合されると、 カセッ トに 設けられたカバ一 (図示せず) が開き、 セッ トとミニエンバイロメント装置 1 3 ·
3の出入り口 1 3 · 1 5 との間には筒状の覆いが配置されてカセッ ト内及びミニエン バイロメント空間内を外部から遮断する。 これらの構造は公知のものであるから、 そ の構造及び動作の詳細な説明は省略する。 なお、 ミニエンバイロメント装置 1 3 · 3 側に出入り口 1 3 · 1 5を開閉するシャツ夕装置が設けられている場合にはそのシャ ッ夕装置が動作して出入り口 1 3 ' 1 5を開く。
—方、 第 1の搬送ュニッ ト 1 6 · 1 4のアーム 1 6 · 1 6は方向 M 1又は M 2のい ずれかに向いた状態 (この説明では M 1の方向) で停止しており、 出入り口 1 3 , 1 5が開く とアームが伸びて先端でカセッ ト内に収容されているゥェ一ハのうち 1枚を 受け取る。 なお、 アームと、 カセッ トから取り出され'るべきゥェ一ハとの上下方向の 位置調整は、 この実施の形態では第 1の搬送ュニッ ト 1 6 · 1 4の駆動部 1 6 · 1 5 及びアーム 1 6 · 1 6の上下移動で行うが、 カセッ トホルダの昇降テーブルの上下動 行っても或いはその両者で行ってもよい。
アーム 1 6 · 1 6によるゥェ一ハの受け取りが完了すると、 アームは縮み、 シャツ 夕装置を動作して出入り口を閉じ (シャッタ装置がある場合)、 次にアーム 1 6 · 1 6は軸線 0 —〇 iの回りで回動して方向 M 3に向けて伸長できる状態になる。 する と、 アームは伸びて先端に載せられ或いはチャックで把持されたゥェ一ハをプリァラ イナ一 1 6 · 5の上に載せ、 そのブリアライナ一 1 6 · 5によってゥェ一ハの回転方 向の向き (ゥエーハ平面に垂直な中心軸線の回りの向き) を所定の範囲内に位置決め する。 位置決めが完了すると搬送ュニッ ト 1 6 · 1 4はアームの先端にブリアライナ 一 1 6 · 5からゥェ一ハを受け取つたのちアームを縮ませ、 方向 M 4に向けてアーム を伸長できる姿勢になる。 するとシャツタ装置 1 4 · 8の扉 1 3 · 2 7が動いて出入 り口 1 3 · 2 5及び 1 3 · 3 7を開き、 アーム 1 6 · 1 6が伸びてゥェ一ハを第 1の 口一ディングチャンパ 1 4 · 2内のゥエーハラック 1 4 · 1 1の上段側又は下段側に 載せる。 なお、 前記のようにシャツタ装置 1 4 · 8を開いてゥエーハラック 1 4 . 1 1にゥエー八が受け渡される前に、 仕切壁 1 4 · 5に形成された開口 1 7 · 4はシャ ッ夕装置 1 4 · 1 0の扉 1 4 · 1 9により気密状態で閉じられている。
上記第 1の搬送ユニッ ト 1 6 · 1 4によるゥェ一八の搬送過程において、 ミニエン パイロメント装置 1 3 * 3のハウジングの上に設けられた気体供給ュニッ ト 1 6 · 9 からは清浄空気が層流状に流れ (ダウンフローとして)、 搬送途中で塵埃がゥエーハ の上面に付着するのを防止する。 搬送ユニッ ト周辺の空気の一部 (この実施の形態で は供給ュニッ トから供給される空気の約 2 0 %で主に汚れた空気) は排出装置 1 6 · 4の吸入ダク ト 1 6 · 1 2から吸引されてハウジング外に排出される。 残りの空気は ハウジングの底部に設けられた回収ダク ト 1 6 · 1 0を介して回収され再び気体供給 ュニッ ト 1 6 · 9に戻される。
口一ダハウジング 1 3 · 5の第 1のローデイ ングチャンバ 1 4 · 2内のゥエーハラ ック 1 4 · 1 1内に第 1の搬送ュニッ ト 1 6 ' 1 4によりゥエーハが載せられると、 シャツ夕装置 1 4 · 8が閉じて、 ローディングチャンバ 1 4 · 2内を密閉する。 する と、 第 1のローデイングチャンバ 1 4 · 2内には不活性ガスが充填されて空気が追い 出された後、 その不活性ガスも排出されてその口一ディングチャンバ 1 4 · 2内は真 空雰囲気にされる。 この第 1のローデイ ングチャンバ 1 4 · 2の真空雰囲気は低真空 度でよい。 ローデイングチャンパ 1 4 · 2内の真空度がある程度得られると、 シャツ 夕装置 1 4 · 1 0が動作して扉 1 4 · 1 9で密閉していた出入り口 1 7 · 4のシャツ 夕 1 4 · 5を開き、 第 2の搬送ュニッ ト 1 4 · 1 2の'アーム 1 4 . 2 0が伸びて先端 の把持装置でゥェ一ハ受け 1 4 · 1 1から 1枚のゥェ一ハを受け取る (先端の上に載 せて或いは先端に取り付けられたチヤックで把持して)。 ゥエー八の受け取りが完了 するとアームが縮み、 シャツ夕装置 1 4 · 1 0が再び動作して扉 1 4 . 1 9で出入り 口 1 7 · 4を閉じる。
なお、 シャッ夕装置 1 4 · 1 0が開く前にアーム 1 4 · 2 0は予めゥエー八ラック 1 4 · 1 1の方向 N 1に向けて伸長できる姿勢になる。 また、 前記のようにシャツタ 装置 1 4 · 1 0が開く前にシャツ夕装置 1 3 · 2 9の扉 1 4 · 9で出入り口 1 4 · 7 、 1 4 ■ 1を閉じていて、 第 2のローデイ ングチャンバ 1 4 · 3内とワーキングチヤ ンパ 1 3 · 1 6内との連通を気密状態で阻止しており、 第 2のローディングチャンバ 1 4 · 3内は真空排気される。
シャツタ装置 1 4 ■ 1 0が出入り口 1 7 · 4を閉じると、 第 2のローデイングチヤ ンパ 1 4 · 3内は再度真空排気され、 第 1のローディングチャンバ 1 4 · 2内よりも 高真空度の真空にされる。 その間に、 第 2の搬送ュニッ ト 1 6 · 1 4のアームはヮー キングチャンパ 1 3 · 1 6内のステージ装置 1 3 · 6の方向に向いて伸長できる位置 に回転される。 一方ワーキングチャンバ 1 3 · 1 6内のステージ装置 1 3 · 6では、 Yテーブル 1 3 · 3 3が、 Xテーブル 1 3 · 3 4の中心線 X。一 X。が第 2の搬送ュ ニッ ト 1 4 · 1 2の回動軸線〇2— 0 2を通る X軸線 X — X とほぼ一致する位置ま で、 図 1 4で上方に移動し、 また、 Xテーブル 1 3 · 3 4は図 1 4で最も左側の位置 に接近する位置まで移動し、 この状態で待機している。 第 2のローデイングチャンバ 1 4 · 3がワーキングチャンバ 1 3 · 1 6の真空状態と略同じになると、 シャツ夕装 置 1 3 · 2 9の扉 1 4 · 9が動いて出入り口 1 4 · 7、 1 4 · 1を開き、 アームが伸 びてゥエーハを保持したアームの先端がワーキングチャンパ 1 3 · 1 6内のステージ 装置 1 3 · 6に接近する。 そしてステージ装置 1 3 · 6の載置'面 1 4 · 1 4上にゥェ 一ハを載置する。 ゥェ一八の載置が完了するとアームが縮み、 シャツ夕装置 1 3 · 2 9が出入り口 1 4 · 7、 1 4 · 1を閉じる。
ステージには、 ゥエー八に逆バイアス電位 (リターデイ ング電位) をかける機構が あるので、 アームがステージへゥエー八を置きに行くもしくは取りに行く時、 アーム をステージと同じもしくは近い電位、 またはアームをフローティング電位にしておく ことにより、 電位のショートによる放電などの不具合を避ける機構を有している。 ま た、 別の実施の形態として、 ゥェ一ハをステージ装置上に搬送する際にはゥエーハへ のバイァス電位をオフにしておいてもよい。
バイアス電位を制御する場合には、 ゥエーハがステージに搬送されるまでは電位を オフにしておき、 ステージに搬送され載置されてからオンにしてパイァス電位を印加 するようにしてもよい。 バイアス電位を印加する時機は、 タク トタイムを予め設定し ておき、 それにしたがって印加してもよいし、 ステージの上にゥェ一八が載置された 事をセンサで検出し、 その検出信号をトリガとして印加するようにしてもよい。 また 、 シャッタ装置 1 3 · 2 9が出入口 1 4 · 7、 1 4 · 1を閉じたことを検出して、 そ の検出信号をトリガとして印加してもよい。 更に、 静電チャックを用いるばあには、 静電チヤックに吸着されたことを確認し、.それをトリガとしてバイアス電位を印加す るようにしてもよい。
以上は、 カセッ ト 1 3 · 1 2内のゥェ一ハをステージ装置上に搬送するまでの動作 について説明したが、 ステージ装置 1 3 · 6に載せられて処理が完了したゥェ一ハを ステージ装置 1 3 · 6からカセッ ト 1 3 · 1 2内に戻すには前述と逆の動作を行って 戻す。 また、 ゥエーハラック 1 4 · 1 1に複数のゥェ一ハを載置しておくため、 第 2 の搬送ュニッ ト 1 4 · 1 2でゥェ一ハラック 1 4 · 1 1 とステージ装置 1 3 · 6 との 間でゥェ一ハの搬送を行う間に、 第 1の搬送ュニッ ト 1 6 · 1 4でカセッ トとゥエー ハラック 1 4 · 1 1 との間でゥエー八の搬送を行うことができ、 検査処理を効率良く 行うことができる。
具体的には、 ゥェ一ハラック 1 4 · 1 1に、 既に処理済のゥエーハ Aと未処理のゥ エーハ Bがある場合、 まず、 ステージ装置 1 3 · 6に未処理のゥエーハ Bを移動する 。 この間に、 処理済ゥェ一ハ Aを、 アームによりゥェ一ハラックからカセッ ト 1 3 · 1 に移動し、 未処理のゥェ一ハ Cを同じくアームによりカセッ ト 1 3 ■ 1 2から抜 き出し、 ブリアライナ 1 6 · 5で位置決めした後、 ローディングチャンバ 1 4 · 2の ゥエーハラック 1 4 · 1 1に移動する。
このようにすることで、 ゥェ一ハラック 1 4 · 1 1の中は、 ゥエーハ Bを処理中に 、 処理済のゥェ一ハ Aが未処理のゥエーハ Cに置き換えることができる。 また、 検査 や評価を行うこのような装置の利用の仕方によっては、 ステージ装置 1 3 · 6を複数 台並列に置き、 それぞれの装置に一つのゥェ一ハラック 1 4 · 1 1からゥェ一ハを移 動することで、 複数枚のゥェ一ハを同じ処理することもできる。
図 2 3は、 主ハウジング 1 3 · 4の支持方法の変形例がで示されている。 図 2 3に 示された変形例では、 ハウジング支持装置 2 3 · 1 を厚肉で矩形の鋼板 2 3 · 2で構 成し、 その鋼板の上にハウジング本体 2 3 · 3が載せられている。 したがって、 八ゥ ジング本体 2 3 · 1の底壁 2 3 · 4は、 前記実施の形態の底壁に比較して薄い構造に なっている。 図 2 4に示された変形例では、 ハウジング支持装置 2 4 · 1のフレーム 構造体 2 4 · 2によりハウジング本体 2 4 ' 3及びローダハウジング 2 4 ■ 4を吊り 下げて状態で支持するようになっている。
フレーム構造体 2 4 · 2に固定された複数の縦フレーム 2 4 · 5の下端は、 ハウジ ング本体 2 4 · 3の底壁 2 4 · 6の四隅に固定され、 その底壁により周壁及び頂壁を 支持するようになっている。 そして防振装置 2 4 · 7は、 フレーム構造体 2 4 · 2と 台フレーム 2 4 · 8との間に配置されている。 また、 ローダハウジング 2 4 . 4もフ レーム構造体 2 4 · 2に固定された吊り下げ部材 2 4 · 9によって吊り下げられてい る。 ハウジング本体 2 4 ■ 3のこの図 2 4に示された変形例では、 吊り下げ式に支え るので主ハウジング及びその中に設けられた各種機器全体の低重心化が可能である。 上記変形例を含めた主ハウジング及びローダハウジングの支持方法では主ハウジング 及びローダハウジングに床からの振動が伝わらないようになつている。
図示しない別の変形例では、 主ハウジングのハウジング本体のみがハウジング支持 装置によって下から支えられ、 ローダハウジングは隣接するミニエンバイロメント装 置 1 3 · 3 と同じ方法で床上に配置され得る。 また、 図示しない更に別の変形例では 、 主ハウジング 1 3 · 4のハウジング本体のみがフレーム構造体に吊り下げ式で支持 され、 ローダハウジングは隣接するミニエンバイロメント装置と同じ方法で床上に配 置され得る。
上記の実施の形態によれば、 次のような効果を奏することが可能である。
( 1 ) 電子線を用いた写像投影方式の検査装置の全体構成が得られ、 高いスループッ トで検査対象を処理することができる。
( 2 ) ミニエンバイロメント空間内で検査対象に清浄気体を流して塵埃の付着を防止 す'ると共に清浄度を観察するセンサを設けることによりその空間内の塵埃を監視しな がら検査対象の検査を行うことができる。
( 3 ) ローデイングチヤンバ及ぴヮ一キングチャンバを一体的に振動防止装置を介し て支持したので外部の環境に影響されずにステージ装置への検査対象の供給及び検査 を行うことができる。
2 - 3 ) 電子光学系
2— 3— 1 ) 概要 .
電子光学系 1 3 · 8は、 ハウジング本体 1 3 · 1 7に固定された鏡筒 1 3 · 3 8の 中に設けられた、 図 2 5— 1 に概略的に図示する一次電子光学系 (以下、 単に一次光 学系という) 2 5 · 1 と、 二次電子光学系 (以下、 単に二次光学系という) 2 5 . 2 とを備える電子光学系と、 検出系 2 5 ' 3 とを備える。 一次光学系 2 5 · 1は、 電子 線を検査対象であるゥエーハ Wの表面に照射する光学系で、 電子線を放出する電子銃 2 5 · 4と、 電子銃 2 5 · 4から放出された一次電子線を集束する静電レンズからな るレンズ系 2 5 · 5と、 ウイ一ンフィルタすなわち E X B分離器 2 5 ' 6と、 対物レ ンズ系 2 5 · 7 とを備え、 それらは、 図 2 5— 1に示されるように電子銃 2 5 · 4を 最上部にして順に配置されている。 この実施の形態の対物レンズ系 2 5 · 7を構成す ■ るレンズは減速電界型対物レンズである。 この実施の'形態では、 電子銃 2 5 · 4から 放出される一次電子線の光軸は、 検査対象であるゥェ一ハ Wに照射される照射光軸 ( ゥェ一ハの表面に垂直になっている) に関して斜めになつている。 対物レンズ系 2 5 · 7 と検査対象であるゥェ一ハ Wとの間には電極 2 5 · 8が配置されている。 この電 極 2 5 · 8は一次電子線の照射光軸に関して軸対称の形状になっていて、 電源 2 5 · 9によって電圧制御されるようになっている。
二次光学系 2 5 · 2は、 E X B型偏向器 2 5 · 6により一次光学系から分離された 二次電子を通す静電レンズから成るレンズ系 2 5 · 1 0を備えている。 このレンズ系 2 5 - 1 0は二次電子像を拡大する拡大レンズとして機能する。
検出系 2 5 · 3は、 レンズ系 2 5 ■ 1 0の結像面に配置された検出器 2 5 · 1 1及 び画像処理部 2 5 · 1 2を備えている。
一次ビームの入射方向は通常 E X Bフィルタの E方向 (電界の逆方向) であり、 こ の方向と積算型のラインセンサ (T D I : time delay integration) の積算方向とは 同じ方向となっている。 T D Iの積算方向は一次ビーム方向と異なっていても構わな い。
電子ビーム光学系鏡筒は以下の構成要素を備えている。
( 1 ) コラム磁気シールド
鏡筒を構成する部材には望ましくはパーマロイ等のニッケル合金や鉄等の磁性体を 用 ると良く、 磁気的な外乱の影響を抑える効果が期待できる。
( 2 ) 検出器回転機構
ステージの走査軸方向と検出器の走査方向とを一致させるために、 鏡筒 1 3 . 3 8 の上部には、 鏡筒 1 3 · 3 8の内を真空に保持した状態のまま T D I等の検出器 2 5 • 1 1を光軸周りに士数度程度回転できるようにして、 装置の組立により生じる走査 方向のずれを無くす検出器回転機構を有している。 この機構においては、 回転分解能 及び回転位置再現性は 5〜4 0秒程度必要である。 これは、 検出器において、 1 フレ ーム分の画像を走査する間にステージの 査方向と検出器の走査方向とのずれを 1 ピ クセルの 1 / 1 0程度に収める必要から出てくる。 検出器回転機構によれば、 ステ一 ジの移動方向と T D Iの積算方向の角度誤差を 1 O m r a d以下、 好ましくは l m r a d以下、 より好ましくは 0. 2m r a d以下に調整することも可能である。
以下、 図 2 5 — 3〜図 2 5— 5を用いて、 検出器回転機構の構成の一例を説明する 。 図 2 5— 3は、 鏡筒 1 3 · 3 8の上部に設けられた検出器回転機構の全体の構成を 示す図であり、 図 2 5— 4は、 上鏡筒を回転させるための機構の概略図であり、 図 2 5— 5は、 上鏡筒と下鏡筒とをシールするための機構を示している。
図 2 5— 3において、 鏡筒 1 3 · 3 8の上端は、 検出器 2 5 · 1 1が取り付けられ た上鏡筒 2 5 · 2 0と、 主ハウジング 1 3 · 4に固定された下鏡筒 2 5 · 2 1 とから なる。 上鏡筒 2 5 · 2 0は下鏡筒 2 5 · 2 1に対してベアリング 2 5 · 2 2を介して 支持されて二次光学系の光軸の周りに回転可能であり、 また、 上鏡筒 2 5 · 2 0と下 鏡筒 2 5 · 2 1 との間には、 鏡筒 1 3 · 3 8の内部を真空に保っためにシール部 2 5 • 2 3が設けられる。 具体的には、 上鏡筒 2 5 · 2 0の下端と下鏡筒 2 5 · 2 1の上 端との間にシール部 2 5 · 2 3を設置するとともに、 下鏡筒 2 5 · 2 1の上端に上鏡 筒 2 5 · 2 0を取り囲むように鍔部 2 5 · 2 4を設け、 この鍔部 2 5 - 2 4と上鏡筒 2 5 ■ 2 0の側面との間にベアリング 2 5 · 2 2を設置する。
上鏡筒 2 5 · 2,0と下鏡筒 2 5 · 2 1 とにはそれぞれ、 ベアリング 2 5 · 2 2を押 さえるためのベアリング押さえ 2 5 · 2 5、 2 5 - 2 6がネジ止めされる。 さらに、 上鏡筒 2 5 · 2 0を下鏡筒 2 5 · 2 1に対して回転させるために、 図 2 5— 4に示す 駆動機構が設けられる。 即ち、 鍔部 2 5 · 2 4の上端に設けられたベアリング押さえ 2 5 - 2 6の一部には突起 2 5 · 2 7が設けられ、 一方、 上鏡筒 2 5 · 2 0から突設 された取り付け部材 (ブラケッ ト) 2 5 · 2 8にはァクチユエ一夕 2 5 · 2 9が固定 される。 ァクチユエ一夕 2 5 · 2 9の軸 2 5 · 3 0は突起 2 5 · 2 7と接触し、 鍔部 2 5 · 2 4とァクチユエ一夕 2 9 ■ 2 9が固定された取り付け部材 (ブラケッ ト) 2 5 - 2 8との間には、 突起 2 5 * 2 7の方へ引く力が与えられた予圧パネ 2 5 * 3 1 が設けられる。 これにより、 ァクチユエ一夕 2 5 · 2 9を作動させて軸 2 5 . 3 0が ァ'クチユエ一夕 2 5 · 2 9から突出する長さを変えることにより、 下鏡筒 2 5 · 2 1 に対して上鏡筒 2 5 · 2 0を所望の角度だけ所望の方向に回転させることができる。 前述の回転精度のためには、 ァクチユエ一夕 2 5 · 2 9の移動分解能は 5〜 1 0 m程度であることが望ましい。 また、 ァクチユエ一夕 2 5 · 2 9としては、 ピエゾ · ァクチユエ一夕やマイクロメータをモータ駆動するものであってよい。 また、 ァクチ ユエ一夕 2 5 · 2 9を固定するブラケッ ト 2 5 · 2 8と突起 2'5 · 2 7との相対距離 を測定することができるセンサを取り付けて検出器 2 5 · 1 1の回転位置を測定する ことが望ましい。 センサには、 リニアスケール、 ポテンショメ一夕、 レーザ変位計、 歪みゲージ等を使用することができる。
シール部 2 5 · 2 3は、 鏡筒 1 3 · 3 8の内部を真空に保っために、 図 2 5— 5に 示すように、 下鏡筒 2 5 · 2 1の上端の面と上鏡筒 2 5 · 2 0の下端の面との間に僅 かな隙間 2 5 · 3 2 (図 2 5— 5 ) が形成されるように設置される。 シール部 2 5 · 2 3は、 中央部に固着された仕切りリング 2 5 · 3 3と 2個の弾性シール 2 5 - 3 4 、 2 5 · 3 5 とを備え、 各弾性シール 2 5 · 3 4、 2 5 · 3 5のリップ部の間には、 シール面の面圧を確保してシール性を高めるためのスプリング 2 5 · 3 6、 2 5 · 3 7がそれぞれ設けられる。 仕切り リング 2 5 · 3 3の中央には、 下鏡筒 2 5 · 2 1 に 形成された排気路 2 5 · 3 8 と連なる排気口 2 5 · 3 9が設けられる。 彈性シール 2 5 · 3 4、 2 5 · 3 5は摩擦係数が極めて小さく摺動性に優れた材質で作られること が好ましく、 例えば、 米国ヒューロン社製のォムニシールを用いることができる。 このように、 弹性シールを 2重に配置し、 その中間の空間 2 5 · 4 0を真空排気す ることにより、 上鏡筒 2 5 · 2 0が回転して若干のリークが大気側の弾性シール 2 5 • 3 5に発生しても、 リークした空気は排気路 2 5 · 3 8で排気され、 空間 2 5 · 4 0の圧力がさほど上昇しない。 そのため、 弹性シール 2 5 · 3 4から鏡筒内へのリ一 クが発生せず、 鏡筒内の真空を劣化させることがない。 空間 2 5 · 4 0は継続的に真 空排気されても良いが、 検出器回転機構を作動させたときのみ排気することも可能で ある。 これは、 リークが生じやすいのは回転時であり、 回転させないときには弹性シ ール 2 5 · 3 4、 2 5 - 3 5 と上鏡筒 2 5 · 2 0の下端との面圧で十分シールできる からである。
弹性シール 2 5 · 3 4、 2 5 - 3 5と上下の面との面圧を適切に設定することが重 要であり、 これは隙間 2 5 · 3 2の大きさを調整することにより実現できる。 隙間 2 5 - 3 2の調整は、 ベアリング 2 5 · 2 2と下鏡筒 2 5 - 2 1の上端の面との間にシ ム 2 5 · 4 1 を入れることによって行うことができる。 ここにシム 2 5 , 4 1 を入れ ることにより、 下鏡筒 2 5 · 2 1 に対するベアリング 2 5 · 2 2の高さを変えること ができる。 これに対して、 上鏡筒 2 5 · 2 0では押さえ 2 5 . 2 5、 2 5 - 2 6でべ ァリング 2 5 · 2 2を挟み込んでいるので、 ベアリ ング 2 5 · 2 2は上鏡筒 2 5 · 2 0と共に上下する構造になっており、 シム 2 5 · 4 1の厚さの分だけ上鏡筒 2 5 * 2 0と下鏡筒 2 5 * 2 1 との間の隙間 2 5 · 3 2が変わることになる。
なお、 鏡筒の仕様によっては、 図 2 5— 5に示すように 2重のシールを設けずに、 1重のシールのみとしてシール間の真空排気を行わなくても十分な場合がある。 しか し、 2重のシールの方が信頼性が高く、 高真空が得られ易い。 'また、 上の説明では弹 性シール 2 5 - 3 4 ,· 2 5 - 3 5の内部にスプリング 2 5 · 3 6、 2 5 - 3 7を設け るようにしたが、 真空と大気圧との差圧で弾性シール 2 5 · 3 4、 2 5 , 2 5が上下 面に十分押しつけられる場合や、 弹性シール 2 5 · 3 4、 2 5 - 3 5自体に十分な反 発力がある場合には、 スプリング 2 5 · 3 6、 2 5 ■ 3 7を省略しても良い。 以上のような構成の回転機構によって検出器とステージとの方向を合わせるには、 検出器 2 5 · 1 1を微少量回転させ、 その都度、 検出器 2 5 · 1 1の走査撮像を行つ て、 最もシャープな画像が得られたときの角度に検出器 2 5 · 1 1の角度を合わせれ ばよい。 以下、 その具体的な方法を説明する。
検出器回転機構の回転可動範囲において、 検出器 2 5 · 1 1を微小角度回転させて 検出器 2 5 · 1 1の走査撮像を行い、 得られた画像に対して画像処理を施すことによ つて、 コントラスト等の像質を評価できる数値を求める。 これを繰り返すことによつ て検出器 2 5 · 1 1の回転位置と像質との関係をを求め、 最も像質がよいときの検出 器 2 5 · 1 1の回転位置を求める。 そこで、 その位置まで検出器 2 5 · 1 1を回転さ せることによって検出器 2 5 · 1 1の位置決め作業が完了する。
ステージと検出器 2 5 · 1 1との位置ずれの許容値は検出器 2 5 · 1 1の 1 フレー ム分の画像を走査する間に、 ステージの走査方向と検出器の走査方向とのずれが 1 ピ クセルの 1ノ 1 0程度に収める必要から決まる。 したがって、 走査方向にピクセルが 約 5 0 0段並んでいる場合の許容角度ずれは約 4 0秒となる。
ステージと検出器との角度のずれを 4 0秒以下に合わせるには、 上記した、 検出器 の位置と像質との関係を多項式近似等の手法によって数値化し、 像質が最良になると きの検出器 2 5 · 1 1の位置を求める方法や、 まず検出器 2 5 · 1 1 を粗く回転させ て撮像を行い、 検出器の位置と像質との概略の関係を求め、 像質が最良になる検出器 の位置の範囲を絞り込み、 再度、 その範囲内で検出器を微少量ずつ回転させて同様の 操作を行い、 像質が最良になる検出器位置を精度良く求める方法を用いることができ る。 こうして、 ステージと検出器との角度合わせが完了した後に角度のずれが生じる のを防止するために、 ロック機構を設けることが有効である。 例えば、 ベアリング押 さえ 2 5 · 2 5、 2 5 · 2 6間に板状部品を渡し、 この板状部品とベアリング押さえ 2 5 - 2 5 , 2 5 · 2 6 とをポルトで固定すればよい。
( 3 ) Ν Α移動機構
N Aは光軸方向や光軸に直交する方向に数センチ程度移動できるような機構によって 保持されており、 倍率の変更に連動して光学的に最適な位置に N Aを調整することを 可能にしている。 N A保持部には複数の N Aが取り付けられるようにすることが望ま しく、 そういう機構を付加することによって N Aの劣化や透過率を変更したい場合に 鏡筒内を真空に保持したままの N A交換が可能になる。 '
また、 N A保持部には望ましくはヒー夕一部が設置されており N Aを高温保持するこ とにより N Aが劣化しにくくなる効果が る。 また、 反応性ガスの配管部を設置する ことも有効であり、 鏡筒内を真空に保持したままの N Aのクリ一二ングが可能となる (4) アイソレーションパルプ
鏡筒には、 望ましくは鏡筒内を複数の空間に分割できるようにするためのパルプが 設置されている。 具体的には MC P部や電子銃部の空間をステージ部の空間と切り離 せるようにバルブを設置すると有効である。 そのような構成にすることで、 MC P部 や電子銃部を真空に保持したままステージ周辺等のメンテナンスを実施することが可 能になる。 また、 逆にステージ部等を真空に保持したまま MC P部や電子銃部のメン テナンスを実施することも可能である。
(5) 光軸シールド筒
光軸の周囲はアースに接地された筒状の部材によって囲まれていることが望ましく 、 そのような構成にすることで電気的な外乱の影響を抑える効果が期待できる。
(6) MC P手前のオリフィス
一連の電子光学系と MC P部の間にはオリフィス状あるいは細長い筒状の部材が設置 されており、 双方の空間を結ぶ経路のコンダクタンスが小さくなるような構成とする ことで、 MC P部の圧力を電子光学系の 1ノ 5程度、 好ましくは 1 Z 10程度より好 ましくは 1 1 0 0程度低く保持することが容易になる。
(7) 電極一体化、 高精度化
電子光学的に同心軸上に数 m以下の精度で配置されることが必要な部品については 、 望ましくは部材同士の合わせ加工や冷し嵌めといった方法によって組み立てられて いると良い。
(8) 光学顕微鏡 ' 低倍率での試料像や、 光で見た場合の画像を電子ビーム画像と比較参照のために光学 顕微鏡を備えている。 倍率は電子ビーム画像の 1/ 1 0〜 1 50 0 0程度 好ましくは l ^ O l/l O O O, より好ましくは 1/2 0〜:!ノ 10 0程度であ る。 試料表面からの光の画像は二次元の固体撮像素子 (C CD) で検出し、
C'RT上に表示させることができる。 又、 メモリーに記憶させておく ことができる。
(9) 同軸イオンポンプ
イオンポンプ等の無振動型の真空排気系を電子銃部や MC P部付近の光軸周りに回転 対称状に配置することで、 排気系自体による荷電粒子や磁場等の影響を相殺しながら 当該箇所を高真空に保持する効果が期待できる。 これはイオンポンプを配管で電子銃 部などに接続して排気する場合、 配管のコンダクタンスが小さ'くなつてしまうのを改 善していることによる。
以下、 具体的な実施の形態について説明する。
(1) 実施の形態 1
真空チャンバ、 真空排気系、 1次光学系、 2次光学系、 検出器、 画像処理器、 制御 用コンピュータより主に構成されている検査装置の一例である。 図 2 6にその一例を 示す。
電子ビームを試料に照射するための 1 次光学系 2 6 · 1と試料表面から放出され た電子、 例えば、 2 次電子、 反射電子、 後方散乱電子等を、 検出器に導くための、 2 次光学系 2 6 · 2がある。 2 次光学系は、 写像投影式光学系である。 1次系と 2次系 を分離する為に、 EXBなるビーム分離器 2 6 · 3が使用される。 また、 検出器 2 6 · 4によって検出された電子の画像信号は、 光信号、 'または/及び、 電気信号に変換 され、 画像処理器 26 · 5により処理される。 また、 このとき、 検出器に入射する電 子数は、 1画素相当エリアに、 2 00個以下でも画像を良好に形成できる。 もちろん 1画素領域中に 200個以上の場合も画像を良好に形成できることは言うまでもない。
1次光学系の構成要素である電子銃 2 6 · 6は、 熱フィ ラメントとして、 L a B6 が用いられ、 ゥエネルト、 引出電極 2 6 .' 7により力ソードからの電子を引き出す。 その後、 2段の Aレンズ(アインツェルレンズ) 2 6 · 8によりビームをアパーチャ 2 6 · 9に収束させ、 クロスオーバーを形成する。 その後、 2段のァライナ 26 · 1 0 、 アパーチャ 2 6 · 1 1、 3段の 4極子レンズ 2 6 . 1 2、 3段ァライナ 2 6 · 1 3 を通過してビーム分離器に入射して試料面方向に偏向され、 アパーチャ 2 6 · 1 4と 2次系の Ρレンズ (対物レンズ) 1 6 * 1 6を通過して試料面にほぼ垂直に照射され る。
アパーチャ 2 6 · 9により、 クロスオーバでの均一性が高く、 且つ、 輝度の高いビ —ム領域を通過させ、 アパーチャ 2 6 · 1 1により、 4極子レンズへのビーム入^角 度を規定するァライナ (偏向器) 2 6 · 1 0は、 アバ一チヤ 26 · 1 1及び 4極子レ ンズ 2 6 · 1 2の光軸中心にビームを入射させるための調整に使用される。 4極子レ ンズ 2 6 · 1 2は、 ビームの 2方向、 例えば、 X, Υ方向の軌道を変えて、 ビームの 形状を変形することに利用される。 例えば、 試料照射ビーム形状において、 円形、 楕 円'形、 矩形、 矩形 ·楕円の X , y方向の形状の割合変更等を実現できる (図 2 7参照 ) 。 4極子レンズ通過後、 ァライナ 2 6 · 14により、 アパーチャ 26 . 1 5、 Pレ ンズ (対物レンズ) 2 6 · 1 6の中心を通過するように調整され、 試料表面に入射す る。 このとき、 照射ビームの形状は、 2軸の少なく とも一つについて、 対称的に形状 を形成できる。 ビーム形状は非対称であっても良い。 試料表面に照射されるビームの エネルギーは、 最終的に、 力ソードと試料表面に電圧差によつ'て決定される。 例えば 、 力ソード- 5. 0 k-V, 試料表面— 4 kVの時、 照射ビームエネルギは、 I k e V となる (図 2 6参照) 。
この場合の電圧の誤差は士 1 0 V、 エネルギー誤差は ± 2 O e Vである。 また、 検 出電子として、 2次電子を用いる場合、 ビーム照射エネルギが 1. 5 k e V± 1 0 e V〜 5 k e V± 1 0 e Vで用いるときは、 試料が負帯電状態となり、 その状態からの 2次電子が試料から放出され、 2次系により拡大 · 結像されて検出系に導かれる。 照 射エネルギが 5 0 ± 1 0 e V~ 1 5 0 0 e V± 1 0 e Vでは、 試料表面が正帯電状態 になり、 放出された 2次電子が。 検出系に導かれる。 正帯電の方が、 比較的低ダメ一 ジで動作できるが、 チャージアップの影響またはチャージアップによる表面電位の不 均一による影響は受けやすい。 負帯電での動作では、 安定して像が得やすく、 チヤ一 ■ ジアップの影響またはチャージアップによる表面電位の不均一による像の歪みが正帯 電よりも小さくできる。
また、 アパーチャ 2 6 · 1 5の場所において、 2次系と 1次系のクロスオーバの位 置をずらして動作することもある。 例えば、 2次系は 2次系光軸中心上に、 2次電子 のクロスオーバを形成し、 1次系のクロスオーバは 2次系の光軸中心よりも 5 0〜 5 0 0 mずれた位置 (X、 Yどちらでも良い) に形成して動作させる。 これにより、 アパーチャ 2 6 · 1 5での 1次系と 2次系の 2つのクロスオーバが重なることが無く なり、 電流密度の緩和ができるため、 ビーム電流量が多い場合の空間電荷効果による ボケの拡大を抑制することが可能となる。 これは、 例えば、 1次系照射ビーム電流密 度が 1 X 1 0-3A/ c m2以上のときに、 有効となる。 それより低い電流密度の時は 、 光軸中心が同一になっても影響は少ない。
試料表面からの放出電子は、 2次電子、 反射電子、 後方散乱電子の 1種類以上が利 用される。 試料表面からの放出エネルギーは、 例えば、 入射ビームエネルギ 1 0 0 0 ' e V± 1 0 e Vの時、 およそ、 各々、 0〜1 0 e V、 1 0 0 0 e V± 1 0 e V、 1 0 ~ 1 0 0 0 e Vとなる。 また、 薄い試料や穴のあいた試料 (例えばスランシルマスク ) を透過した電子も利用される。 この場合、 前者の薄い試料では、 その厚さの分だけ 入射されたエネルギが減少し、 穴あき試料では入射エネルギを同じエネルギとなる。 電子ビームの代わりに集束イオンビーム (F I B) を用いても良い。 F I B源とし てほ液体金属の G aイオン源が一般的であるが、 液化しやすい金属を用いる他の液体 金属イオン源や、 異なる方式のイオン源、 例えば放電を用いるデュオプラズマトロン 等が使用できる。
試料としては、 1 0 X 1 0 mm程度のチップから 2 , 4 , 6 , 8 , 1 2インチゥェ 一八まで、 種々の試料が用いられる。 特に、 1 0 0 nm以下の線幅を持つ配線パ夕一 ンゃ直径 1 0 0 nm以下のビアの欠陥やゴミの検出に有効であり、 また、 それらの電 気的欠陥を検出するのに都合がよい。 試料は、 S i ゥエー八、 S i に加工を施された 半導体デパイスウェーハ、 マイクロマシン加工がされたゥェ一ハ、 液晶ディスプレイ 用基板、 ハードディスク用ヘッ ド加工ゥェ一ハ、 等が用いられる。
2次系 2 6 · 2では、 試料からの放出電子、 例えは、 2次電子、 反射電子、 後方散 乱電子及び透過電子を検出系に拡大倍率にて、 結像させて、 導くための写像投影光学 系が用いられる例を述べる。 コラムのレンズ構成の例としては、 Pレンズ (対物レン ズ) 2 6 · 1 6、 アパーチャ 2 6 · 1 5、 ァライナ 2 6 · 1 4、 ビーム分離器 2 6 ' 3、 Τレンズ (中間レンズ) 2 6 · 1 7、 ァライナ 2 6 · 1 8、 アパーチャ 2 6 - 1 9、 Ρレンズ (投影レンズ) 2 6 ' 20、 ァライナ 2 6 · 2 1、 マイクロチャンネル プレート (MC P) ユニッ トで構成される。 コラムの上部フランジにはハーメチック の石英ガラスが設置されている。 その上部にリ レーレンズ、 二次元の電荷結合素子 ( 2 D— C CD) が設置され、 蛍光面で形成された像が 2 D— C CDセンサに結像され る。
試料表面からの放出電子は、 Pレンズ (対物レンズ) 2 6 · 1 6にてアパーチャ 2 6 · 1 5でクロスオーバを形成して、 ビーム分離器 2 6 · 3中心で結像する。 ビーム 分離器中心にて結像する条件で動作すると、 ビーム分離器 2 6 · 3で発生する 2次系 ビームの収差の影響を小さく押さえることができるので有効となる。 これは、 例えば 、 E XBにおいてビームを通過させるときに、 像高により偏向量 ·収差が異なってく るため、 結像することにより、 結像成分の被る収差を最小限に押さえることができる からである。 このことは、 1次系においても同様の事が言えるため、 1次系において も、 試料上に結像条件を形成するのみでなく、 ビーム分離器中心付近においても結像 点を形成することにより、 1次ビームの収差低減を行い、 試料上での電流密度ムラを 小さく押さえることに有効となる。
その上部にある Pレンズ (中間レンズ) 2 6 · 1 7の中心にビームを調整するため 、 ァライナ 2 6 · 14が使用される。 その上流部にある Pレンズ (投影レンズ) 2 6 • 2 0の中心にビームを調整するため、 ァライナ 2 6 · 1 8が使用される。 その上部 にある MC P中心にビームを調整するために、 ァライナ 2 6 · 2 1がある。 Pレンズ (対物レンズ) 2 6 · 1 6の倍率は 1. 5~3倍、 Pレンズ (中間レンズ) 2 6 · 1 7め倍率は 1. 5〜 3、 Ρレンズ (投影レンズ) 2 6 * 2 0の倍率は 3 0 ~ 5 0であ る。 これらの倍率を達成するには、 それぞれの倍率に応じた電圧を各々のレンズに印 加して調整を行う。 また、 焦点の微調整を行うには、 専用の焦点補正レンズが、 Ρレ ンズ (対物レンズ) 系の中に組み込まれており、 該電極に印加する電圧の微調整によ り、 焦点あわせを実現する。 また、 アパーチャ 2 6 ■ 1 5とアパーチャ 2 6 · 1 9の 位置では、 双方とも、 クロスオーバを形成する場合、 アパーチャ 26 · 1 5はノイズ カツ ト用に用いて、 アパーチャ 2 6 · 1 9は、 収差 · コントラス トを決定する役割を 果たすように使用することも可能である。
サイズ'として例えば、 アパーチャ 2 6 · 1 5とアパーチャ 2 6 · 1 9は、 φ 3 0 以上 2 0 0 0 /zm以下、 好ましくは Φ 3 0以上〜 Φ 1 0 0 0 ΠΙ、 より好ましくは φ 3 0以上〜 φ 5 0 0 ;ttmで使用可能である。 この時、 アパーチャ 2 6 · 1 5で収差 、 透過率、 コントラスト特性を主に決める場合には、 アパーチャ 2 6 · 1 5を例えば Φ 3 0~φ 5 00 ζπι、 アパーチャ 26 · 1 9は φ 1 00 0〜φ 2 0 00 πιで用い る。 アパーチャ 2 6 · 1 9で収差、 透過率、 コントラスト特性を主に決める場合には 、 例えばアパーチャ 26 · 1 9を 3 0〜φ 5 0 0 μπι、, アパーチャ 2 6 · 1 5は ψ 1 00 0〜φ 2 0 00 μηιで用いる。
また、 Ρレンズ (中間レンズ) 2 7 · 1 7の上下にスティグ電極が設寧されて用い ることもある。 これは、 ビーム分離器 26 · 3等によって発生する非点収差を補正す るために用いられる。 例えば、 4、 6, 8極の電極構成のスティグを用いることが可 能である。 例えば、 8曲の内それぞれ電極に異なった電圧が印加されて非点収差、 球 面収差の補正に用いることができる。
また、 反射電子像及び後方散乱電子を用いたときのレンズ動作において、 最終段の Ρレンズ (投影レンズ) 2 6 · 2 0が、 減速レンズ (負電圧印加レンズ)を用いると、 2次電子のノイズ力ッ トに有効となる。 通常、 反射電子量の 1 0〜 1 00 0倍程度 2 次電子量の方が多いため、 特に、 反射電子 · 後方散乱電子を用いた結像を行う場合は 有効となる。 例えば、 1次系電子源の力ソ一ド電圧一 4 k V、 試料電位一 3 k Vの時 、 試料からの反射電子エネルギ 1 k e V、 検出器電圧が設置電位の時に、 P電極の部 位では、 およそ、 反射電子と 2次電子のエネルギ差は 1 k e Vある。 この時、 Pレン ズ (投影レンズ) 電極の負電圧レンズ動作において、 中心電圧が、 反射電子を通過さ せて、 2次電子をカッ トオフする条件を用いることが可能である。 これらの条件は、 シミュレーションによって求めることが可能である。
ビーム分離器 26 · 3では、 電極と磁極が直行している E XBまたは、 磁場 Bのみ で行う分離器が用いられる。 EXBの例では、 電界分布を形成する E電極とそれと直 交した磁極の面を持ち、 直交した方向に磁束密度分布を形成する磁極より構成されて い ¾。 例えば、 2次系の光軸が試料表面より垂直方向であるとき、 この 2次系の軸に 対して、 1 次系の入射ビームが 1 0〜 9 0度で設定することが可能である。 この時、 1 次系が EXBにより、 偏向されて試料面に垂直入射でき、 また、 試料表面からの放 出電子が光軸方向つまり試料面から垂直方向に E XBにて導かれる。 これは、 E電極 に印加する電圧と、 B電極に形成される磁束密度により達成される。 例えば、 一対の E電極に ±2 kV± l V、 一対の B電極から並行的に磁束密度'分布が形成され、 例え ば、 E XBの中心部において、 1〜 6 0 G± 1 Gの磁極方向の磁束密度を発生する( 図 2 6参照)。
また、 EXBは 1次系と 2次系の偏向関係を逆にした場合にも適用可能である。 即 ち、 1次系の入射ビーム源を試料の直上方向に設けるとともに、 2次系の検出器を 1 次系の軸と 1 0〜8 0度の角度をなした方向に設け、 EXBにより 1次系のビームに は偏向力を加えずに試料に垂直に入射させ、 試料から放出された電子 (2次系ビーム ) に偏向力を加えて検出器の方向に導くことができる。
検出器 2 6 · 4では、 信号電子が MC P等電子増倍管 2 8 · 1に導入され、 増 幅された電子が蛍光面に照射され、 蛍光像形成がなされる。 蛍光面は石英ガラス等の ガラス板 28 · 2の片面に蛍光材がコートされているものである。 この蛍光像は、 リ レーレンズ系 28 · 3と 2次元 C C D 28 · 4により、 撮像される。 このリレ一レン ズ系と C C Dはコラムの上部に設置されている。 コラムの上部フランジには、 ハーメ チックガラス 28 · 6が設置され、 コラム内の真空環境と外部大気環境とを分離し、 かつ、 蛍光像を歪 · コントラスト劣化を小さく して、 CCDに結像して、 蛍光像を効 率よく撮像できる。
CCDの代わりに、 積算型のラインイメージセンサ (TD I— C CD) カメラを用 いることも出来る。 この場合、 試料はステージにて、 例えば、 E電極方向または、 B 磁極方向に、 ステージ移動を行いながら TD I撮像を行うことが可能となる。 例えば 、 TD Iの積算段数が 2 5 6段、 1段当たり 2 048個の画素数/段、 素子サイズ 1 5 X 1 5 ^m、 試料面に対する MC P結像倍率が 3 0 0倍である時、 ライン /スぺー スが 0. 1/0. 1 imのとき試料面サイズが MC P面で 3 0ノ3 0 imとなる。 そ して、 リレーレンズ倍率 1倍のとき、 3 0 は 2つ,の素子サイズ相当にて撮像され る。 このとき、 1素子相当の試料位置、 つまり 0. 0 5 X 0. 0 5 ΠΙの試料サイズ から放出された電子は、 2 5 6素子段数分ステージ移動中に積算されて、 総合取得光 量が増加して撮像できる。 これは、 ラインレート 1 0 0 k Η ζ ~ 6 0 0 k Η ζ対応等 、 ステージ速度が速いときに特に有効である。 これは、 ラインレートが早い時に、 1 素子当たりの取得電子数、 つまり、 TD Iセンサの 1素子当たりの取得光強度が小さ くなるために、 積算を行って最終取得光強度を高く し、 コントラストと SZNを高め る'ことが出来るためである。 ラインレートは、 0. 5 kH z〜 1 0 0 MH zが用いら れ、 好ましくは l kH z〜 5 0MH z、 より好ましくは 2 0 kH z〜 1 0MH zであ る。 これに対応して、 ビデオレートも、 1タップ当たり 1 ~ 1 2 0 MH z /タップ好 ましくは 1 0〜5 0MH z /タップ、 より好ましくは、 1 0~40MHzノタツプで 使用される。 また、 タップ数は、 1以上 520以下で、 好ましくは 4以上 2 5 6以下 、 より好ましくは 3 2以上 1 28以下で用いられる(図 28、 囟2 9参照)。
C CD, TD Iセンサノカメラは、 低ノイズ、 高感度の特性を有するものが用いら れる。 例えば、 1 0 0~ 1 0 0 0 0 0 D N/ (n J / c m2) で設定可能であるが、 このうち、 l O O O S O O O O DN/ in J Zcm2) で使用すると効率がよい。 さらに、 1 0 0 0 0〜 5 0 0 0 0 DN/ (n J /cm2) で使用すると、 高ラインレ —ト時においても、 良好な S/Nで、 高品質の画像を得ることができる。 また、 C CD又は TD Iセンサを用いて画像取得がなされるとき、 これらのセンサ の画素数 X段数の領域が、 1次ビームの照射エリアとほぼ一致している状態で使用す ることができ、 効率的であると共に、 ノイズの低減になる。 ノイズは、 悦雑煮使用さ れるエリア以外の像高の高い部位からの電子もノイズとして、 検出器まで到達するも のがある。 それらを低減するには、 有効視野以外の部位のビーム照射を低減すること が有効である。 C CD、 TD Iセンサにより取得された像情報は電気信号に変換され て、 画像処理器によってデータ処理される。 この画像処理により、 セル 卜ウー セ ル、 ダイ トゥー ダイ (Die to Die) ダイ トウ一 ェニーダイ (Die to Any Die ) の像比較が行われ、 欠陥検査を行うことが出来る。 例えば、 パターン欠陥、 パ一テ ィクル欠陥、 電位コントラス ト欠陥 (例え.ば、 配線ゃメツキの電気接続欠陥等)。 ステージ 2 6 · 22は、 X, Υ, Z , 0移動機構のうち 1つ以上の組み合わせによ り設置されたステージが用いられる。 この様な電子線検査装置において、 上記の各要 素として、 以下の機器要素を使用できる。
1次系
電子源 Wフィラメント、 LaB6フィラメント、 TFE, FE
レンズ 金属又はセラミック製、 金属としてリン青銅、 T i、 A'l
ァインツェルレンズ、 4重極レンズ
ァライナ 4極、 6極、 8極のレンズ
アパーチャ 材質、 Mo、 T a、 T i、 リン青銅
2次系
レンズ 金属又はセラミック製、 金属としてリ ン青銅、 T i、 A 1
セラミック電極は A uメツキ等の処理が施されている
ァインツェルレンズ、 4極子レンズ
ァ'ライナ 4極、 6極、 8極のレンズ
アパーチャ 材質、 MO (モリブデン)、 T a、 T i、リン青銅
電子ビーム分離器
E電極 金属又はセラミック製、 金属としてリン青銅、 T i、 A 1
セラミック電極は A uメツキ等の処理が施されている
B磁極 パーマロイ B、 パーマロイ C等、 飽和磁束密度と透磁率の高い材質 (例えば 、 1 03〜 1 07、 好ましくは 1 04〜1 07、 より好ましくは 1 05~ 1 07)
試料
S iゥエーハ、 3-5 族化合物半導体ゥエー八、 液晶基板、 ハードディスクのヘッ ド加 ェゥエーハ、 2、 4、 6、 8、 1 2インチのゥエーハが用いられる
検出器
MC P/蛍光板/リレーレンズ/ C CD
MC P/蛍光板/リレーレンズ/ TD I
MC P/蛍光板/ FO P (ファイバォプティクプレ一ト) /TD I
ホトマル
マルチホトマル
上記のような組み合わせにより用いることが可能である。 MC Pは入ってきた電子を 増幅させる機能を有し、 そこから出てきた電子は蛍光板により光に変換される。 入射 電子料が充分多くて増倍する必要にない場合には、 MC P無しでも操作も可能である 。 また、 蛍光板の代わりにシンチレ一タを用いることも可能である。 この光の信号 ( あるいは像信号) はリ レーレンズの場合には所定の倍率で、 また、 FO Pの場合には 1倍 ( 1対 1に光信号を伝える) で TD Iへ伝える或いは像を形成する。 ホトマルは 光信号を増幅して電気信号へ変換するものであり、 マルチホトマルはホトマルを複数 並べたものである
画像処理器
像比較、 欠陥検出、 欠陥分類、 画像データ記録、 等の機能を有する。
上述した電子線検査装置において、 1次ビームの照射ビーム形状が、 X, Y軸に対 して、 少なく とも 1軸以上に対して対称な照射ビーム形状を使用することができる。 このことにより、 光軸を中心としたビームによる検出器の電子入射面上で、 低収 、 低歪みの取得像形成が可能となる。
また、 検出器として C CDや TD I を用いる場合では、 1画素に対応するエリア、 例えば、 MC P上において、 1画素の形成において、 電子の入射量が 2 0 0個/画素 エリア以下にて十分な SZNを達成でき、 画像処理及び欠陥検出に使用できる。 これ は、' 例えば、 写像投影光学系においては、 アパーチャ 2 6 ■ 1 5又は 2 6 · 1 9のサ ィズを規定することにより、 ノイズカッ トと収差低減効果を発生できるため、 例えば 、 径 !〜 1 0 0 0 mのアパーチャを設置することにより、 S 向上を実現 できるので、 2 0 0電子数 1画素エリアにて、 高分解能な良質な画像を取得できる TD I はステージの移動方向に付いて段数分の積分を行う。 本実施の形態の場合は 2 5 6段分の積分を行うが、 積算段数は 1 1 4段以上 8 1 9 2段以下、 好ましくは 1 1 4段以上 4 0 9 6段以下、 より好ましくは 5 1 2段以上 4 0 9 6段以下が適当であ る。 積算方向に若干、 一次ビームの照度むらがあり、 試料からの信号電子にもむらが あつたとしても、 積分の効果によりそのむらは平均化され、 検出される電子情報は一 定の安定したものとなる。 従って、 ステージの移動方向は 1次電子ビームの照度むら の生じ易い方向も考慮して、 その照度ムラの生じ易い方向が TD Iの積分方向に一致 するように決めることが出来る。 TD Iの使用により、 連続した画像取得が可能にな るが、 C CDを使用して、 ステップアンドリピート方式でステージを走査し、 画像取 得を行っても良い。 すなわち、 特定の場所でステージを停止して画像を取得し、 また 次の場所へ移動して、 そこでステージを停止させて画像取得を行うことを繰り返す。 同様のことを TD Iを用いて行うこともできる。 すなわち、 TD Iのスチルモード ( 停止像取得モードで、 ステージは停止) を利用するか、 又は、 TD Iの通常の画像取 得方法により一定の領域 (例えば 2048画素 X 2 048画素) の画像を取得した後 、 次の場所へ移動し (この移動の間は画像を取得しない)、 そこで同様に画像取得を 行う。 したがって、 この場合は、 ステージ移動は止めないで検査が行われる。
試料表面の様子が電子により拡大されて、 検出器に像を結ぶとき、 画像の分解能を
C CD又は TD Iの 1画素程度にする場合、 二次光学系の収差やぼけ等は 1画素以内 であることが望ましい。 E X Bにおいて信号電子が偏向を受けると、 収差やぼけが大 きくなるので、 本実施の形態では、 二次光学系において、 二次電子、 反射電子、 後方 散乱電子等の信号電子には E XBでは偏向力を与えずに直進させるように設定してあ る。 すなわち、 二次光学系の中心軸が試料の視野中心と E XB中心と、 検出器の中心 を通る直線である構成となっている。
尚、 上記の実施の形態以外であっても二次光学系の像にぼけが生じなければ良く、 本件発明がそれを含むことは言うまでもない。 ' (2) 実施の形態 2
実施の形態 1と同様の検査装置において、 検出器に TD Iセンサ カメラを用いる とき、 画素数 段数が、 2 048以上 409 6以下であり、 夕ップ数が 32以上 1 2 8以下、 感度 1 0 0 0 0〜40 0 0 0 DN/ ( n J / c m2) であると、 より、 高速 で効率の良い画像取得が可能となる。 この時、 ラインレートが、 1 0 0〜40 0 kH z、 ビデオレートが 1 0 mH z〜40 MH zで使用できる。 この時、 8インチ S iゥ エーハ、 例えば、 L S Iデパイスウェーハ、 分解能 0. 1 mノ画素にて、 1枚当た りの検査時間は、 1 Z 8 ~ 2時間で実行可能となる。
この時、 分解能 0. 1 /im/画素の時、 試料観察及び欠陥検査において、 パターン 形状が例えば、 ; LZS : 0. 2/0. 2 mにおいても、 コントラス ト 3〜3 0 %を 達成し、 像観察及び欠陥検出に充分使用可能である。 LZS以外の形状の欠陥におい ても、 コントラス ト変化による比較により、 1画素サイズ以上あれば検出可能である 。 コントラストについては、 5〜 30 %を実現し、 画像処理によって、 観察及ぴ欠陥 検査が可能となる。 また、 L S Iデパイスウェーハでは、 デザインルール以下の欠陥 検出も可能である。 メモリでは、 配線幅のハーフピッチ、 ロジックではゲート長相当 の欠陥を検出が可能である。
TD Iセンサ/力メラ及び画像処理機構を用いて欠陥検出を行うとき、 TD I動作 により連続的に画像を形成して、 連続的に検査を行うことができる。 この時、 試料は ステージ上に設置されて、 同様に連続動作を行って画像を得ることとなる。 ステージ の速度は基本的には、 V = f XDにて決まる。 但し、
V : ステージ速度、
f : ライン周波数、 D : 試料上のセンサ画素対応サイズ (投影倍率で決定される)
である。 例えば、 f : 3 0 0 kHz、 D : 0. l mの時、 v = 30 mm/ s となる 図 2 9は、 図 28に示される実施の形態 1とは異なった構成の検出系の例を示して いる。 この場合は、 コラム 2 9 · 1の真空中に M C Ρ 2 9 · 2、 F〇P 2 9 . 3、 T D Iセンサ パッケージ 2 9 · 4、 接続ピン 2 9 · 5及びフィードスルーフランジ 2 9 - 6が設けられ、 TD Iセンサ 2 9 · 4の出力はフィールドスルーフランジ 2 9 · 6を介して TD Iカメラ 2 9 · 7により受信される。 また、 FOP 2 9 - 3には蛍光 材がコ一トされており、 MC P 29 · 2からの電子により蛍光像を形成する。 この蛍 光像は FO P 2 9 · 3によって TD Iセンサ 2 9 · 4に伝達される。 TD Iセンサ 2 9 - 4の像信号は接続ピン 2 9 · 5、 フィードスル一フランジ 29 · 6を経由して T D Iカメラ 2 9 · 7に伝達される。 この時、 F O P 2 9 · 3を使用すると、 光信号伝 達損失を低減できる。 例えば、 リレーレンズに比べて、 5〜 2 0倍程度透過率が向上 する。 これは、 特に、 TD I動作を行うときに有効である。 取得光信号強度が高く取 れるため、 より、 高速に作動可能となること、 ファイバ形状の信号ムラが TD Iの積 算により、 微小となり無視できる程度になるためである。 ここで、 01センサ2 9
• 4とフィードスル一フランジ 29 · 6のピンを接続するための接続ピン 2 9 · 5力 必要となる。 接続ピン 2 9 ■ 5は、 例えば、 片方が羽目合い接触によるによる接続固 定(例えば、 フィードスルーのピン側)、 TD Iセンサノパッケージのピン側には、 ) ネなどの弾性力による接触を行う (図示せず)。
これにより、 フィードスルーフランジ 29 · 6のピンと TD Iセンサ/パッケージ 2 9 · 4のピンを低押しつけ力 ·平行位置、 低インピーダンスで設置可能となる。 高 速動作センサではピン数が多く、 例えば、 1 0 0本を越えるピン数が必要となる。 ピ ン数が多いと、 設置圧力 (押しつけ力) が高くなり、 TD Iセンサ Zパッケージ 2 9
• 4が破損することもある。 この様な点を克服して、 設置できるようになつている。 図 2 8に示すように、 CCDまたは TD Iの設置: 所は通常は大気側で MC Pと蛍 光板は真空中に設置されるが、 C CDまたは TD Iを真空中に置く ことにより FOP 等のリ レー光学系を短縮でき、 透過効率を上げることができる。
(3) 実施の形態 3
実施の形態 1、 2と同様の検査装置において、 検出器に EB— C CD、 又は、 EB 一 TD Iを用いている実施の形態である (図 3 0参照)。 EBは電子ビームのことで EB— CCD又は EB— TD Iは電子ピ一ムを直接入力し、 増幅して電気信号にかえ るものである (光信号を検出するのではない)。
E B— TD Iセンサノカメラを用いると、 直接電子をセンサの画素部に入射し、 チ ャ一ジを蓄積することが可能である。 この事は、 通常の検出器で用いられている蛍光 板、 リ レーレンズ系、 ハーメチックガラスを用いることは必要なくそれらを省略でき る。 つまり、 電子信号像を一旦光信号像に変換することなく、 電子信号から直接電気 信号を得ることが可能となるため、 それによる損失を大きく低減できる。 つまり、 蛍 光板、 ハーメチックガラス、 リレーレンズ系による像歪み、 コントラスト劣化、 倍率 変動等の悪影響を、 大幅に低減できる。 また、 構成機器の低減により、 小型化、 低コ スト、 高速動作が可能となる。 高速動作においては、 信号伝達速度損失低減、 像形成 速度損失を小さくする事が可能となるからである。
図 3 0に E B— TD Iのュニッ 卜の一例を示す。 光学系については実施の形態 1を 参照のこと。 2次系コラムの上部、 つまり、 Pレンズ (投影レンズ) の上部の結像点 に TD Iセンサ 3 0 · 3の面が設置されている。 TD Iセンサ/パッケージ 3 0 · 3 、 接続ピン 3 0 · 4、 フィードスルー 3 0 · 5、 TD Iカメラ 3 0 · 1、 画像処理器 3 0 · 6、 コントロール P C 30 ' 7から構成されている。 試料表面からの放出電子 (2次電子、 反射電子、 後方散乱電子の内のいずれか) が 2次系により、 結像されて TD Iセンサ 30 · 3の面に入射する。 電子量に対応してチャージが蓄積されて、 T D Iカメラ 3 0 · 1により画像形成の電気信号が形成される。
センサノパッケージ 3 0 · 3のピンとフィードスルーフランジ 3 0 · 5のピンは接 続ピン 3 0 · 4により接続されている。 これは実施の形態 2と同様である。 この時、 実施の形態 1、 2の検出系に比べて、 TD Iセンサ 3 0 · 3により直接、 電子像信号 が直接、 電気信号に変換されるので、 構成機器 ·部品が低減 · 伝達経路の短縮が可能 となる。 この事により、 ノイズ低減による SZN向上、 高速化'、 小型化、 低コスト化 が可能となる。
この実施の形態では E B - TD I 30 - 1を用いたが、 同様に E B— C C Dでも使 用可能である。 特に、 画素数が多い場合や高速動作を行うために、 必要ピン数が 1 0 0本を越える場合にはこの様な構成が有効となる。 フィールドスルーのピンとパッケ ージの接続ピンが必要となる。 この接続ピンは片方 (例えばパッケージ側) がパネ材 とコンタク ト板より構成され、 接触幅を低減することができる。 接触ピンの本数が 1 0 0本以上のように多くなると、 接続時の押込み力が大きくなり、 総力で 5 k gを越 えるとパッケージの破壊の問題が起こる。 そのため、 パネ力の調整により 5 0〜: L 0 g/本の押込み力となる接続ピンを用いている。
なお、 EB— CCDまたは EB— TD Iを使用するときに入射電子数が不足すると きには、 電子増陪観である MC Pを使用することも可能である。 また、 画素数 段数 、 段数、 タップ数、 ラインレート及びビデオレートは、 実施の形態 1、 2と同様の条 件を使用できる。 感度は 0. 1〜 1 0 0 0 0 DN/電子で使用可能である。
(4) 実施の形態 4
実施の形態 1、 2、 3と同様の検査装置において、 図 3 1に示すように、 1次系 3 1 ' 1は同じであるが、 2次系 3 1 · 2の構成が異なる例を示している。 より高分解 能を達成するために、 2段の Pレンズ (対物レンズ) 3 1 * 3、 2段の Pレンズ (中 間レンズ) 3 1 · 5、 2段の Pレンズ (投影レンズ) 3 1 ■ 8が使用されている。 更 に、 Pレンズ (中間レンズ) はズームレンズになっているのが特徴である。 これによ り、 従来よりも、 高分解能、 大視野サイズの写像投影形ビーム光学系を実現でき、 更 に、 ズーム範囲において任意の倍率の画像を取得することができる。
2 - 3 - 2 ) 構成の詳細
以下、 図 2 5— 1〜図 3 1に示す電子光学系の電子銃、 1次光学系、 2次光学系、 EXBユニッ ト、 検出器及び電源 ίこついて詳細に説明する。
2— 3— 2— 1) 電子銃 (電子線源)
電子線源として熱電子線源を使用する。 電子放出 (ェミ ッタ) 材は L aB 6である 。 高融点 (高温での蒸気圧が低い) で仕事関数の小さい材料であれば、 他の材料を使 用することが可能である。 先端を円錐形状にしたもの又は円錐の先端を切り落とした 円豳台形状のものを使用している。 円錐台先端の直径は 1 0 0 im程度である。 他の 方式としては電界放出型の電子線源あるいは熱電界放出型のものが使用されているが 、 本発明の場合のように比較的広い領域 (例えば 1 0 0 X 2 5〜4 0 0 X 1 0 0 m 2) を大きな電流 ( 1 / A程度) で照射する場合には L aB 6を用いた熱電子源が最 適である。 なお、 S EM方式では一般に熱電界電子線源 (TF Eタイプ) 及びショッ トキ一タイプが使用されている。 熱電子線源は電子放出材を加熱することにより電子 を放出する方式であり'、 熱電界放出電子線源は、 電子放出材に高電界をかけることに より電子を放出させ、 更に電子線放出部を加熱することにより、 電子放出を安定させ た方式である。 この方式において温度と電界強度を選ぶことによりショッ トキ一条件 と呼ばれる、 効率よい条件での電子ビーム引き出しが可能になり、 最近はこの方式も よく利用される。
2 - 3 - 2— 2 ) 一次光学系
電子銃より照射される電子ビームを形成し、 ゥェ一八面上に矩形、 円形、 楕円形等 の二次元的な断面を有する電子ビーム又は線形の電子ビームを照射する部分を一次電 子光学系と呼ぶ。 一次電子光学系のレンズ条件を制御する事によりビームサイズゃ電 流密度を制御できる。 一次 Z二次電子光学系連結部の E X Bフィルタ (ウィーンフィ ルタ) により、 一次電子ビームはゥェ一八に垂直に (±5度、 好ましくは ±3度、 よ り好ましくは ± 1度で) 入射される。
L a B 6力ソードから放出した熱電子を、 ウェーネルト、 トリプルアノードレンズ 又はダブルアノード、 シングルアノードでガン絞り上にクロスオーバー像として結像 させる。 照明視野絞りでレンズへの入射角を適正化した電子ビ一ムを一次系静電レン ズを制御することによって、 回転非対称の形で NA絞り上に結像させ、 その後ゥエー 八面上に面照射する。 一次系静電レンズの後段は 3段 4極子 (QL) と、 1段の開口 収差補正用電極で構成されている。 4極子レンズはァライメント精度が厳しいといつ た制約はあるが、 回転対称レンズに比べ強い収束作用を持つ特徴があり、 回転対称レ ンズの球面収差に相当する開口収差を開口収差補正電極に適切な電圧を印加するとで 補正を行うことができる。 これにより、 所定の領域に均一な面ビームを照射出来る。 また、 偏向器により電子ビームをスキャンすることができる。
試料表面上での照射電子ビーム形状及び面積は、 試料上での TD I一 C CDの撮像 領域形状及び面積に相当する領域を含み、 その電子ビーム照射の照射領域内での照度 が均一であって照度むらが 1 0 %以下、 好ましくは 5 %以下、 より好ましくは 3 %以 下であることが望ましい。
本実施の形態での TD I一 C CDの形状及び面積は画素数で 2 048 X 5 1 2であ り、 画素サイズは 1 6 ^mX 1 6 mであるので、 全体で約 32. 8 mmX 8. 2 m mめ長方形である。 二次光学系の倍率が 1 6 0倍のときは試料表面での照射領域は上 記 3 2. 8 mmX 8. 2 mmの 1 / 1 6 0となるので、 2 0 5 μπιΧ 5 1. 2 lir の 長方形となる。
したがって、 この場合の電子ビームの照射領域は 2 0 5 mX 5 1. 2 μπιの長方 形を含む長方形が望ましいが、 上の条件を満足する形状及び面積であれば、 図 2 7— 1に示すように、 角の丸い長方形、 楕円、 円等でもよい。 二次光学系の倍率が 3 2 0 倍のときには、 3 2..8mmX 8. 2 mmの 1 / 32 0となるので、 1 02. 4 ^ m X 2 5. 6 mの長方形となり、 1 6 0 ί咅の 1 / 4の照射面積となる。
このように、 本発明では、 試料上に、 検出器である TD I — C CDの撮像領域を含 む比較的広い面積を有するビームを照射し、 その試料上の撮像領域は TD I— C CD の画素にそれぞれ対応し、 これら試料上の撮像領域から放出される電子を TD I一 C CD上で同時に結像することにより検出される。
電子ビームの照射形状は線形であってもよく、 これを走査して面状のビームと同じ 照射領域を確保してもよい。 線形ビーム 27 · 1とは、 図 2 7— 2の ( 1— 1) 及び ( 1 - 2) に示すように、 縦と横の比が 1 : 1 0以上の形状のピ一ムを意味し、 長方 形に限らず楕円であってもよい。 また、 線形ビーム 2 7 · 1は、 図 27— 2の ( 2 ) に示すように、 ビームが途中で一部途切れていてもよい。 ビームを走査させると、 試 料上の同じ箇所に連続してビームが照射される時間が短くなるので、 試料へのチヤ一 ジアツプの影響が少なくなると言う利点がある。
図 2 7— 2の (3) 及び (4) は、 被検査物 2 7 . 2の上での TD I— C C Dのマ ルチピクセル撮像領域 2 7 · 3と線形ビーム 2 7 · 1との関係を示している。 このう ち、 図 27— 2の (3) においては、 線形ビーム 2 7 · 1は TD I— C CDの積分方 向 2 7 · 4又は XYステージの移動方向 27 · 5とほぼ直角 (例えば 9 0度 ±3度、 好ましくは 9 0度 ± 1度) に配置され、 ビームの走査方向 2 7 . 6は TD I - C C D の積分方向 2 8 · 4又は XYステージの移動方向 2 7 . 5と同一の方向 (例えば 0度 土 1度、 好ましくは 0度 ± 1分、 より好ましくは 0度 ± 1秒) となっている。
図 2 7— 2の (4) は別の例を示しており、 線形ビーム 2 7 · 1は TD I— C C D の積分方向 2 7 · 4又は XYステージの移動方向とほぼ平行 (例えば、 9 0度 ± 1度 、 好ましくは 9 0度 ± 1分、 より好ましくは 90度 ±3秒) となっている。
2— 3— 2— 3 ) 二次光学系
ゥエーハ上に照射された電子ビームにより発生する二次元の二次電子画像を、 対物 レンズに相当する静電レンズ (CL、 TL) により視野絞り位置で結像させ後段のレ ンズ (PL) で拡大投影する。 この結像投影光学系を二次電子光学系と呼ぶ。 ゥエー 八にはマイナスのバイアス電圧 (減速電界電圧) が印加されている。 減速電界は照射 ビームに対して減速の効果を持ち、 試料のダメージを低減させるとともに、 CLとゥ ェ一ハ間の電位差で試料面上から発生した二次電子を加速させ、 色収差を低減させる 効果を持つ。 CLによって収束した電子は TLで F A上に結像させ、 その像を P Lで 拡大投影し、 二次電子検出器 (MC P) 上に結像させる。 本光学系では CL一 TL間 に N Aを配置し、 これを最適化することで軸外収差低減が可能な光学系を構成してい る。
電子光学系の製造上の誤差や、 EXBフィルタ (ウイーンフィル夕) を通過するこ とによって発生する像の非点収差や異方性倍率を補正するため、 静電 8極子 (S T I G) を配置し補正を行い、 軸ズレに対しては各レンズ間に配置した偏向器 (OP) で 補正を行う。 これにより視野内における均一な分解能での写像光学系が達成できる。 以下、 若干の実施の形態を用いて更に説明する。
( 1 ) 実施の形態 5
図 3 2は電子光学系を示す。 電子銃 3 2 · 1から放出された一次電子は像形成レン ズ 3 2 ■ 2を経た後、 2段のズームレンズ 3 2 · 3を通り、 さらに 3段の四極子レン ズ 3 2 · 4を通って、 E X Bフィル夕 3 2 · 5で 3 5 ° 偏向され、 二次光学系 3 2 - 6の光軸と平行に反対方向に、 対物レンズ 3 2 · 7を通って試料面に照射される。 な ' お、 4極子レンズは 2以上の多極子でもよく、 偶数に'限らず、 奇数の極を有するもの でもよい。 また、 4極子レンズは 3段以上 2 0段以下、 好ましくは 3段以上 1 0段以 下、 より好ましくは 3段以上 5段以下であることが望ましい。
一次電^の照射により試料面から放出される二次電子、 反射電子、 後方散乱電子は 対物レンズ 3 2 · 7で E X Bフィルタ 3 2 · 5の中心に結像された後、 中間レンズ 3
2 · 8で倍率を可変された後、 投影レンズ 3 2 · 9の手前で結像される。 中間レンズ
3 2 ■ 8で結像された像は、 投影レンズ 3 2 · 9で約 30~ 50 倍に拡大されて検出器 表面 3 2 · 1 0に結像される。
像形成レンズ 3 2 · 2は、 加速電圧が変わっても、 ズームレンズ 3 2 · 3の手前に 像を形成する事を可能にするものであり、 図 3 2では 1段のレンズで構成されている が、 複数段のレンズで構成されてもよい。
一次電子の試料面への照射面積と形状は、 一次電子の加速電圧が一定であれば、 ズ —ムレンズ 3 2 · 3の条件と四極子レンズ 3 2 · 4の条件とによってほぼ決まる。 ズ ' —ムレンズ 3 2 · 3は、 ビーム形状を保ったまま照射面積を可変する。 四極子レンズ 3 2 · 4は、 ビームの大きさも可変する事が出来るが、 主にビーム形状 (楕円の縦横 比率) を変えるために用いる。 図 3 2では、 それぞれ 2段のズームレンズ 3 2 · 3と 3段の四極子レンズ 3 2 ■ 4で構成されるが、 それぞれレンズの段数を増やしても構 わない。
以下、 検出器の 1 ピクセルの大きさが 1 6 m四方、 検出器のサイズが 2 0 4 8 X 5 1 2ピクセルの場合について考察する。 二次光学系 3 2 · 6の倍率が 1 6 0倍の時 、 試料上の 1 ピクセル相当の大きさは、 1 6 μ πι ÷ 1 6 0 = 0 . 1 mになり、 観 察面積は 2 0 4 . 8 X 5 1 . 2 z mになる。 それをカバーする照射エリアは楕円形状 であるため、 その長軸と短軸の比率によって様々に変化する。 その様子を図 3 3に示 す。 図 3 3において、 横軸は長軸位置、 縦軸は短軸位置を示す。 最適な照射形状を考 えるに当たって、 観察領域 3 3 · 1でない所にはあまりビームを照射したくない、 と いう考え方がある。 そのためには、 観察領域の面積を照射領域の面積で割った照射効 率が最大になる照射形状を探せばよい事になる。
図 3 4は、 照射領域の形状の長軸対短軸の比に対する照射効率についてプロッ トし たものである。 これより、 照射楕円形状の長軸対短軸の比が、 矩形の観察領域の長軸 対短軸比に等しい場合が最も照射効率の良い形状である事がわかる。 つまり、 観察領 域 2 0 4 . 8 X 5 1 . 2 mをくまなく照射するビーム形状は 2 9 0 X 7 2 . 5 μ, τα となる。 実際は、 照射光学系の収差や電子銃の輝度ムラの影響で、 照射ビ一ム形状は 若干大きくなる。 この照射ビーム形状を達成するためには、 四極子レンズ 3 2 · 4の 手前の像が四極子レンズ 3 2 · 4と対物レンズ 3 2 · 7を含めた光学系によって試料 面上で楕円形状の照射領域を形成するように四極子レンズ 3 2 · 4を調整すれば良い 。 この場合、 試料面上で、 必要な照射領域とその照射領域全面とにわたつて十分に平 坦な照射電流密度が得られればよく、 照射ビームを試料面上に結像させる必要はない 。 試料面上で所定の照射領域が得られるように、 ズームレンズ 3 2 · 3で四極子レン ズ 3 2 · 4の手前の像の大きさを調節する事になる。
今、 例えば、 二次電子光学系 3 2 · 6の倍率を 1 6 0倍から 3 2 0倍にする時を考 える。 この時、 試料面上の 1 ピクセル相当の大きさは 1 6 m ÷ 3 2 0 = 0 . 0 5 ^ m四方になり、 観察面積は 1 0 2 . 4 X 2 5 . 6 i mになる。 この状態で照射領域が 1 6 0倍のままだったとすると、 検出器の 1 ピクセルに到達する信号量は面積比に 比例するので、 1 6 0倍の時の 1 Z 4になる。 1 6 0倍の時に 1 ピクセル平均 4 0 0 個の電子数に対応する信号量の像を見ていたとすると、 その時のショッ ト雑音による 揺らぎの標準偏差は 7" ( 4 0 0 ) = 2 0個である。 よって S Z N比は 4 0 0 / 2 0 = 2 0となる。 3 2 0倍の時に同一の S / N比の像を得るためには、 同一信号量が 1 ピ クセルに入れば良い。 試料上 1 ピクセル当たりの面積が 1ノ 4になっているため、 単 位面積当たり 4倍の二次電子信号量密度があればよい事になる。
一次電子の加速エネルギーと試料面のポテンシャルの差として表わされるランディ ングエネルギーが一定であれば、 照射電流密度と二次電子信号量密度は概ね比例する 。 そのため、 照射電流密度を 4倍にすればよい事がわかる。 照射電流密度を 4倍にす る'には、 単純には照射電流を 4倍にするか、 照射面積を 1ノ 4にすれば良い。 照射面 積を 1 / 4にするには、 照射サイズを長軸短軸共 1 / 2にすれば良い。 観察領域、 照 射領域共、 相似形でそれぞれ 1 / 2に縮小するため、 これで十分に観察領域を照射で きる。
照射電流密度を増やすための手段として、 照射電流を増やしても、 照射面積を減ら しても構わないが、 観察領域でない所にはなるべく照射したくないという考えに基づ けば、 照射面積を減らすのが望ましい。
表 3は、 二次光学系倍率が 3 2 0倍と' 1 6 0倍の時のそれぞれの一次光学系レンズ の電圧及び得られた試料上の照射サイズを表わす。 これより、 二次光学系倍率に十分 追随できる照射領域が得られる。 表 3には示していないが、 倍率 8 0倍の照射サイズ は 6 2 0 mX 1 8 0 ;timの楕円形、 また、 倍率 4 8 0倍では 1 0 0 jLimX 3 0 m の楕円形でよい。 このように、 倍率の変化または切り替えに応じて照射サイズを変化 させることが望ましい。
表 3
Figure imgf000066_0001
観察領域を電子線で照明する場合、 上記のように矩形又は楕円で、 観察領域を全て 覆う広さの面積を持つ電子ビームで照明する方法のほかに、 複数の、 ビームの広さが 観察エリァより小さい面積をもつ電子ビームを走査して照明する方法も可能である。 ビーム数は 2本以上 1 0 0 0本以下、 好ましくは 2本以上 1 0 0本以下、 より好まし は 4本以上 4 0本以下である。 二本以上のビームがつながった線状のビームを走査 しても良い。 この場合は線の長手方向に垂直な方向に走査させることにより、 1回の - 走査でより広い領域を検査することが可能となる。 この場合も検出器には C C D又は T D I を用いて良い。 線形のビームを形成するには例えば L a B 6の電子源を用い光 学系で線形のスリッ トを経由させるようにすれば良い。 また、 電子源の先端が鋭利で 細長い形状の力ソードを用いて線形のビームを形成しても良い。 尚ビームの走査中の ステージの移動は検査領域全てを網羅するように連続的、 または断続的に X Y平面の 少なく とも 1方向に行うようにする。
( 2 ) 実施の形態 6
図 3 5は、 リレーレンズを用いた検出系の構成を示したものである。 二次光学系で M C P (マイクロチャンネルプレート) 3 5 · 1の表面に結像された二次電子は、 M C P 3 5 · 1内のチャンネルを通過しながらその数が M C P 3 5 · 1の電子入射面と 出射面間に印加された電圧に応じて増倍される。 M C P 3 5 · 1の構造や動作につい ては既知であり、 ここでは詳述しない。 この実施の形態では、 M C P 3 5 . 1上での ピクセルサイズを 2 6 m とし、 横 1 0 2 4ピクセル X縦 5 1 2 ピクセル分の有効 ' エリアでチヤンネル径 6 i mのものを使用した。 M C P 3 5 · 1内で増倍された 子 は M C P 3 5 · 1の出射面から射出され、 対向する厚さ約 4 mmのガラス板 3 5 · 2 上に塗布された蛍光面 3 5 · 3に衝突し、 電子信号量に応じた強度の蛍光が発生する 。 ガラス板 3 5 · 2と蛍光面 3 5 · 3の間には、 薄い透明電極が塗布してあり、 M C P出射面との間に 2 ~ 3 k V程度の電圧が印加されているため、 M C Pと蛍光面間で の竃子の広がりが極力抑えられて、 そこでの像のボケが極力抑えられると同時に、 M C P 3 5 · 1を出射した電子が適度なエネルギーを持って蛍光面 3 5 · 3に衝突する ため、 発光効率が向上する。 なお、 透明電極と蛍光面 3 5 · 3を塗布するガラス板 3 5 · 2の材質は、 光を効率良く透過するものであれば何でも良い。
蛍光面 3 5 · 3で電子信号を変換された光強度信号は、 ガラス板 3 5 · 2を通り、 さらに真空と大気を隔絶する光学的に透明な板 3 5 · 4を通り'、 蛍光面 3 5 · 3で発 生した光を結像するリレーレンズ 3 5 · 5を通り、 その結像位置に配置した C C Dや T D Iセンサ一の受光面 3 5 · 6に入射する。 本実施の形態では、 結像倍率 0 . 5倍 、 透過率 2 %のリ レーレンズ 3 5 · 5を使用した。
受光面 3 5 · 6に入射した光は、 C C Dや T D Iセンサーによって電気信号に変換 され、 画像の電気信号が取り込み装置に出力される。 本実施の形態で用いた TD Iセ ンサ一は、 ピクセルサイズ 1 3 μπι、 水平方向有効画素数 2 048ピクセル、 積算段 数 1 44段、 タップ数 8、 ラインレートが最大 8 3 kH zのものを用いたが、 今後の TD Iセンサ一の技術的な進歩により、 さらに水平方向有効画素数や積算段数が大き なものを使用しても構わない。 なお、 TD Iセンサ一の構造や動作については既知で あり、 ここでは詳述しない。
表 4において、 実施の形態 1の欄には、 本実施の形態での二次電子放出電流密度、 二次光学系結像倍率、 TD I ラインレートを決定した時に得られるピクセル入射電子 数、 TD Iダレ一スケール画素階調値及びステージ速度を示している。
表 4
Figure imgf000069_0001
ここで述べたグレースケール画素階調値のフルスケールは 2 5 5 DNである。 これは 、 現状の MC Pダイナミックレンジが 2 A程度しかない事に起因する。 MC Pダイ ナミックレンジの画期的な向上は現状望めないので、 ある程度の画素階調値を得るた めには、 TD I レスボンシビティ (Responsivity) を最低 2 0 0 D Nノ ( n J c m 2 ) は確保する事が重要になる。
(3) 実施の形態 7
図 3 6は、 FO Pを用いた検出系の構成を示したものである。 蛍光面 3 6 · 1まで の構造と動作は実施の形態 5と同じである。 但し、 本実施の形態の MC P 3 6 · 2の 有効エリァはピクセルサイズ 1 6 m で横 2 04 8 X縦 5 1 2ピクセル分である。 実施の形態 5と異なり、 蛍光面 3 6 · 1は、 ガラス板に代わり、 厚さ約 4 mmの FO P (ファイバ一ォプティ ックプレート) 3 6 ■ 3に塗布される。 蛍光面 3 6 · 1で電 子信号から変換された光強度信号は、 F 0 P 3 6 · 3の各ファイバ一中を通る。 FO P 36 · 3の光出射面には透明電極が塗布してあり、 これは接地電位になっている FOP 3 6 · 3を出射した光は、 隙間を開けずに接した厚さが例えば約 3 mmの別の FOP 3 6 . 4を通り、 その FOP 3 6 ' 4の光出射面に透光性接着剤を介して配置 された C C Dや T D Iセンサ一 3 6 · 5の受光面に入射する。 F O Pの各フアイバー を越えて光は発散しないので、 C CDや TD Iセンサー 3 6 · 5の画素サイズがファ ィバ一径よりも十分大きければ画質に大きな影響はない。
本実施の形態では、 FOPのファイバ一径は 6 m、 TD Iセンサー 3 6 . 5のピ クセルサイズは 1 6 mである。 FOPの入射側と出射側でファイバ一径を変える事 により、 像の倍率を変化させる事ができるが、 それによる像の歪やずれが大きくなる ので、 本実施の形態では等倍である。 本実施の形態の透過率は約 40 %である。
C CD又は TD Iセンサー 3 6 · 5は真空中に配置され、 光信号から変換された画 像の電気信号 36 · 6は、 大気と真空を隔絶するフィードスルー 3 6 · 7を介して取 り み装置に出力される。
C CD又は TD Iセンサー 36 · 5を大気中に配置し、 F〇 Pで大気と真空を隔絶 する事も可能であるが、 透過率が低減する事と、 FOPの厚さの増加に伴って歪が増 加する事を加味すると、 積極的に採用する必要性は薄い。
本実施の形態で用いた TD Iセンサー 36 · 5は、 ピクセルサイズ 1 6 m 水平 方向有効画素数 2 048ピクセル、 積算段数 5 1 2段、 タツブ数 32、 ラインレート が最大 3 0 0 kH zのものを用いたが、 今後の TD Iセンサーの技術的な進歩により 、 さらに水平方向有効画素数や積算段数が大きなものを使用しても構わない。
表 4の実施の形態 2の榔に、 本実施の形態での二次電子放出電流密度、 二次光学系 結像倍率、 TD I ラインレートを決定した時に得られるピクセル入射電子数、 TD I グレースケール画素階調値及びステージ速度を示す。
(4) 実施の形態 8
図 3 7の (A) は、 写像投影方式の欠陥検査装置 E B Iの構成を概略的に示す図で あり、 (B) はこの欠陥検査装置 E B Iの二次光学系及び検出系の構成を概略的に示 している。 図 3 7において、 電子銃 37, 1は大電流で動作可能な熱電子放出型の L aB 6製力ソード 3 7 · 2を有し、 電子銃 3 7 · 1から第 1の方向へ発射された一次 電子は数段の四極子レンズ 3 7 · 3を含む一次光学系'を通過してビーム形状を調整さ れてからウィーンフィルタ 3 7 · 4を通過する。 ウイーンフィルタ 3 7 · 4によって 一次電子の進行方向は、 検査対象である試料 Wに対して入力するよう第 2の方向へ変 更される。 ウイ一ンフィルタ 3 7 · 4を出て第 2の方向へ進む一次電子は、 N A開口 板 37 · 5によってビーム径を絞られ、 対物レンズ 3 7 · 6を通過して試料 Wを照射 する。
このように、 一次光学系においては、 電子銃 3 7 · 1として L aB 6製の高輝度の ものを使用しているので、 従来の走査型の欠陥検査装置に比較して低エネルギで大電 流かつ大面積の一次ビームを得ることができる。 電子銃 3 7 · 1は L a B 6からなり 、 形状を円錐台とし、 直径が 5 0 m以上で、 一次電子の引出電圧が 4. 5 k Vで 1 X I 03 A/ c m2 s r以上 1X 1 08 A/ c m2 s r以下の輝度で電子を引き出じて 使用できる。 好ましくは 4. 5 kVで 1 X 1 05 A/ c m2 s r以上 1 X 1 07 A/ c m2 s r以下とする。 更に好ましくは 1 O kVで 1 X 1 06 A/ c m 2 s r以上 1 X 1 07A/cm2 s r以下とする。 また、 電子銃 3 7 ■ 1はショ ッ トキ一型として、'一 次電子の引出電圧が 4. 5 kVで l X 1 06A/cm2 s r以上 SX l O AZc m 2 s r以下の輝度で電子を引き出して使用することもできる。 好ましくは 1 0 kVで 1 X 1 06 A/ c m2 s r以上 5 X 1 09 A/ c m2 s r以下とする。 また、 電子銃 3 7 · 1に Z r Oのショッ トキ一型を使用することもできる。
一次電子が試料 Wを照射する照射領域の形状が一次電子の光軸を含まない他の直交 する二つの軸に対してそれぞれ概ね対称であり、 一次電子が試料上を照射する領域の 一次電子の照度むらは 1 0 %以下であり、 好ましくは 5 %以下であり、 より好ましく は 3 %以下の照度むらとなっており、 極めて均一である。 この場合、 ビーム形状は上 記のように一次電子の光軸を含まない他の直交する二つの軸に対してそれぞれ概ね対 称で無い場合も使用できる。
この実施の形態においては、 試料 Wは一次光学系によって断面が例えば 2 0 0 X 50 / mの矩形に形成された面ビームによって照射されるので、 試料 W上の所定の 広さの小さな領域を照射することができるようになる。 この面ビームで試料 Wを走査 するために、 試料 Wは例えば 30 0 mmゥェ一ハ対応の高精度の XYステージ (図示 せず) 上に載置され、 面ビームを固定した状態で XYステージを二次元的に移動させ る。 また、 一次電子をビームスポッ トに絞り込む必要がないので面ビームは低電流密 度であり、 試料 Wのダメージが少ない。 例えば、 従来のビーム走査方式の欠陥検査装 置においてはビームスポッ トの電流密度は 1 O A/c m2〜 1 04A/cm2である が、 図 3 7の欠陥検査装置においては面ビームの電流密度は 0. O O O l AZcm2 〜0. l AZ cm2でしかない。 好ましくは 0. 0 0 1 AZc m2~ l A/cm2で 使用する。 より好ましくは 0. 0 1 A/ cm2~ lAZc m2で使用する。 一方、 ド ーズは、 従来のビーム走査方式では IX 1 0— 5 C/ c m2であるのに対して、 本方式 では、 1 X I 0—6CZcm2〜 l X l O- C/cm2であり、 本方式の方が高感度に なっている。 好ましくは 1 X 1 0— 4C/cm2〜: L X 1 0 - 1 C/ c m2, 更に好まし くは 1 X 1 0— 3 C/cm2~ l X l 0— 1 C / c m2で使用する。
一次電子ビームの入射方向は基本的に E XB 3 7 · 4の E方向、 すなわち電界の方 向からとしており、 この方向に TD Iの積算方向及ぴステージ移動方向を合わせる。 一次電子ビームの入射方向は B方向、 すなわち磁場をかけている方向でもよい。
一次電子によって照射された試料 Wの領域からは二次電子、 反射電子、 後方散乱電 子が発生する。 まず、 二次電子の検出について説明すると、 試料 Wから放出された二 次電子は、 前記第 2の逆の方向へ進むよう、 対物レンズ 3 7 · 6によって拡大されて NA 開口板 3 7 · 5及びウイーンフィルタ 3 7 · 4を通過してから、 中間レンズ 3 7 • 7によって再び拡大され、 投影レンズ 37 · 8によって更に拡大されて二次電子検 出系 3 7 ■ 9に入射する。 二次電子を導く二次光学系 3 7 * 9においては対物レンズ 3 7 · 6、 中間レンズ 3 7 · 7及び投影レンズ 3 7 · 8はいずれも高精度の静電レン ズであり、 二次光学系の倍率は可変であるよう構成される。 一次電子を試料 Wにほぼ 垂直に (± 5度以下、 好ましくは ± 3度以下、 より好ましくは ± 1度以下で) 入射し 、 二次電子をほぼ垂直に取り出すので、 試料 Wの表面の凹凸による陰影を生じない。
イーンフィルタ 37 · 4は E XBフィルタとも呼ばれ、 電極及び磁石を有し、 電 界と磁界を直交させた構造を有し、 一次電子を例えば 3 5度曲げて試料方向 (試料に 垂直な方向) に向け、 一方、 試料からの二次電子、 反射電子、 後方散乱電子のうち少 なく とも一つを直進させる機能を持つ。
投影レンズ 3 7 · 8からの二次電子を受け取る二次電子検出系 3 7 · 9は、 入射さ れた二次電子を増殖するマイクロチャンネルプレート (MC P)' 3 7 · 1 0と、 MC P 3 7 · 1 0から出た電子を光に変換する蛍光スクリーン 3 7 · 1 1と、 蛍光スクリ ーン 3 7 · 1 1から出た光を電気信号へ変換するセンサュニッ ト 3 7 · 1 2を備える 。 センサユニッ ト 37 · 1 2は、 二次元に配列された多数の固体撮像素子からなる高 感度のラインセンサ 3 7 · 1 3を有しており、 蛍光スクリーン 3 7 · 1 1から発せら れた蛍光はラインセンサ 37 · 1 3によって電気信号へ変換されて画像処理部 3 7 · 1 4に送られ、 並列、 多段且つ高速に処理される。
試料 Wを移動させて試料 W 上の個々の領域を順に面ビームで照射して走査してい く間に、 画像処理部 3 7 · 1 4は、 欠陥を含む領域の XY座標と画像とに関するデー 夕を順次蓄積していき、 一つの試料について欠陥を含む検査対象の全ての領域の座標 と画像とを含む検査結果ファイルを生成する。 こうして、 検査結果を一括して管理す ることができる。 この検査結果ファイルを読み出すと、 画像処理部 1 2のディスプレ ィ上には当該試料の欠陥分布と欠陥詳細リス トとが表示される。
実際には、 欠陥検査装置 E B Iの各種の構成要素のうち、 センサュニッ ト 3 7 · 1 2は大気中に配置されるが、 その他の構成要素は真空に保たれた鏡筒内に配置される ため、 この実施の形態においては、 鏡筒の適宜の壁面にライ トガイ ドをもうけ、 蛍光 スクリーン 3 7 · 1 1から出た光をライ トガイ ドを介して大気中に取り出してライン センサ 3 7 * 1 3に中継する。
試料 Wから放出される電子を 1 0 0 %としたとき、 MC P 3 7 ■ 1 0に到達しうる 電子の割合 (以下 「透過率」) は
透過率 (%) = (MC P 3 7 - 1 0に到達しうる電子) / (試料 Wから放出される 電子) X 1 0 0
で表される。 透過率は N A開口板 3 7 · 5の開口部面積に依存する。 例として、 透過 率と N A開口板の開口部直径の関係を図 38に示す。 実際には、 試料より発生する二 次電子、 反射電子、 後方散乱電子のうち少なくとも一つが電子検出系 Dへ到達するの は 1画素あたり 2 0 0個〜 1 0 00個程度である。
検出器に拡大投影して結像した画像の中心と静電レンズの中心は共通の軸であり、 偏光器と試料との間では電子ビームは共通の軸を光学軸とし、 電子ビームの光学軸は 試料に対して垂直である。
03 9は、 図 3 7の欠陥検査装置 E B Iにおける電子検出系 3 7 · 9の具体的な構 成例を示している。 投影レンズ 3 7 ' 8にょって 〇? 3 7 ' 1 0の入射面に二次電 子像又は反射電子像 3 9 · 1が形成される。 MC P 3 7 · 1 0は例えば分解能が 6 m、 ゲインが 1 03〜 1 04、 実行画素が 2 1 0 0 X 5 2 0であり、 形成された電子 像 3 9 · 1に対応して電子を増殖して蛍光スクリーン 37 · 1 1を照射する。 これに よって蛍光スクリーン 3 7 · 1 1の電子で照射された部分から'蛍光が発せられ、 発せ られた蛍光は低歪み (歪みが例えば 0. 4 %) のライ トガイ ド 3 9 · 2を介して大気 中へ放出される。 放出された蛍光は光学リ レーレンズ 3 9 · 3を介してラインセンサ 3 7 · 1 3に入射される。 例えば、 光学リ レーレンズ 3 9 · 3は倍率が 1 2、 透過 率が 2. 3 %, 歪みが 0. 4 %であり、 ラインセンサ 37 . 1 3は 2 048 X 5 1 2 個の画素を有している。 光学リレーレンズ 3 9 · 3はラインセンサ 3 7 · 1 3の入射 面に電子像 3 9 · 1に対応した光学像 3 9 · 4を形成する。 ライ トガイ ド 3 9 · 2及 びリ レーレンズ 3 9 · 3の代わりに F〇 P (ファイバ · ォプティック · プレート) を 使うこともでき、 この場合の倍率は 1 倍である。 また、 1画素当たりの電指数が 5 0 0個以上の場合、 M C Pを省いてもよい。
図 3 7 に示す欠陥検査装置 E B I は、 電子銃 3 7 · 1の加速電圧及び試料 W に印 加される試料電圧を調整するとともに電子検出系 3 7' · 9を使用することにより、 二 次電子の場合、 正帯電モードと負帯電モードのいずれかで動作可能である。 更に、 電 子銃 3 7 · 1の加速電圧、 試料 Wに印加される試料電圧及び対物レンズ条件を調整す ることにより、 欠陥検査装置 E B I を、 一次電子の照射によって試料 Wから発せられ る高工ネルギの反射電子を検出する反射電子撮像モ一ドで動作させることができる。 反射電子は、 一次電子が試料 Wに入射するときのエネルギと同じエネルギを持ってお り、 二次電子に比べてエネルギが高いので、 試料表面の帯電等による電位の影響を受 けづらいという特徴がある。 電子検出系は、 二次電子又は反射電子の強度に対応した 電気信号を出力する電子衝撃型 C C D、 電子衝撃型 T D I等の電子衝撃型検出器を使 用することもできる。 この場合は、 M C P 3 7 · 1 0、 蛍光スクリーン 3 7 · 1 1 、 リレーレンズ 3 9 · 3 (又は F O P ) を使用せずに結像位置に電子衝擊型検出器を設 置して使用する。 このような構成にすることにより、 欠陥検査装置 E B I は検査対象 に適したモードで動作することが可能になる。 例えば、 メタル配線の欠陥、 G C配線 の欠陥、 レジス トパターンの欠陥を検出するには、 負帯電モード又は反射電子撮像モ —ドを利用すればよいし、 ビアの導通不良やエッチング後のピア底の残渣を検出する には反射電子撮像モードを利用すればよい。
図 4 0の (A ) は図 3 7の欠陥検査装置 E B I を上記 3つのモードで動作させるた めの要件を説明する図である。 電子銃 3 7 · 1の加速電圧を V A、 試料 Wに印加され る'試料電圧を V W、 試料を照射するときの一次電子の照射エネルギを E Ϊ N、 二次電 子検出系 3 7 · 9 に入射する'二次電子の信号エネルギを Ε Ο Υ Τとする。 電子銃 3 7 • 1は加速電圧 V Aを変えることができるよう構成され、 試料 Wには適宜の電源 (図 示せず) から可変の試料電圧 V Wが印加される。 そこで、 加速電圧 VA及び試料電圧 VWを調整し且つ電子検出系 3 7 · 9を使用すると、 欠陥検査装置 E B I は、 図 4 0 の (B ) に示すように、 二次電子ィールドが 1よりも大きい範'囲では正帯電モード、 1よりも小さい範囲では負帯電モードで動作することができる。 また、 加速電圧 V A 、 試料電圧 V W及び対物レンズ条件を設定することにより、 欠陥検査装置 E B I は二 次電子と反射電子とのエネルギ差を利用して二種類の電子を区別できるので、 反射電 子のみを検出する反射電子撮像モードで動作することができる。 欠陥検査装置 E B Iを反射電子撮像モード、 負帯電モード及び正帯電モ一ドで動作 させるための VA、 Vw、 E! N及び Εουτの値の一例を挙げると、
反射電子撮像モード
VA=- 4. 0 k V± 1度 V (好ましくは土 0. 1度、 より好ましくは ± 0. 0 1 度以下)
Vw= - 2 - 5 ¾: ± 1度¥ (好ましくは ± 0. 1度、 より好ましくは ± 0. 0 1 度以下)
E I N= 1. 5 k e V± l度 V (好ましくは ± 0. 1度、 より好ましくは土 0. 0 1度以下)
EOUT=4 k e V以下
負帯電モード
VA= - 7. 0 kV± l V (好ましくは ±0. I V、 より好ましくは士 0. 0 1 V 以下)
Vw=— 4. 0 kV± l V (好ましくは ±0. I V、 より好ましくは ±0. 0 1 V 以下)
E I N= 3. 0 k e V± l V (好ましくは ±0. I V、 より好ましくは ± 0. 0 1 V以下)
E ουτ= 4 k e V + α ( ο; : 二次電子のエネルギ幅)
正帯電モード
VA= - 4. 5 kV± l' V (好ましくは ±0. I V、 より好ましくは ± 0. 0 1 V 以下)
Vw= - 4. O kV士 I V (好ましくは ±0. I V、 より好ましくは ±0. 0 1 V 以下)
E! N= 0. 5 k e V± l V (好ましくは ± 0. I V、 より好ましくは ± 0. 0 1 下)
Εουτ= 4 k e V+ α ( α : 二次電子のエネルギ幅)
となる。
上記のように、 基本的には二次電子モードの時には試料の電位 Vwは正帯電モード の場合も負帯電モードの場合も、 4 kV± 1 0 V (好ましくは 4 k V± 1 V、 より好 ましくは 4 kV±0. 0 1 V以下) と一定の電位をかける。 一方、 反射電子モードの 場合は加速電位 VAを 4 k V± 1 0 V (好ましくは 4 k V± 1 V、 より好ましくは 4 kV±0. 0 1 V以下) とし、 試料電位 Vwは加速電位 4 kV以下の任意の電位に設 定して使用する。 このようにして検出器の MC Pには 4 k e V± 1 0 e V+ α (好ま しくは 4 k V± I V、 より好ましくは 4 kV±0. 0 1 V) という最適なエネルギー で、 信号となる二次電子または反射電子が入射するよう設定されている。 以上の電位設定は基本的に二次光学系を通す信号電子のエネルギーを 4 k e Vとし て、 試料面の電子像を検出器に結像させる場合であり、 このエネルギーを変えること . によって上記の二次電子モードと反射電子モードにおける設定電位を変えて試料の種 類に応じた最適な電子像を得ることができる。 負帯電モードとしては、 図 4 0の (B ) の正帯電領域より低い電子照射エネルギ (例えば 5 0 e V以下) の領域を使うこと - も可能である。
実際、 二次電子と反射電子の検出量は、 試料 W上の被検査領域の表面組成、 パター ン形状及び表面電位によって変わってくる。 即ち、 試料 W上の被検査対象の表面組成 によって二次電子収率及び反射電子量は異なり、 パターンの尖った個所や角では二次 電子収率及び反射電子量は平面に比べて大きい。 また、 試料 W上の被検査対象の表面 電位が高いと、 二次電子放出量が減少する。 こうして、 検出系 3 7 · 9によって検出 された二次電子及び反射電子から得られる電子信号強度は材料、 パターン形状及び表 面電位によって変動する。
2 - 3 - 3 ) E X Bュニッ ト (ウィーンフィルタ)
ウィーンフィルタは、 電極と磁極を直交方向に配置し、 電界と磁界を直交させた電 磁プリズム光学系のユニッ トである。 電磁界を選択的に与えると、 一方向からその場 に入射する電子ビームは偏向させ、 その反対方向から入射する電子ビームは、 電界か ら受ける力と磁界から受ける力の影響が相殺される条件 (ウイーン条件) を作ること ' が可能で、 これにより一次電子ビームは偏向され、 ゥエーハ上に垂直に照射し、 二次 電子ビームは検出器に向け直進することができる。
E X Bュニッ 卜の電子ビーム偏向部の詳細な構造について、 図 4 1及び同図の A— A線に沿う縦断面を示す図 4 2を用いて説明する。 図 4 1 に示すように、 E X Bュニ ッ ト 4 1 · 1の電子ビーム偏向部 4 1 · 2の場は、 写像投影光学部の光軸に垂直な平 面 において、 電界と磁界とを直交させた構造、 即ち E X B構造とする。 ここで、 電 界は凹面状の曲面を持つ電極 4 1 · 3及び 4 1 · 4により発生させる。 電極 4 1 . 3 及び 4 1 · 4が発生する電界は、 それぞれ制御部 4 1 . 5及び 4 1 . 6により制御さ れる。 一方、 電界発生用の電極 4 1 · 3及び 4 1 · と直交するように、 電磁コイル 4 1 · 7及び 4 1 ' 8を配置させることにより磁界を発生させている。 なお、 電界発 生用の電極 4 1 · 3、 4 1 · 4は点対象であるが同心円でも構わない。
この場合は磁界の均一性を向上させるために、 平行平板形状を有するポールピース を持たせて、 磁路を形成している。 A— A線に沿う縦断面における電子ビームの挙動 は、 図 4 2に示されるようである。 照射された電子ビーム 4 2 . 1及び 4 2 · 2は、 電極 4 1 · 3、 4 1 - 4が発生する電界と、 電磁コイル 4 1 · 7、 4 1 . 8が発生す る磁界とによって偏向された後、 試料面上に対して垂直方向に入射する。 ここで、 照射電子ビーム 42 ' 1、 42 · 2の電子ビーム偏向部 4 1 · 2への入射 位置及び角度は、 電子のエネルギーが決定されると一義的に決定される。 さらに、 二 次電子 42 · 3及び 42 · 4が直進するように、 電界及び磁界の条件、 即ち v XB = Eとなるように電極 4 1 · 3、 41 · 4が発生する電界と、 電磁コイル 4 1 · 7、 4 1 - 8が発生する磁界とを、 それぞれの制御部 4 1 · 5、 4 1 · 6、 4 1 · 9、 4 1 · 1 0が制御することで、 二次電子は電子ビーム偏向部 41 · 2を直進して、 上記写 像投影光学部に入射する。 ここで、 Vは電子の速度 (m/ s )、 Bは磁場 (T)、 eは 電荷量 (C)、 Eは電界 (VZm) である。
ここでは E XBフィルタ 4 1 · 1を 1次電子ビームと二次電子の分離に使用してい るが、 磁場を用いても可能であることは言うまでもない。 また電界だけで 1 次電子 ビームと二次電子を分離しても良い。 更に.、 一次電子と反射電子の分離に使用できる ことは当然である。
ここで、 実施の形態 9として、 図 43により ΕΧΒフィル夕の変形例を説明する。 図 43は光軸に垂直な面で切った断面図である。 電界を発生させるための 4対の電極 43 · 1と 4 3 · 2、 43 · 3と 43 · 4、 43 · 5と 43 · 6、 43 · 7と 43 · 8は、 非磁性導電体で形成され、 全体としてほぼ円筒形状であり、 絶縁材料で形成さ れた電極支持用円筒 43 · 9の内面にネジ (図示せず) 等により固定される。 電極支 持用円筒 43 · 9の軸及び電極が形成する円筒の軸は、 光軸 43 · 1 0に一致させる 。 各電極 43 · 1〜43 · 8の間の電極支持用円筒 43 · 9の内面には、 光軸 43 · 1 0と平行の溝 43 · 1 1が設けられる。 そして、 その内面の領域は、 導電体 43 · 1 2でコーティングされ、 アース電位に設定される。
電界を発生させる際に、 電極 43 · 3、 43 · 5に 「c o s 0 1」、 電極 4 3 · 6 、 43 · 4に 「一 c o s S l」、 電極 43 · 1、 43 · 7に 「c o s 0 2」、 電極 43 · 8、 43 · 2に 「一 C O S 0 2 J に比例する電圧を与えると、 電極の内径の 6 0 % 程度の領域でほぼ一様な平行電界が得られる。 図 44に電界分布のシミュレ一ション 結果を示す。 なお、 この例では、 4対の電極を用いたが、 3対でも内径の 40 %程度 の領域で一様な平行電界が得られる。
磁界の発生は、 電極支持用円筒 43 · '9の外側に 2つの矩形状の白金合金永久磁石 43 - 1 3 , 43 - 1 4を平行に配置することによって行う。 永久磁石 43 · 1 3、 43 · 14の光軸 43· · 1 0側の面の周辺には、 磁性材料で構成される突起 43 · 1 6が設けられる。 この突起 43 · 1 6は、' 光軸 43 · 1 0側の磁力線が外側に凸に歪 むのを補償するものであり。 その大きさ及び形状は、 シミュレーション解析によって 定めることができる。 永久磁石 4 3 · 1 3、 4 3 - 1 4の外側は、 永久磁石 4 3 · 1 3、 4 3 · 1 4によ る磁力線の光軸 4 3 · 1 0 と反対側の通路が、 電極支持用円筒 4 3 · 9と同軸の円筒 となるように、 強磁性体材料で構成されるヨーク又は磁気回路 4 3 · 1 5が設けられ る。
図 4 3に示したような Ε ΧΒ分離器は、 図 2 5— 1に示すような写像投影型電子線 検査装置だけでなく、 走査型電子線検査装置にも適用することができる。
上記走査型電子線検査装置の一例を図 2 5 - 2に示す。 電子銃 2 5 · 1 4から電子 線が試料 2 5 · 1 5に向かって照射される。 1次系電子ビームは Ε ΧΒ 2 5 · 1 6を 通過するが、 入射時には偏向力が加えられずに直進し、 対物レンズ 2 5 · 1 7で絞ら れて、 試料 2 5 · 1 5にほぼ垂直に入射する。 試料 2 5 · 1 5から出てきた電子は、 今度は Ε ΧΒ 2 5 · 1 6により偏向力を加えられ、 検出器 2 5 · 1 8に導かれる。 こ のように、 Ε ΧΒ 2 5 · 1 6の電界と磁界を調整する事により、 1次系と 2次系の荷 電粒子ビームのいずれか一方を直進させ、 他方を任意の方向に直進させることができ る。
なお、 Ε ΧΒ 2 5 · 1 6を用いると、 偏向力が加わって変更した方向に収差が生じ てしまうため、 それを補正するために、 1次系光学系の電子銃 2 5 · 1 4と Ε ΧΒ 2 5 · 1 6との間に、 更に Ε ΧΒ偏向器を設けてもよい。 また、 同じ目的で、 2次系の 検出器 2 5 ' 1 8 と£ 82 5 ' 1 6との間に更に Ε X Β偏向器を設けてもよい。 走査型電子線検査装置若しくは走査型電子顕微鏡においては、 1次系の電子ビーム で細く絞ることが分解能を上げることにつながるので、 1次系電子ビームには余分な 偏向力を与えないように、 図 2 5 -2のように 1次系電子ビームを直進させ、 2次系 ビームを偏向させるのが一般的である。 しかし、 逆に、 1次系のビームを偏向させ、 2次系のビームを直進させる方が好適であれば、 そのようにしてもよい。 同様に、 写 像投影型電子線検査装置では、 試料上の撮像領域と検出器の C CD上のピクセルの対 応 きちんと取るために、 2次系ビームには、 なるべく収差を生じさせないような偏 向力を与えないようにする方が一般的には好ましい。 したがって、 図 2 5 — 1に示す ように、 1次系のビームを偏向させ、 2次系のビームを直進させる構成を取るのが一 般的ではあるが、 1次系のビームを直進させ、 2次系のビームを偏向させる構成を取 る方が好適であれぱ、 その様な構成を取ってもよい。
なお、 E XBの電界及び磁界の強さの設定は、 2次電子モード、 反射電子モードと 、 モード毎に変化させてもよい。 モード毎に最適な画像が得られるように、 電界及び 磁界の強さを設定することが可能である。 設定を変える必要が無いときには、 一定の 強さのままでよいことは言うまでもない。
以上の説明から明らかなように、 本例によれば、 光軸の回りに電界、 磁界がともに 一様な領域を大きくとることができ、 1次電子線の照射範囲を広げても、 EXB分離 器を通した像の収差を問題のない値にすることができる。 また、 磁界を形成する磁極 の周辺部に突起 43 · 1 6を設けるとともに、 この磁極を電界発生用電極の外側に設 けたので、 一様な磁界が発生できるとともに、 磁極による電界の歪を小さくできる。 また、 永久磁石を用いて磁界を発生させているので、 E XB分離器全体を真空中に収 めることができる。 さらに、 電界発生用電極及び磁路形成用磁気回路を、 光軸を中心 軸とする同軸の円筒形状とすることにより、 E XB分離器全体を小型化できる。
2 - 3 -4) 検出器
二次光学系で結像されるゥエー八からの二次電子画像は、 まずマイクロチャンネル プレート (MC P) で増幅されたのち、 蛍光スクリーンにあたり光の像に変換される 。 MC Pの原理としては直径 1〜 1 0 0 m、 長さ 2 ~ 1 0顧、 好ましくは直径 2 ~ 5 0 m, 長さ 02. 〜 5mm、 より好ましくは直径 6〜 2 5 m、 長さ 0. 2 4〜 1. 0 mmという非常に細い導電性のガラスキヤビラリを数百万本〜数千万本束 ね、 薄い板状に整形したもので、 所定の電圧印加を行うことで、 一本一本のキヤピラ リが、 独立した二次電子増幅器として働き、 全体として二次電子増幅器を形成する。 この検出器により光に変換された画像は、 真空透過窓を介して大気中に置かれた F 0 P系で TD I— C CD上に 1対 1で投影される。
ここで、 上記構成の'電子光学装置の動作について説明する。 図 2 5— 1に示すよう に、 電子銃 2 5 · 4から放出された一次電子線は、 レンズ系 2 5 . 5によって集束さ れる。 収束された一次電子線は E XB型偏向器 2 5 · 6に入射され、 ゥエーハ Wの表 面に垂直に照射されるように偏向され、 対物レンズ系 2 5 · 8によってゥエーハ Wの 表面上に結像される。
一次電子線の照射によってゥエーハから放出された二次電子は、 対物レンズ系 2 5 • 8によって加速され、 EXB型偏向器 2 5 · 6に入射し、 その偏向器を直進して二 次光学系のレンズ系 2 5 · 1 0を通って検出器 2 5 · 1 1に導かれる。 そして、 その 検出器 2 5 · 1 1によって検出され、 その検出信号が画像処理部 2 5 · 1 2に送られ る。 なお、 対物レンズ系 2 5 · 7は、 1 0ないし 2 0 k Vの高電圧が印加され、 ゥェ —ハは設置されているものとする。
ここで、 ゥェ一ハ Wにビア 25 · 1 3がある場合に、 電極 2 5 · 8に与える電圧を — 20 0 Vとすると、 ゥエーハの電子線照射面の電界は、 0〜一 0. l VZmm (— はゥエーハ W側が高電位であることを示す) となった。 この状態で、 対物レンズ系 2 5 - 7とゥエーハ Wとの間に放電は発生'せずに、 ゥエーハ Wの欠陥検査は行えたが、 二次電子の検出効率が若干下がってしまう。 したがって、 電子線を照射し二次電子を 検出する一連の動作を、 例えば 4回行い、 得られた 4回分の検出結果を累積加算や平 均化等の処理を施して所定の検出感度を得た。
また、 ゥエー八にビア 2 5 · 1 3がない場合に、 電極 2 5 · 8に与える電圧を + 3 5 0 Vとしても、 対物レンズ系 2 5 · 7 とゥェ一八との間に放電は発生せずに、 ゥェ ーハ Wの欠陥検査は行えた。 この場合、 電極 2 5 . 8に与えた電圧によって二次電子 が集束され、 対物レンズ 2 5 · 7によっても更に集束されるので、 検出器 2 5 · 1 1 における二次電子の検出効率は向上した。 よって、 ゥエーハ欠陥装置としての処理も 高速となり、 高いスループッ トで検査が行えた。
2 - 3 - 5 ) 電源
本装置における電源部は主に、 電極制御の為の数百程度の出力チャンネルを有する 直流高圧精密電源で構成され、 電極の役割、 位置関係に応じてその供給電圧が異なる が、 画像の分解能及び精度の要求から、 安定性は設定値に対して、 数 l O O p p m以 下、 好ましくは 2 0 p p m以下、 より好ましくは数 p p mのオーダーが要求され、 安 定性の阻害要因である電圧の経時変動、 温度変動、 ノイズ · リ ップル等を極少にする 為、 回路方式、 部品の選定、 実装、 に対する工夫がなされている。
電極以外の電源の種類としては、 ヒータ加熱用定電流源、 一次系のビーム芯出時に アパーチャ電極中心近傍にてビームのセンタリングを確認する為にビームを 2次元的 に偏向する為の高圧高速増幅器、 ヒータ加熱用定電流源、 エネルギーフィルタである E X B用の電磁コイル用定電流源、 ゥエーハにバイアスを印加する為のリタ一デリン グ電源及ぴゥェ一ハを静電チャックに吸着させる為の電位を発生させる電源、 E O捕 正を行う高圧高速増幅器、 電子をホトマルチプライヤーの原理で増幅させる M C P電 源等がある。
図 4 5は電源部の全体構成を示している。 同図において、 鏡筒部 4 5 · 1の電極に 対し図示しないが、 電源ラック 4 5 · 2及び高速高圧増幅器 4 5 ■ 3、 4 5 · 4及び 4 5 · 5より、 接続ケーブルを経由して電源が供給される。 高速高圧増幅器 4 5 - 3 - 4 5 · 5は広帯域の増幅器であり、 扱う信号の周波数も高い (D C— M H z ) こと から、 ケーブルの静電容量による特性劣化と消費電力の増加を抑える必要性から電極 近傍に設置し、 ケーブルの静電容量増加を防止する。 £ 0補正4 5 · 6より補正信号 が出力されォクタポール変換部 4 5 · 7にて 8極子の夫々の電極に対し、 ベク トル値 に整合した位相と大きさをもった電圧に変換し、 高速高圧増幅器 4 5 · 4に入力し、 増幅した後、 鏡筒に含まれる電極に供給される。 '
A P画像取得プロック 4 5 · 8は一次系のビーム芯出時にアパーチャ電極中心近傍 にてビームのセンタリングを確認する為に A P画像取得ブロック 4 5 . 8より鋸歯状 波を発生させ高圧高速増幅器により鏡筒部 4 5 · 1の偏向電極に印加、 ビームを 2次 元的に偏向する事によって、 アパーチャ電極にて受けたビーム電流の大きさを位置と 関連付け、 画像表示する事で、 ビーム位置を機械的中心位置に調整する補助機能とし ての役割をもつ。
A F制御 4 6 · 9からは、 事前に測定された最善のフォーカルポイントに相等する 電圧をメモリ一に記憶し、 ステ一ジ位置に応じてこの値を読み出し、 D / Aコンバー 夕にてアナログ電圧に変換し、 高速高圧増幅器 4 5 · 5を経て、 鏡筒部 4 5 · 1に含 まれる焦点調整電極に印加、 最適焦点位置を保ちながら観測する機能を実現している 電源ラック 4 5 · 2には、 電源群 1〜 4からなる、 電極制御の為の数百程度の出力 チャンネルを有する直流高圧精密電源が収納される。 電源ラック 4 5 · 2は、 制御通 信部 4 5 · 1 0により、 通信力一ド 4 5 · 1 1や、 電気的絶縁性を有して安全性の確 保とグランドループの発生を防止してノイズ混入を防げる光ファイバ一通信 4 5 · 1 2等により制御 C P U部 4 5 · 1 3からの指令を受信し、 また、 電源装置の異常等の ステータスを送信することが可能なシステムを構成している。 U P S 4 5 . 1 4は停 電、 不測の電源断等による制御異常発生時のシステムの暴走により、 装置の破損、 異 常放電、 人体への危険等を防止している。 電源 4 5 · 1 5は大本の受電部であり、 ィ ンターロック、 電流制限等を含み、 欠陥検査装置全体としての安全協調を行える様構 成している。
通信力一ド 4 5 · 1 1は制御 C P U部 4 5 · 1 3のデータバス 4 5 . 1 6、 ァドレ スバス 4 5 · 1 7に接続され、 リアルタイムの処理が行える。
図 4 6は、 数百から数十キロ Vの静的な直流電圧を発生する場合の回路方式につい てのスタテック高圧単極性電源 (レンズ用) の回路構成の一例を示している。 図 4 6 において、 信号源 4 6 · 1により トランス 4 6 · 2の透磁率が最適になる周波数の交 流電圧を発生させ、 掛算器 4 6 · 3を経た後、 駆動回路 4 6 · 4へ導き、 トランス 4 6 - 2により数十倍から数百倍の振幅の電圧を発生させる。 コッククラフ トウォルト ン [II路 4 6 · 5は、 整流しながら昇圧を行う回路である。 トランス 4 6 · 2とコック クラフトウォルトン回路 4 6 · 5との組み合わせにより、 所望の直流電圧を得、 ロー パスフィルタ 4 6 · 6により、 さらなる平滑化を行い、 リプル、 ノイズを低減する。 出力電圧検出抵抗 4 6 · 7、 4 6 - 8の抵抗比により高圧出力電圧を分圧し、 通常の 電子回路で扱える電圧範囲内にする。 この抵抗の安定性が電圧精度の大半決定する為 、 温度安定度、 長期変動等が優秀な素子を使用し、 特に分圧比'が重要になる事から、 同一絶縁基板上に薄膜を形成する事や、 あるいは抵抗素子を近接させ、 温度が異なら ない様工夫する等の手段を講じる。
分圧された結果は、 演算増幅器 4 6 · 9により基準電圧発生用 D / Aコンパ一夕 4 6 · 1 0の値と比較し、 誤差分がある場合には、 演算増幅器 4 6 · 9の出力が増減し 、 掛算器 46 · 3よりその値に応じた振幅の交流電圧が出力され、 負帰還が形成され る。 図示していないが、 演算増幅器 46 · 9の出力は単極性にするか、 掛算器 46 · 3の応答の象限を限定し飽和を防止している。 演算増幅器 46 · 9は非常に大きな増 幅度 (1 2 0 d B以上) が必要で、 かつ素子としてはほとんどオープンループで使用 される事から、 低ノイズのオペアンプを用いる。 基準電圧発生用 D/Aコンバータ 4 6 · 1 0は精度という観点からは、 出力電圧検出抵抗 46 · 7、 46 - 8と同等以上 に安定度が必要となる。 この電圧を発生するには、 図示していないがバンドギャップ を用いた定電圧ダイォードにヒータを用いた恒温機能を組み合わせたリファレンス I Cが使用される場合が多いが、 ペルチェ素子をヒータの代わりに用い、 更なる温度の 恒温化を可能としている。 また、 出力電圧検出抵抗 46 · 7、 46 · 8を恒温化する 為にペルチェ素子を単一または多段に用いる場合もある。
図 47は、 スタテック両極性電源 (ァライナ一等用) の回路構成の一例を示してい る。 基本的な考え方は図 46の回路と同等の電源にて V 5及び V 6を発生させ、 この 電圧を用いて、 47 · 1〜 47 · 6で構成されるリニァアンプに 47 ' 1からの指令 値を入力する事で両極性の高圧電源を形成している。 一般に、 演算増幅器 47 · 2は ± 12 V近辺で動作する為、 図示していないが、 47 · 2と 47 · 5、 47 · 6との 間にはディスクリート素子による増幅回路が必要で、 土数 Vを増幅し土数百から数キ 口 Vに変換する。 47 · 1 ~47 · 4に要求される諸特性の注意事項は図 46の回路 で述べた事と同様である。
図 48〜図 5 0は特殊電源の回路例を示しており、 図 48はヒ一夕及びガン用の回 路例で、 48 · :!〜 48 ■ 4から形成される。 バイアス電圧源 48 · 2に電圧源 48 • 1、 抵抗 48 · 3及び電源 48 · 4が重畳される。 ヒータ用電源 48 · 4は定電流 源により構成され、 実際に流れる電流の値は抵抗 48 · 3により検出し、 図示してい ないが、 一旦ディジタルに置き換えられた後、 光ファイバ一等によりアイソレーショ ン'を行い、 値を制御通信部 45 · 1 0に送る。 電圧源 48 · 1の電圧値、 電源 48 - 4の電流値等の設定は制御通信部 4 5 · 1 0からの値を同様の原理で逆変換し、 実際 の電源設定部に値を設定している。
図 49は MC Ρ用の電源回路の例を示しており、 電圧源 49 ■ 1、 49 · 2、 リ レ —回路 49 · 3、 49 · 4、 電流検出回路 49 · 5、 49 · 6、 49 · 7よりなる。 端子 MC Ρ 1は MCPへの電流の流入値の計測で数 Ρ Αからの'測定を行うため、 厳重 なシールド構造とし、 漏れ電流、 ノイズの進入を防ぐ必要がある。 端子 MC P 2は M C Pによる増幅後の電流計測を含んでおり、 抵抗 49 · 6、 49 · 7に流れる電流値 の比により増幅度が算定できる。 抵抗 49 · 5は蛍光面上の電流を計測する。 重畳部 分での計測、 設定はヒータ及びガンにおけるそれと同様である。 図 5 0は、 5 0 · 1と 50 · 2により形成される、 EXBの磁界.コイル用定電流源 の回路例を示しており、 一般には数百 mAの電流を出力する。 エネルギーフィルタと しての磁界の安定度は重要であり、 数 p pmのオーダの安定度が要求される。
図 5 1は、 リ夕一デリング · チヤック向けの電源回路の一例を示しており、 5 1 · 1〜 5 1 · 9により形成される。 パイァス電源 (リ夕一デリング用) 5 1 · 1 0の上に図 46のス夕テック両極性電源 (ァライナー等用) と同様の電源が重畳され た形式である。 重畳部分での計測、 設定はヒータ及ぴガン (図 48) におけるそれと 同様である。
図 52は、 EO補正用偏向電極のハードウェア構成の一例を示しており、 5 2 · 1 〜 5 2 · 7より構成される。 X軸 Ε 0補正 5 2 · 1 及ぴ Υ軸 Ε 0補正 52 .2 よりォ クタポール変換部 5 2 · 4に補正信号が入力され、 高速アンプ 52 · 5へ変換後の出 力を送出する。 52 · 5により数十から数百 Vに増幅した後に角度 45度毎に設置さ れた ΕΟ補正電極 5 2 · 6へ電圧を印加する。 ΔΧ補正 5 2 · 3はミラー曲がり等の 微細な補正を行う場合の入力であり、 52 ■ 4の内部で X信号と加算される。
図 5 3は、 ォクタポール変換部の回路構成の一例を示しており、 X、 Υ軸以外の 4 5度ずれた角度に設置される電極 5 3 · 1用に、 信号 53 · 2、 5 3 · 3、 5 3 . 4 、 5 3 · 5からべク トル演算し、 相等の電圧を発生させる。 この場合の演算例は 5 3 • 6、 5 3 · 7、 5 3 · 8、 5 3 - 9に記載されている値を用いている。 これは、 ァ ナログの抵抗網により、 または 5 3 · 6〜5 3 · 9がディジタル信号の場合は ROM によるテーブル読み出し等により、 実現可能である。 ' 図 54は高速高圧増幅器の一例を示しており、 54 · 1〜 54 · 1 1によって構成 される。 (B) に矩形波出力時の波形例を示す。 この例では米国 AP EX社製のパヮ 一オペアンプ P A 8 5 Aを用い増幅器を構成しメガ帯に及ぶ帯域と、 約 ± 2 0 0 Vの 出力範囲、 約 1 0 0 0 V/^ S未満のスルーレトを実現でき、 高速高圧増幅器に要求 される動的特性を実現した。
2 - 4) プレチヤ一ジュニッ ト
図 1 3に示されるように、 プレチャージュニッ ト 1 3 · 9は、 ワーキングチヤンバ 1 3 - 1 6内で電子光学装置 1 3 · 8の'鏡筒 1 3 · 38に隣接して配設される。 本検 査装置では検査対象である基板すなわちゥェ一ハに電子線を照射することによりゥェ ーハ表面に形成されたデバイスパ夕一ン等を検査する形式の装'置であるから、 電子線 の照射により生じる二次電子等の情報をゥエーハ表面の情報とするが、 ゥエーハ材料 、 照射電子のエネルギ等の条件によって ヱ一ハ表面が帯電 (チャージアップ) する ことがある。 更に、 ゥエーハ表面でも強く帯電する箇所、 弱い帯電箇所が生じる可能 性がある。 ゥエーハ表面の帯電量にむらがあると二次電子情報もむらを生じ、 正確な 情報を得ることができない。
そこで、 図 1 3の実施の形態では、 このむらを防止するために、 荷電粒子照射部 1 3 · 3 9を有するプレチャージュニッ ト 1 3 · 9が設けられている。 検査するゥエー 八の所定の箇所に検査電子を照射する前に、 帯電むらをなくすためにこのプレチヤ一 ジュニッ ト 1 3 · 9の荷電粒子照射部 1 3 · 3 9から荷電粒子を照射して帯電のむら を無くす。 このゥエーハ表面のチャージアツプは予め検出対称であるゥェ一ハ面の画 像を形成し、 その画像を評価することで検出し、 その検出に基づいてプレチャージュ ニッ ト 1 3 · 9を動作させる。 また、 このプレチャージユニッ ト 1 3 · 9では一次電 子線の焦点をずらせて、 すなわちビーム形をぼかして照射してもよい。
図 5 5は、 プレチャージユニッ ト 1 3 ■ 9の第 1の実施の形態の要部を示す。 荷電 粒子 5 5 · 1は荷電粒子照射線源 5 5 · 2から試料基板 Wへ、 バイアス電源 5 5 · 3 で設定された電圧で加速されて照射される.。 被検査領域 5 5 · 4は領域 5 5 · 5 とと もに既に前処理の荷電粒子照射を行った場所を示し、 領域 5 5 · 6は荷電粒子照射を 行っている場所を示す。 この図では試料基板 Wを図の矢印の方向に走査しているが、 往復走査を行う場合は、 図示点線で示すように、 他の荷電粒子線源 5 5 · 7を一次電 子線源の反対側に設置し、 試料基板 Wの走査方向に同期して交互に荷電粒子線源 5 5 • 2 、 5 5 · 7をオン、 オフすれば良い。 この場合、 荷電粒子のエネルギーが高すぎ ると試料基板 Wの絶縁部からの二次電子収率が 1 を超えてしまい、 表面が正に帯電 し、 またそれ以下でも二次電子が生成すると現象が複雑となり、 照射効果が減少する ので、 二次電子の生成が激減する 1 0 0 e V以下 (理想的には 0 e V以上で 3 0 e V 以下) のランディング電圧に設定するのが効果的である。
図 5 6は、 プレチャージユニッ ト 1 3 · 9の第 2の実施の形態を示す。 本図は荷電 粒子線として電子線 5 6 · 1を照射するタイプの照射線源を示す。 照射線源は、 熱フ イラメント 5 6 · 2、 引き出し電極 5 6 · 3、 シールドケース 5 6 · 4、 フィラメン ト鼂源 5 6 · 5、 電子引き出し電源 5 6 · 6から構成されている。 引き出し電極 5 6 ' 3は厚さ 0 . 1 111111で、 幅 0 . 2 mm、 長さ 1 . 0 mmのスリッ トが設けられてお り、 直径 0 . 1 mmのフィ ラメント (熱電子放出源) 5 6 · 2 との位置関係は 3電極 電子銃の形態となっている。 シールドケース 5 6 · 4には幅 1 mmで長さ 2 mmのス リ ッ トが設けられており、 引出し電極 5 6 · 3 とは距離 l mmの間隔とし、 両者のス リッ トセンターが一致する様に組み上げられている。 フィ ラメントの材質はタングス テン (W) で 2 Aで通電加熱を行い、 引き出し電圧 2 .0 V、 バイアス電圧— 3 0 Vで 数 Aの電子電流が得られている。 '
ここに示した例は一つの例であり、 例えば、 フィ ラメント (熱電子放出源) の材質 は T a、 I r 、 R e等の高融点金属や、 トリアコート W、 酸化物陰極等が使用でき、 その材質、 線径、 長さによりフィラメント電流が変わることは言うまでもない。 また 、 その他の種類の電子銃でも電子線照射領域、 電子電流、 エネルギーが適当な値に設 定できるものであれば使用する事が可能である。
図 5 7は、 プレチャージュニッ ト 1 3 · 9の第 3の実施の形態を示す。 荷電粒子線 としてイオン 5 7 · 1を照射するタイプの照射線源を示す。 本照射線源はフイラメン ト 5 7 · 2、 フィ ラメント電源 5 7 · 3、 放電電源 5 7 · 4、 陽極シールドケース 5 7 · 5から構成されており、 陽極 5 7 · 6 とシールド 'ケース 5 7 · 5には 1 mm X 2 mmの同じサイズのスリ ッ トが明けられており、 1 mm間隔で両スリッ トの中心が一 致する様に組み立てられている。 シールドケース 5 7 · 5内にパイプ 5 7 · 7を介し て A rガス 5 7 · 8を I P a程度導入し、 熱フィラメント 5 7 · 2によるアーク放電 タイプで動作させる。 バイアス電圧は正の値に設定する。
図 5 8は、 プレチャージュニッ ト 1 3 · 9の第 4の実施の形態であるプラズマ照射 方式の場合を示す。 構造は図 5 7と同様である。 動作も上記と同様、 熱フィラメント 5 7 · 2によるアーク放電タイプで動作させるが、 バイアス電位を 0 Vにすることに より、 ガス圧にょリプラズマ 5 8 · 1がスリッ トからしみだし、 試料基板に照射され る。 プラズマ照射の場合は他の方法に比べて正負両方の電荷 ¾持つ粒子の集団のため 試料基板表面の正負どちらの表面電位も 0に近づけることが可能となる。
試料基板 Wに接近して配置された荷電粒子照射部は、 図 5 5ないし図 5 8に示す構 造のものであり、 試料基板 Wの酸化膜ゃ窒化膜の表面構造の違いや、 異なる工程毎の それぞれの試料基板に対して表面電位がゼロになるよう適切な条件により、 荷電 子 5 5 · 1 を照射するようになっており、 試料基板に対して最適な照射条件で照射を行 つた後、 すなわち、 試料基板 Wの表面の電位を平均化、 もしくは荷電粒子により中和 させた後に、 電子線 5 5 · 8、 5 5 · 9により画像を形成し、 欠陥を検出するように なっている。
以上説明したとおり、 本実施の形態においては、 荷電粒子照射による測定の直前処 理によって、 帯電による測定画像歪みが生じないか、 生じてもわずかであるので欠陥 を正しく測定できる。 また、 従来では使用が問題となっていた量の大電流 (例えば、 1 i A以上 2 0 A、 好ましくは Ι Α以上 1 0 Α、 より好ましくは 1 Α以上 5 A ) 照射してステージを走査できるので、 二次電子も試料またはゥェ一ハ上から大 量に放出されるため、 S Z N比の良い (例えば、 2以上 1 0 0 0以下、 好ましぐは 5 以上 1 0 0 0以下、 より好ましくは 1 0以上 1 0 0以下の) 検出信号が得られ、 欠陥 検出の信頼性が向上する。 また、 S / N '比が大きいので、 より早くステージを走査し ても良好な画像データを作製でき、 検査のスループッ トを大きくすることができる。 図 5 9には、 本実施の形態によるプレチャージュニッ トを備えた撮像装置が模式的 に示されている。 この撮像装置 5 9 · 1は、 一次光学系 5 9 · 2と、 二次光学系 5 9 • 3と、 検出系 5 9 · 4と、 撮像対象に帯電した電荷を均一化若しくは低減化する電 荷制御手段 5 9 · 5とを備えている。 一次光学系 5 9 · 2は、 電子線を検査対象 (以 下対象) Wの表面に照射する光学系で、 電子線を放出する電子銃 5 9 · 6 と、 電子銃 5 9 · 6から放出された一次電子ビーム 5 9 · 7を偏向する静電レンズ 5 9 . 8と、 一次電子ビームをその光軸が対象の面に垂直になるように偏向するウイーンフィルタ すなわち E XB偏向器 5 9 · 9と、 電子線を偏向する静電レンズ 5 9 · 1 0 とを備え 、 それらは、 図 5 9に示されるように電子銃 5 9 · 6を最上部にして順に、 しかも電 子銃から放出される一次電子線 5 9 · 7の光軸が対象 Wの表面 (試料面) に鉛直な線 に対して傾斜して配置されている。 E XB偏向器 5 9 * 9は電極 5 9 . 1 1及び電磁 石 5 9 · 1 2から構成されている。
二次光学系 5 9 · 3は一次光学系の E X B型偏向器 4 9 . 9の上側に配置された静 電レンズ 5 9 · 1 3を備えている。 検出系 5 9 · 4は、 二次電子 5 9 . 1 4を光信号 に変換するシンチレ一夕及びマイクロチャンネルプレート (MC P) の組み合わせ 5 9 · 1 5 と、 光信号を電気信号に変換する C CD 5 9 · 1 6と、 画像処理装置 5 9 . 1 7とを備えている。 上記一次光学系 5 9 · 2、 二次光学系 5 9 · 3及び検出系 5 9 • 4の各構成要素の構造及び機能は従来のものと同じであるから、 それらについての 詳細な説明は省略する。
対象に帯電した電荷を均一化若しくは低減化する電荷制御手段 5 9 · 5は、 この実 施の形態では、 対象 Wとその対象 Wに最も近接した一次光学系 5 9 · 2の静電レンズ 5 9 - 1 0との間で対象 Wに接近させて配置された電極 5 9 . 1 8と、 電極 5 9 . 1 8に電気的に接続された切換スィツチ 5 9 · 1 9と、 その切換スィツチ 5 9 . 1.9の 一方の端子 5 9 · 2 0に電気的に接続された電圧発生器 5 9 · 2 1 と、 切換スィツチ 5 9 - 1 9の他方の端子 5 9 · 2 2に電気的に接続された電荷検出器 5 9 . 2 3 とを 備えている。 電荷検出器 5 9 · 2 3は高いインピーダンスを有している。 電荷低減手 段 5 9 · 5は、 更に、 一次光学系 5 9 * 2の電子銃 5 9 ■ 6と静電レンズ 5 9 . 8と の間に配置されたダリッ ド 5 9 · 2 4と、 グリッ ド 5 9 . 2 4に電気的に接続されて いる電圧発生器 5 9 · 2 5 とを備えている。 タイミングジェネレータ 5 9 . 2 6が、 検出系 5 9 · 4の C CD 5 9 . 1 6及び画像処理装置 5 9 · 1 7、 電荷低減手段 5 9 · 5の切換スイツチ 5 9 · 1 9、 電圧発生器 5 9 · 2 1並びに電荷検出器 5 9 . 2 3 及び 5 9 · 2 5に動作夕イミングを指令するようになっている。
次に、 上記構成の電子線装置の動作について説明する。 電子銃 5 9 . 6から放出さ れた一次電子ビーム 5 9 · 7は、 一次光学系 5 9 · 2の静電レンズ 5 9 · 8を経て Ε ΧΒ偏向器 5 9 · 9に達し、 その Ε ΧΒ偏向器 5 9 · 9により対象 Wの面に対して垂 直になるように偏向され、 更に静電レンズ 5 9 · 1 0を介して対象 Wの表面 (対象面 ) W Fを照射する。 対象 Wの表面 W Fからは対象の性状に応じて二次電子 5 9 · 1 4 が放出される。 この二次電子 5 9 · 1 4は二次光学系 5 9 · 3の静電レンズ 5 9 . 1 3を介して検出系 5 9 · 4のシンチレ一夕及び M C Pの組み合わせ 5 9 · 1 5に送ら れ、 そのシンチレ一夕により光に変換され、 その光は C C D 5 9 . 1 6により光電変 換され、 その変換された電気信号により画像処理装置 5 9 · 1 7が二次元画像 (階調 を有する) を形成する。 なお、 通常のこの種の検査装置と同様に、 対象に照射される 一次電子ビームは、 一次電子ビームを公知の偏向手段 (図示せず) により走査させる ことによって、 又は、 対象を支持するテ一プル Tを X、 Yの二次元方向に移動させる ことにより、 或いは、 それらの組合せにより、 対象面 W F上の必要箇所全体を照射さ せてその対象面のデータを収集できるようになっている。
対象 Wに照射された一次電子線 5 9 · 7により対象 Wの表面近傍には電荷が生じ、 正に帯電する。 その結果、 対象 Wの表面 W Fから発生する二次電子 5 9 · 1 4は、 こ の電荷とのクーロン力により、 電荷の状況に応じて軌道が変化する。 その結果、 画像 処理装置 5 9 · 1 7に形成される画像に歪みが発生することになる。 対象面 W Fの帯 電は、 対象 Wの性状により変化するため、 対象としてゥェ一ハを用いた場合、 同一ゥ エーハでも必ずしも同一ではないし、 時間的にも変化する。 従って、 ゥェ一ハ上の 2 力所のパターンを比較する場合に誤検出が発生するおそれがある。
そこで、 本発明によるこの実施の形態では、 検出系 5 9 · 4の C C D 5 9 ' 1 6が 画像を 1走査分捕捉した後の空き時間を利用して、 高インピーダンスを有する電荷検 出器 5 9 · 2 3により、 対象 Wの近傍に配置された電極 5 9 · 1 8の帯電量を計測す る。 そして計測した帯電量に応じた電子を照射させる電圧を電圧発生器 5 9 . 2 1で 発生させ、 計測後に切換スィッチ 5 9 · 1 9を動作させて電極 5 9 · 1 8を電圧発生 器 5 9 · 2 1 に接続し、 電圧発生器により発生された電圧を電極 5 9 · 1 8に印加す るととにより帯電した電荷を相殺する。 これにより画像処理装置 5 9 · 1 7に形成さ れる画像に歪みが発生しないようになっている。 具体的には、 電極 5 9 · 1 8に通常 の電圧が与えられている時は集束した電子線を対象 Wに照射するが、 電極 5 9 · 1 8 に別の電圧を与えると合焦条件が大きくずれ、 帯電が予想される広い領域に小さい電 流密度で照射が行われ、 正に帯電した対象の正電荷を中和させることで、 帯電が予想 される広い領域の電圧を特定の正(負)電圧に均一化したり、 均一化かつ低減化するこ とでより低い正(負)電圧(ゼロポルトも含む)にできる。 上記のような帯電電荷の相殺 の動作は走査毎に実施される。
ウェーネルト電極すなわちダリ ッ ド 5 9 · 2 4は、 空き時間のタイミング中に電子 銃 5 9 · 6から照射される電子ビームを停止させ、 帯電量の計測及び帯電の相殺動作 を安定に実行する機能を有する。 上記動作のタイミングは、 タイミングジェネレータ 5 9 - 2 6によって指令され、 例えば、 図 6 0のタイミングチャートに示されるよう なタイミングである。 なお、 帯電量は対象としてゥェ一八を使用した場合、 その位置 によって異なるため、 C C Dの走査方向に電極 5 9 · 1 8、 切換スィッチ 5 9 · 1 9 、 電圧発生器 5 9 · 2 1及び電荷検出器 5 9 · 2 3を複数組設けて細分化し、 より精 度の高い制御を行うことも可能である。
本実施の形態によれば、 次のような効果を奏することが可能である。 .
( 1 ) 帯電によって発生する画像の歪みを検査対象の性状によらず低減することがで さる、
( 2 ) 従来の計測タイミングの空き時間を利用して帯電の均一化、 相殺を実行するた め、 スループッ トに何ら影響を及ぼすことがない、
( 3 ) リアルタイムで処理が可能であるため、 事後処理の時間、 メモリー等を必要と しない、
( 4 ) 高速で精度の高い画像の観測、 欠陥検出が可能である。
図 6 1は、 本発明の他の実施の形態に係るプレチャージユニッ トを備えた欠陥検査 装置の概略構成が示されている。 この欠陥検査装置は、 一次電子線を放出する電子銃 5 9 · 6、 放出された一次電子線を偏向、 成形させる静電レンズ 5 9 · 8、 図示しな いポンプにより真空に排気可能な試料室 6 1 · 1、 該試料室内に配置され、 半導体ゥ ェ一ハ Wなどの試料を載置した状態で水平面内を移動可能なステージ 6 1 · 2、 一次 電子線の照射によりゥェ一ハ Wから放出された二次電子線及び/又は反射電子線を所 定の倍率で写像投影して結像させる写像投影系の静電レンズ 5 9 · 1 3、 結像された 像をゥェ一ハの二次電子画像として検出する検出器 6 1 · 3及び、 装置全体を制御す ると共に検出器 6 1 · 3により検出された二次電子画像に基づいてゥェ一ハ Wの欠陥 を検出する処理を実行する制御部 6 1 · 4を含んで構成される。 なお、 上記二次電子 画 ί象には、 二次電子だけでなく反射電子による寄与も含まれているが、 ここでは二次 電子画像と称することにする。
試料室 6 1 · 1内には、 ゥェ一八 Wの上方に、 紫外光を含む波長域の光線を発する U Vランプ 6 1 · 5が設置されている。 この U Vランプ 6 1 · 5のガラス表面には、 U Vランプ 6 5 1 · 5から放射された光線によって光電効果に起因する光電子 e一を 発する光電子放出材 6 1 · 6がコーティングされている。 この U Vランプ 6 1 · 5は 、 光電子放出材 6 1 ' 6から光電子を放出させる能力を持つ波長域の光線を放射する 光源であれば任意のものから選択することができる。 一般には、 2 5 4 n mの紫外線 を放射する低圧水銀ランプを用いるのがコスト的に有利である。 また、 光電子放出材 6 1 · 6は、 光電子を放出させる能力がある限り任意の金属から選択することができ 、 例えば A uなどが好ましい。
上述した光電子は、 一次電子線と異なるエネルギー、 即ち一次電子線より低ェネル ギーである。 ここで、 低エネルギーとは、 数 e V〜数十 e Vのオーダ一、 好ましくは 0〜 1 0 e Vのことを意味する。 本発明は、 このような低エネルギーの電子を生成す る任意の手段を用いることができる。 例えば、 U Vランプ 6 1 · 5を代用して図示し ない低エネルギー電子銃を備えることでも達成できる。
• 更に、 この電子銃のエネルギを制御する場合には、 本実施の形態の欠陥検査装置は 、 電源 6 1 · 7を傭えている。 この電源 6 1 · 7の負極は光電子放出材 6 1 · 6に接 続され、 その正極はステージ 6 1 · 2に接続されている。 従って、 光電子放出材 6 1 · 6は、 ステージ 6 1 · 2即ちゥエーハ Wの電圧に対して負の電圧が加えられた状態 となる。 この所定電圧によって、 低エネルギ電子銃のエネルギを制御することができ る。
検出器 6 1 · 3は、 静電レンズ 5 9 · 1 3によって結像された二次電子画像を後処 理可能な信号に変換することができる限り、 任意の構成とすることができる。 例えば 、 図 6 2にその詳細を示すように、 検出器 6 1 · 3は、 マイク口チャンネルプレ一ト (M C P ) 6 2 · 1 と、 蛍光面 6 2 · 2と、 リレー光学系 6 2 · 3と、 多数の C C D 素子からなる撮像センサ 6 2 · 4とを含んで構成することができる。 マイクロチャン ネルプレート 6 2 · 1は、 プレート内に多数のチャンネルを備えており、 静電レンズ 5 9 · 1 3によって結像された二次電子又は反射電子が該チャンネル内を通過する間 ' に、 更に多数の電子を生成させる。 即ち、 二次電子を増幅させる。 蛍光面 6 2 · 2は 、 増幅された二次電子によって蛍光を発することにより二次電子を光に変換する。 リ レーレンズ 6 2 · 3がこの蛍光を C C D撮像センサ 6 2 · 4に導き、 C C D撮像セン サ 6 2 · 4は、 ゥヱーハ W表面上の二次電子の強度分布を素子毎の電気信号即ちデジ タル画像データに変換して制御部 6 1 · 4に出力する。
御部 6 1 · 4は、 図 6 1 に例示されたように、 汎用的なパーソナルコンピュータ 6 1 · 8から構成することができる。 このコンピュータ 6 1 · 8は、 所定のプロダラ ムに従って各種制御、 演算処理を実行する制御部本体 6 1 · 9 と、 本体 6 1 · 9の処 理結果を表示する C R Τ 6 1 · 1 0 と、 オペレータが命令を入力するためのキーポー ドゃマウス等の入力部 6 1 · 1 1 とを備える、 勿論、 欠陥検査装置専用のハードゥエ ァ、 或いは、 ワークステーションなどから制御部 6 1 · 4を構成してもよい。
制御部本体 6 1 · 9は、 図示しない C P U、 R A M、 R O M , ハードディスク、 ビ デォ基板等の各種制御基板等から構成される。 R A M若しくはハードディスクなどの メモリ上には、 検出器 6 1 · 3から受信した電気信号即ちゥエーハ Wの二次電子画像 のデジタル画像データを記憶する二次電子画像記憶領域が割り当てられている。 また 、 ハードディスク上には、 欠陥検査装置全体を制御する制御プログラムの他、 記憶領 域 6 1 · 1 2から二次電子画像データを読み出し、 該画像デ一夕に基づき所定のアル ゴリズムに従ってゥエーハ Wの欠陥を自動的に検出する欠陥検出プログラム 6 1 · 1 3が格納されている。 この欠陥検出プログラム 6 1 · 1 3は、 例えば、 ゥエーハ Wの 当該検査齒所と、 別の検査箇所とを比較し、 他の大部分の箇所のパターンと相違した パターンを欠陥としてオペレータに報告表示する機能を有する。 更に、 C R T 6 1 - 1 0の表示部に二次電子画像 6 1 · 1 4を表示し、 オペレータの目視によってゥエー ハ Wの欠陥を検出するようにしてもよい。
次に、 図 6 1に示す実施の形態に係る電子線装置の作用を図 6 3のフローチヤ一ト を例にして説明する。 先ず、 検査対象となるゥェ一ハ Wをステージ 6 1 · 2の上にセ ッ 卜する (ステップ 6 3 · 1 )。 これは、 図示しないローダーに多数格納されたゥェ 一八 Wを一枚毎に自動的にステージ 6 1 .· 2にセッ トする形態であってもよい。 次に 、 電子銃 5 9 · 6から一次電子線を放出し、 静電レンズ 5 9 · 8を通して、 セッ トさ れたゥェ一八 W表面上の所定の検査領域に照射する (ステップ 6 3 · 2 )。 一次電子 線が照射されたゥェ一ハ Wからは二次電子及び 又は反射電子 (以下、 「二次電子 j のみ称する) が放出され、 その結果、 ゥエーハ Wは正電位にチャージアップする。 次に、 発生した二次電子線を拡大投影系の静電レンズ 5 9 · 1 3により所定の倍率 で検出器 6 1 · 3 に結像させる (ステップ 6 3 · 3 )。 このとき、 光電子放出材 6 5 • 1にステージ 6 1 · 2に対して負の電圧をかけた状態で、 U Vランプ 6 1 · 5を発 光させる (ステップ 6 3 ■ 4 )。 その結果、 U Vランプ 6 1 · 5から発せられた振動 数 Vの紫外線がそのエネルギー量子 h V ( hはプランク定数) によって光電子放出材 6 5 ■ 1から光電子を放出させる。 これらの光電子 e—は、 負に帯電した光電子放出 材 6 1 · 6から正にチャージアップしたゥェ一ハ Wに向かって照射され、 当該ゥエー ハ Wを電気的に中和させる。 かく して、 二次電子線は、 ゥエーハ Wの正電位により実 質'的な影響を受けることなく、 検出器 6 1 · 3上に結像される。
このように電気的に中和されたゥェ一ハ Wから放出された (像障害の軽減された) 二次電子線の画像を検出器 6 1 · 3が検出し、 デジタル画像データに変換出力する ( ステップ 6 3 · 5 )。 次に、 制御部 6 1 · 4が、 欠陥検出プログラム 6 1 · 1 3に従 つて、 検出された画像データに基づきゥエーハ Wの欠陥検出処理を実行する (ステツ プ 6 3 · 6 )。 この欠陥検出処理では、 制御部 6 1 · 4は、 同じダイを多数有するゥ エー八の場合、 前述のように、 検出されたダイ同士の検出画像を比較することによつ て欠陥部分を抽出する。 メモリに予め蓄えられていた欠陥の存在しないゥエー八の基 準二次電子画像と、 実際に検出された二次電子線画像とを比較照合して、 欠陥部分を 自動的に検出してもよい。 このとき、 検出画像を C R T 6 1 · 1 0に表示すると共に 欠陥部分と判定された部分をマーク表示してもよく、 これによつて、 オペレータは、 ゥェ一ハ Wが実際に欠陥を持つか否かを最終的に確認、 評価することができる。 この 欠陥検出方法の具体例については更に後述する。
ステップ 6 3 · 5の欠陥検出処理の結果、 ゥエーハ Wに欠陥有りと判定された場合 (ステップ 6 3 · 7の肯定判定)、 オペレータに欠陥の存在を警告する (ステップ 6 3 · 8 )。 警告の方法として、 例えば、 C R T 6 1 · 1 0の表示部に欠陥の存在を知 らせるメッセージを表示したり、 これと同時に欠陥の存在するパターンの拡大画像 6 1 · 1 4を表示してもよい。 このような欠陥ゥエーハを直ちに試料室 6 1 · 1から取 り出し、 欠陥の無いゥエー八とは別の保管場所に格納してもよい (ステップ 6 3 · 9 )。
ステップ 6 3 · 6の欠陥検出処理の結果、 ゥエーハ Wに欠陥が無いと判定された場 合 (ステップ 6 3 · 7の否定判定)、 現在検査対象となっているゥエーハ Wについて 、 検査すべき領域が未だ残っているか否かが判定される (ステップ 6 3 · 1 0 )。 検 查すべき領域が残っている場合 (ステップ 6 3 · 1 0肯定判定)、 ステージ 6 1 ■ 2 を駆動し、 これから検査すべき他の領域が一次電子線の照射領域内に入るようにゥェ —ハ Wを移動させる (ステップ 6 3 · 1 1 )。 その後、 ステップ 6 3 · 2に戻って当 該他の検査領域に関して同様の処理を繰り返す。
検査すべき領域が残っていない場合 (ステップ 6 3 · 1 0否定判定)、 或いは、 欠 陥ゥエー八の抜き取り工程 (ステップ 6 3 · 9 ) の後、 現在検査対象となっているゥ エーハ Wが、 最終のゥエー八であるか否か、 即ち図示しないローダ一に未検査のゥェ —ハが残っていないか否かが判定される (ステップ 6 3 · 1 2 )。 最終のゥェ一八で ない場合 (ステップ 6 3 ■ 1 2否定判定)、 検査済みゥエーハを所定の格納箇所に保 管し、 その代わりに新しい未検査のゥェ一ハをステージ 6 1 · 2にセッ トする (ステ ップ 6 3 · 1 3 )。 その後、 ステップ 6 3 · 2に戻って当該ゥエー八に関して同様の 処¾を繰り返す。 最終のゥエー八であった場合 (ステップ 6 3 · 1 2肯定判定)、 検 查済みゥエー八を所定の格納箇所に保管し、 全工程を終了する。 各カセッ トの識別番 号、 ゥエー八の識別番号、 例えばロッ ト番号なども記憶して管理される。
U V光電子照射 (ステップ 6 3 · 4 ) は、 ゥエーハ Wの正のチャージアップが回避 され、 像障害が低減された状態で二次電子画像検出 (ステップ 6 3 · 5 ) ができれば 、 任意のタイミング、 任意の期間内で行うことができる。 図 6 '3の処理が継続されて いる間、 常時 U Vランプ 6 1 · 5を点灯した状態にしてもよいが、 1枚のゥエーハ毎 に期間を定めて発光、 消灯を繰り返して よい。 後者の場合、 発光のタイミングとし て、 図 6 3に示したタイミングの他、 二次電子線結像 (ステップ 6 3 · 3 ) の実行前 、 更には、 一次電子線照射 (ステップ 6 3 · 2 ) の実行前から開始してもよい。 少な く とも二次電子検出の期間内は u v光電子照射を継続することが好ましいが、 二次電 子画像検出前若しくは検出中であっても十分にゥェ一八が電気的に中和されれば、 U V光電子の照射を停止してもよい。
ステップ 6 3 · 6の欠陥検出方法の具体例を図 6 4の ( a ) 〜 (c ) に示す。 まず 、 図 6 4の ( a ) には、 1番目に検出されたダイの画像 6 4 · 1及び 2番目に検出さ れた他のダイの画像 6 4 · 2が示されている。 3番目に検出された別のダイの画像が 1番目の画像 6 4 · 1 と同じか又は類似と判断されれば、 2番目のダイ IS像 6 4 · 2 の 6 4 · 3の部分が欠陥を有すると判定され、 欠陥部分を検出できる。
図 6 4の (b ) には、 ゥェ一ハ上に形成されたパターンの線幅を測定する例が示さ れている。 ゥエーハ上の実際のパターン 6 4 · 4を 6 4 · 5の方向に走査したときの 実際の二次電子の強度信号が 6 4 · 6であり、 この信号が予め較正して定められたス レツショールドレベル 6 4 · 7を連続的に超える部分の幅 6 4 · 8をパターン 6 4 - 4の線幅として測定することができる。 このように測定された線幅が所定の範囲内に ない場合、 当該パターンが欠陥を有すると判定することができる。
図 6 4の (c ) には、 ゥェ一ハ上に形成されたパターンの電位コントラス トを測定 する例が示されている。 図 6 1に示す構成において、 ゥエーハ Wの上方に軸対称の電 極 6 4 · 9を設け、 例えばゥエーハ電位 0 Vに対して一 1 0 Vの電位を与えておく。 このときの一 2 Vの等電位面は 6 4 · 1 0で示されるような形状とする。 ここで、 ゥ エーハに形成されたパターン 6 4 · 1 1及ぴ 6 4 · 1 2は、 夫々— 4 Vと 0 Vの電位 であるとする。 この場合、 パターン 6 4 · 1 1から放出された二次電子は一 2 V等電 位面 6 4 · 1 0で 2 e Vの運動エネルギーに相当する上向きの速度を持っているので 、 このポテンシャル障壁 6 4 · 1 0を越え、 軌道 6 4 - 1 3に示すように電極 6 4 · 9から脱出し、 検出器 6 1 · 3で検出される。 一方、 パターン 6 4 . 1 2から放出さ れた二次電子は一 2 Vの電位障壁を越えられず、 軌道 6 4 · 1 4に示すようにゥェ一 ハ面に追い戻されるので、 検出されない。 従って、 パターン 6 4 · 1 1の検出画像は 明るく、 パターン 6 4 · 1 2の検出画像は暗くなる。 かく して、 電位コントラス トが 得られる。 検出画像の明るさと電位とを予め較正しておけば、 検出画像からパターン の電位を測定することができる。 そして、 この電位分布からパターンの欠陥部分を評 価することができる。
また、 ダイ内にフローティ ングしている部分がある場合には'、 プレチャージュニッ トにより電荷を付与してそのフローティ ングしている箇所を帯電させ、 電気的に導通 して接地している部分との電位差を生じさせることができる。 この状態の電位コント ラストデータを取得して分析し、 フローティング箇所を発見することもできる。 キラ 一欠陥等がある場合の欠陥発見方法として利用することができる。 電位コントラスト デ一夕を電位コントラスト画像に変換して他のダイのパターンの電位コントラス ト画 像と比較してもよいし、 C A D等の設計データから取得した電位コントラスト画像と 比較してもよい。
本発明の他の実施の形態に係るプレチャージユニッ トを備えた欠陥検査装置の概略 構成を図 6 5に示す。 なお、 図 6 1の実施の形態と同様の構成要素については同一の 符号を附して詳細な説明を省略する。 この実施の形態では、 図 6 5に示すように、 U Vランプ 6 1 · 5のガラス表面に光電子放出材がコ一ティ ングされていない。 その代 わりに、 光電子放出プレート 6 5 · 1が試料室 6 1 · 1内でゥェ一ハ Wの上方に配置 され、 U Vランプ 6 1 · 5は、 放射した紫外線が光電子放出プレート 6 5 · 1に照射 される位置に配置される。 光電子放出プレート 6 5 · 1には、 電源 7 1 · 7の負極が 接続され、 ステージ 6 1 · 2には電源の正極が接続されている。 この光電子放出プレ ート 6 5 · 1は、 A u等の金属で作られ、. 或いは、 そのような金属がコーティングさ れたプレートとして作られてもよい。
図 6 5の実施の形態の作用は図 6 1の実施の形態と同様である。 この図 6 5の実施 の形態においても光電子をゥエーハ Wの表面上に適時照射することが可能なので、 図 6 1の実施の形態と同様の効果を奏する。
本発明のさらに他の実施の形態に係るプレチャージュニッ トを備えた欠陥検査装置 の概略構成を図 6 6に示す。 なお、 図 6 1及び図 6 5の実施の形態と同様の構成要素 については同一の符号を附して詳細な説明を省略する。 図 6 6の実施の形態では、 図 示のように、 試料室 6 1 · 1の側面壁に透明な窓材 6 6 · 1を設け、 U Vランプ 6 1 - 5から放射された紫外線がこの窓材 6 6 · 1を通して試料室 6 1 · 1内でゥェ一ハ Wの上方に配置された光電子放出プレート 6 5 · 1に照射されるように、 U Vランプ 6 1 - 5が試料室 6 1 · 2の外部に配置されている。 図 6 6の実施の形態では、 真空 となる試料室 6 1 · 1の外部に U Vランプ 6 1 · 5を配置したので、 U Vランプ 6 1 · '5の耐真空性能を考慮する必要がなくなり、 図 6 1及び図 6 5の実施の形態と比較 して U Vランプ 6 1 · 5の選択肢を広げることができる。
図 6 6の実施の形態の他の作用は図 6 1及び図 6 5の実施の形態と同様である。 図 6 6の実施の形態においても光電子をゥェ一ハ Wの表面上に適時照射することが可能 なので、 図 6 1及び図 6 5の実施の形態と同様の効果を奏する。
以上が上記各実施の形態であるが、 本発明によるプレチャージユニッ トを備えた欠 陥検査装置は、 上記例にのみ限定されるものではなく本発明の要旨の範囲内で任意好 適に変更可能である。 例えば、 被検査試料として半導体ゥエーハ Wを例に掲げたが、 本発明の被検査試料はこれに限定されず、 電子線によって欠陥を検出することができ る任意のものが選択可能である。 例えばゥェ一ハへの露光用パターンが形成されたマ スクゃ透過型のマスク (ステンシルマスク) 等を検査対象とすることもできる。 また 、 半導体プロセスに限らず、 マイクロマシン関連、 液晶関連の検査または評価にも使 用できることは言うまでもない。
また、 欠陥検査用の電子線装置として、 図 6 1乃至図 6 6の構成を示したが、 電子 光学系等は任意好適に変更可能である。 例えば、 図示された欠陥検査装置の電子線照 射手段 ( 5 9 · 6, 5 9 · 8 ) は、 ゥエーハ Wの表面に対して斜め上方から一次電子 ■ 線を入射させる形式であるが、 静電レンズ 5 9 · 1 3の下方に一次電子線の偏向手段 を設け、 一次電子線をゥエーハ Wの表面に垂直に入射させるようにしてもよい。 この ような偏向手段として、 例えば電場と磁場の直交する場 E X Bによって一次電子線を 偏向させるウイ一ンフィルタなどがある。
更に、 光電子を放射する手段として、 図 6 1乃至図 6 6に示した、. U Vランプ 6 1 • 5及び光電子放出部材 6 1 · 6若しくは光電子放出プレ一ト 6 5 ■ 1の組み合わせ 以外の任意手段を採用することができることは勿論である。
図 6 3のフローチャートの流れも、 これに限定されない。 例えば、 ステップ 6 3 · 7で欠陥有りと判定された試料について、 他の領域の欠陥検査は行わないことにした が、 全領域を網羅して欠陥を検出するように処理の流れを変更してもよい。 また、 一 次電子線の照射領域を拡大し 1回の照射で試料の全検査領域をカバーできれば、 ステ ップ 6 3 · 1 0及びステップ 6 · 1 1を省略することができる。
更に、 図 6 3では、 ステップ 6 3 · 7でゥェ一ハに欠陥有りと判定した場合、 ステ ップ 6 3 · 8で直ちにオペレータに欠陥の存在を警告し事後処理 (ステップ 6 3 · 9 ) したが、 欠陥情報を記録しておいてパッチ処理終了後 (ステップ 6 3 · 1 2肯定判 定の後)、 欠陥を持つゥェ一八の欠陥情報を報告するように処理の流れを変更しても よい。
以上詳細に説明したように、 図 6 1ないし図 6 6の実施の形態による欠陥検査装置 及ぴ欠陥検査方法によれば、 一次電子線と異なるエネルギー、 即ち一次電子線より低 エネルギーを有する電子を試料に供給するようにしたので、 二次電子放出に伴う試料 表面の正のチャージアップが低減され、 ひいては、 チャージアップに伴う二次電子線 の像障害を解消することができ、 より高精度に試料の欠陥を検査することが可能とな る、 という優れた効果が得られる。
更に、 図 6 1ないし図 6 6の欠陥検査装置をデバイス製造方法に用いたならば、 上 記のような欠陥検査装置を用いて試料の欠陥検査を行うようにしたので、 製品の歩留 まりの向上及び欠陥製品の出荷防止が図れる、 という優れた効果が得られる。
以上はプレチャージ用電子エネルギーが主に 1 0 0 e V以下の低エネルギーで、 試 料表面にソフトに照射する場合についてのベたが、 2 1 以上2 0 1 ¥以下、 好まし くは 3〜 1 0 k V、 より好ましくは 3 ~ 5 k V以下でプレチャージを行った後、 正帯 電又は負帯電モード、 または反射電子モードで像取得を行っても良い。 負帯電モード では、 検査の時の電子ビームのランディ ングエネルギーと同じエネルギーでプレチヤ ージを行ってもよい。
また、 帯電抑制のために、 導電性の薄膜を試料表面にコートすることも有効である 。 このときの膜厚は 1〜: 1 0 O mm、 好ましくは:!〜 1 0 mm、 より好ましくは:!〜 3 mmが好適である。 更に、 スパッタエッチング等で試料表面をクリーニングしてか ら像取得を行うと、 よりきれいな像が得られる。 導電性薄膜コート及びスパッ夕エツ チングはそれぞれ独立で使用してもよく、 プレチャージと併用してもよい。 例えば、 スパッタエッチング後にプレチャージを行って像取得を行ってもよく、 スパッタエツ チング後に導電性薄膜をコートしてからプレチャージを行ってもよい。
2 - 5 ) 真空排気系
真空排気系は、 真空ポンプ、 真空バルブ、 真空ゲージ、 真空配管等から構成され、 電子光学系、 検出器部、 試料室、 ロードロック室を所定のシーケンスに従い真空排気 を行う。 各部においては必要な真空度を達成するように真空バルブが制御される。 常 時、 真空度のモニターを行い、 異常時には、 インターロック機能により隔離バルブ等 の緊急制御を行い、 真空度の確保をする。 真空ポンプとしては主排気にターボ分子ポ ンプ、 粗引き用としてルーツ式のドライポンプを使用する。 検査場所 (電子線照射部 ) の圧力は 1 0— 3〜 1 0— 5 P a、 好ましくはその' 1桁下の 1 0 _ 4〜 1 0— 6 P aが 実用的である。
2 - 6 ) 制御系
制御系は主にメインコントローラ、 制御コントローラ、 ステージコントローラから 構成されている。 メインコント口一ラにはマン一マシンィンターフェースが備えられ ており、 オペレータの操作はここを通して行われる (種々の指示/命令、 レシピなど の'入力、 検査スタートの指示、 自動と手動検査モードの切り替え、 手動検査モード時 のときの必要な全てのコマンドの入力等)。 その他、 工場のホス トコンピュータとの コミュニケーション、 真空排気系の制御、 ゥェ一ハ等の試料搬送、 位置合わせの制御 、 他の制御コントローラゃステージコントローラへのコマンドの伝達や情報の受け取 り等もメインコントローラで行われる。 また、 光学顕微鏡からの画像信号の取得、 ス テ一ジの変動信号を電子光学系にフィードバックさせて像の悪化を補正するステージ 振動補正機能、 試料観察位置の Z方向 (二次光学系の軸方向) の変位を検出して、 電 子光学系へフィードパックし、 自動的に'焦点を補正する自動焦点補正機能を備えてい る。 電子光学系へのフィードバック信号等の授受、 及びステージからの信号の授受は 、 それぞれ制御コン卜ローラ及ぴステージコントローラを介して行われる。 制御コントローラは主に電子線光学系の制御 (電子銃、 レンズ、 ァライナー、 ウイ ーンフィルタ用などの高精度電源の制御等) を担う。 具体的には照射領域に、 倍率が 変わったときにも常に一定の電子電流が照射されるようにすること、 各倍率に対応し た各レンズ系ゃァライナ一への自動電圧設定等の、 各オペレーションモードに対応し た各レンズ系ゃァライナーへの自動電圧設定等の制御 (連動制御) が行われる。 ステージコントロ一ラは主にステージの移動に関する制御を行い精密な X方向およ び Y方向の^ mオーダ一の移動 (土 5 ^m以下、 好ましくは ± 1 m以下、 より好ま しくは ± 0. 5 m以下程度の誤差) を可能にしている。 また、 本ステージでは誤差 精度 ± 1 0秒程度以内で、 好ましくは ± 1秒以内、 より好ましくは ±0. 3秒以内で 回転方向の制御 (0制御) も行われる。 以下、 制御系の構成について具体的に説明す る。
2 - 6 - 1 ) 構成及び機能
本装置は、 ゥ: n一八の指定位置を電子顕微鏡もしくは光学顕微鏡で撮像し表示する 機能と、 ゥエーハの指定位置を電子顕微鏡で撮像し欠陥検出および欠陥分類する機能 と、 欠陥が検出された位置を電子顕微鏡もしくは光学顕微鏡で撮像し表示する機能と を提供する。 また、 上記機能の実現およびメンテナンスのため、 電子光学系制御と、 真空系制御とゥエー八搬送制御と、 構成機器単体操作と、 撮像機能と、 自動欠陥検査 処理と、 装置異常検知と、 装置起動/停止処理機能とを有する。
補助機能は以下のとおりである。
(1) 電子光学系制御機能 ' '
(a) レンズ電圧印加制御
( a— 1 ) 連動制御
( a - 2 ) 印加関数による電圧印加
(a— 3) 多極子レンズ連動電圧印加
' ( a— 4) ゥォッブル制御
(b) 電子ビーム出力調整
(b— 1 ) プレヒート (Gu n)
(b - 2 ) ヒートアップ (Gun)
( b— 3 ) ェミッション電流制御 ( B I A S制御)
(2) 真空系制御機能 '
(a) チャンバ個別真空排気ノ大気開放
(b) 指定チャンパ一括真空排気/大気開放
(3) ゥェ一八搬送制御機能
下記動作のステップ動作ノ全自動動作 ( a ) ゥェ一八ロード
( b ) ゥエーハアン口一ド
(4) 構成機器単体操作機能
( 5 ) 撮像機能。
以下の 2つの入力系統を選択し撮像を行う
( a) C CDカメラ
• 光学顕微鏡低倍 (ピクセルサイズ : 2 7 5 lim/ p i x
• 光学顕微鏡高倍 (ピクセルサイズ: 0 2 5 ^ m/ p i )
(b) TD I カメラ
(b - l ) TD I - s t i l l
(b— 2) T D I - s c a n
E B X 8 0 (ピクセルサイズ: 0. S mZp i x)
E B X 1 6 0 (ピクセルサイズ : 0. 1 μ m/ l
E B X 3 2 0 (ピクセルサイズ :' 0. 0 5 μ,τη/ V i x )
E B X 4 8 0 (ピクセルサイズ : 0. 0 3 / p i x )。
さらに誤操作などによる事故防止のため、 操作する者の技術 ·知識レベルに応じて 操作可能項目を制限する機能としてユーザーモード指定機能がある。 このユーザーモ ードは、 GU I (グラフイツカルユーザ一インターフェース) 起動時に入力するュ一 ザ一 I Dおよびパスワードにて指定される。
ユーザ—モードには、 メンテナンスモード、 レシピ作成モード、 オペレータモード があり、 装置設置後の立ち上げ作業およびメンテナンス作業時にはメンテナンスモー ドにて操作を行い、 レシピの作成時にはレシピ作成モードにて必要な操作および手順 を支援し、 自動欠陥検査時にはオペレータモ一ドにて作成済みのレシピを使用して検 査を行う。 各ユーザ一モードと装置運用形態の関係は図 6 7のようになる。 ここで、
'メンテナンスモード.... 構成機器単体操作、 ゥエーハ搬送、 真空系制御、 電子光 学系制御、 観察 (光顕撮像、 TD I撮像)、 欠陥検査、 レビュー
レシピ作成モード…… ゥエーハ搬送、 観察 (光顕撮像、 TD I撮像)、 欠陥検査 、 レビュ一
オペレータモード…… 自動欠陥検査 (ゥエー八搬送など必要な機能の自動制御) 、 レビュー。
本装置には、 運用に必要な可変パラメ一夕として装置定数とレシピが存在する。 装 置固有の (取付け誤差などの) 誤差を吸'収するパラメータとして装置定数が規定され 、 自動で欠陥検査を行うために各種条件を規定するパラメ一夕としてレシピが規定さ れている。 装置定数は、 立ち上げ作業時、 メンテナンス作業後に設定され、 基本的に その後は変更されることが無い。
レシピは、 搬送レシピ、 ァライメントレシピ、 ダイマップレシピ、 フォーカスマツ プレシピ、 検査レシピに分類され、 これらのレシピに従って欠陥検査が行われるため 、 設定作業は検査処理実施前に行われ、 複数パターンの設定が保存される。
レシピ作成時の手順としては図 6 8のように、 ゥェ一ハをステージ上へ搬送 (ゥェ —ハロード) するところが最初のステップとなる。 ゥェ一ハカセッ トを装置へ設置後 、 カセッ ト内の各スロッ トのゥエーハ有無を検出するためのゥエーハサーチを行い、 検出されたゥエーハに対して、 ゥエーハサイズ、 ノッチ/オリフラ種別、 (ステージ 上にロードされたときの) ノッチ方向を指定し、 図 6 9、 図 7 0に示される手順でゥ ェ一ハをロードする。 搬送レシピには、 これらの条件が保存される。 ステージ上に口 一ドされたゥェ一ハのダイの配置方向は、 T D Iカメラのスキヤン方向と必ずしも一 致しない (図 7 1 )。 これを一致させるために 0ステージでゥェ一ハを回転させる操 作が必要となり、 この操作をァライメントと呼ぶ (図 7 2 )。 ァライメントレシピで はステージ上にロードされた後のァライメント実行条件が保存される。
なお、 ァライメント実施時にダイの配列を示すダイマップ (図 7 3 ) が作成され、 ダイマップレシピではダイサイズや (ダイの位置を示す起点となる) 原点ダイの位置 などが保存される。
2 - 6 - 2 ) ァライメント手順
ァライメント (位置決め) 手順としては、 始めに光学顕微鏡の低倍にて粗い位置決 めを行い、 次いで光学顕微鏡の高倍により、 最後に E B像により詳細な位置決めを行 Ό。 .
A . 光学顕微鏡低倍にて撮像
( 1 ) <第 1, 2 , 3サーチダイ指定及びテンプレート指定〉
( 1 - 1 ) 第 1サーチダイ指定及びテンプレート指定
ェ一ハ下方に位置するダイの左下隅がカメラ中央付近に位置するようにユーザー 操作にてステージを移動し、 位置決定後、 パターンマッチ用テンプレート画像を取得 する。 このダイが位置決めの基準となるダイであり、 左下隅の座標が特徴点の座標と なる。 今後、 このテンプレート画像でパターンマッチングを行うことにより、 基板上 の任意のダイの正確な位置座標を測定していく。 このテンプレート画像には、 サーチ 領域内でユニークなパターンとなるような画像を選択しなければならない。
なお、 本実施例では、 左下隅をパターンマッチング用テンプレート画像取得位置と したが、 これに限られるものではなく、 'ダイ内の任意の位置を特徴点として選択して よい。 ただし、 一般的には、 ダイの内部や辺の上にある点よりも、 隅の方が座標を特 定し易いので、 四隅のいずれかを選択するのが好適である。 また同様に、 本実施例で は、 ゥエーハ下方に位置するダイについてパターンマッチング用テンプレート画像を 取得したが、 これもァライメントが行い易いように任意のダイを選択しても構わない のは当然である。
(1 - 2) 第 2サーチダイ指定
第 1サーチダイの右隣のダイを第 2サーチダイとし、 第 2サーチダイの左下隅が力 メラ中央付近に位置するようにユーザー操作にてステージを移動し、 位置決定後、 上 記 (1— 1) で取得したテンプレート画像を用いて自動でパターンマッチを実行する ことで第 1サーチダイで指定したテンプレート画像と一致する第 2サーチダイのパタ —ンの厳密な座標値を取得する。
なお、 本実施例では、 第 1サ一チダイの右隣のダイを第 2サーチダイとして例を挙 げて説明したが、 本発明の第 2サーチダイはこれに限られるものではないことは勿論 である。 要は、 正確な特徴点の位置座表を把握した基準点からの、 行方向のダイの位 置関係をパターンマッチングにより正確に把握することができる点を選択すればよい のである。 したがって、 例えば、 第 1サーチダイの左隣のダイを第 2サーチダイとす ることも可能である。
(1 - 3) 第 3サーチダイ指定
第 2サーチダイの上隣のダイを第 3サーチダイとし、 第 3サーチダイの左下隅が力 メラ中央付近に位置するようにユーザー操作にてステージを移動し、 位置決定後、 上 記 (1— 1) で取得したテンプレート画像を用いて自動でパターンマッチを実行する ことで第 1サーチダイで指定したテンプレート画像と一致する第 3サーチダイのパタ —ンの厳密な座標値を取得する。
なお、 本実施例では、 第 2サーチダイの上隣のダイを第 3サーチダイとして例を挙 げて説明したが、 本発明の第 3サーチダイはこれに限られるものではないことは言う までもない。 要は、 特徴点の正確な座標を把握したダイを基準として、 列方向のダイ の特定点の座標の距離を含めた位置関係を把握することができればよいのである。 し たがつて、 第 1サーチダイの上隣のダイも好適に代替適用可能である。
(2 ) く光顕低倍 Y方向パターンマッチング >
(2— 1) 第 2サーチダイのパターンマッチ座標 (X 2, Y 2 ) と第 3サーチダイ のパターンマッチ座標 (X 3, Y 3 ) の関係より、 上隣ダイのパターンへの移動量 ( d X, d Y) を算出する。
d X = X 3 - X 2
dY=Y 3 -Y2
(2— 2) 算出した移動量 (dX, d Y) を用い、 第 1サーチダイの上隣のダイの パターンが存在する (と予想される) 座標 (ΧΝ, ΥΝ) ヘステ一ジを移動。 XN = X 1 + d X
YN=Y l + dY
※ (X I , Y 1 ) : 第 1サーチダイのパターンの座標
(2— 3) ステージ移動後、 光顕低倍にて撮像し、 テンプレート画像を用いてパタ ーンマッチを実行することで、 現在観察中のパターンの厳密な座標値 (XN, YN) を取得し、 さらにダイの検出個数 (DN) の初期値として 1を設定する。
(2 - 4) 第 1サーチダイのパターン座標 (X I , Y 1) から現在撮像中のパ夕一 ンの座標 (XN, YN) への移動量 (dX, d Y) を算出する。
dX = XN-X 1
d Y = YN- Y 1
(2 - 5) 算出した移動量 (dX, d Y) の 2倍の移動量 (2 * dX, 2 * d Y) 分だけ第 1サーチダイを起点と'してステージを移動する。
(2— 6) ステージ移動後、 光顕低倍にて撮像し、 テンプレート画像を用いてパタ —ンマッチを実行することで、 現在観察中のパターンの厳密な座標値 (XN, YN) を更新し、 ダイの検出個数を 2倍する。 これについては図 74参照。
(2 - 7) 予め指定された Y座標値を超えるまでゥェ一ハ上部へ向けて (2— 4) 〜 (2— 6) を繰り返し実行する。
なお、 本実施例では、 精度を高めるため、 及び処理回数 (繰り返し回数) を低減さ せ、 処理時間を短縮するために、 2倍の移動量を繰り返す態様を例にとって説明した が、 精度に問題がなく、 更に処理時間を短縮させたければ、 3倍、 4倍というように 、 2倍以上等の整数倍の高倍率で実行しても構わない。 また逆に、 問題が無ければ、 更に精度を高めるために、 固定移動量で移動を繰り返してもよい。 これらいずれの場 合も、 検出個数にもそれを反映させることは言うまでもない。
(3) <光顕低倍 0回転〉
' ( 3— 1 ) 第 1サーチダイのパターン座標 (X 1, Y 1 ) から最後にサーチしたダ ィのパターンの厳密な座標値 (XN, YN) までの移動量おょぴ、 それまでに検出し たダイの個数 (DN) を用い、 回転量 (0) および Y方向ダイサイズ (YD) を算出 する (図 7 5参照)。
d X = XN-X 1
d Y = YN- Y 1
0 = t a n 1 ( d X/ d Y)
YD = s q r t (( d X) 2 + ( d Y) 2) /D N
※ s q r t (A) =
(3— 2) 算出した回転量 (0) 分だけ Sステージを回転させる。 B. 光学顕微鏡高倍にて撮像
( 1 ) 光顕低倍の ( 1 ) と同様の手順を光顕高倍像を用いて実行する。
(2) 光顕低倍の ( 2 ) と同様の手順を光顕高倍像を用いて実行する。
(3 ) 光顕低倍の (3 ) と同様の手順を実行する。
(4) く光顕高倍 S回転後の許容値チェック >
(4— 1 ) [第 1サーチダイ、 光顕高倍のテンプレート指定]
回転後の第 1サーチダイの座標 (X' 1 , Y ' 1 ) を回転前座標 〈X I, Y 1
) および回転量 ( 0) から算出し、 座標 (X' 1, Y' 1 ) へステージを移動、 位置 決定後、 パターンマッチ用テンプレート画像を取得。
X ' 1 = X i ^ c o s ^ - y ! ^ s i η Θ
Y l ^ x ^ s i n ^ + y ^ c o s S
(4— 2 ) 光顕高倍 Υ方向パターンマッチング
回転後の第 1サーチダイの座標 (X' 1, Υ ' 1 ) から dYだけ Υ方向へ移動し、 パターンマッチを実行することで現在観察中のパターンの厳密な座標値 (XN, YN ) を取得する。
(4— 3) 回転後の第 1サーチダイの座檩 (X' 1, Y ' 1 ) から現在撮像中のパ ターンの座標
(XN, YN) への移動量 (d X, d Y) を算出する。
dX=XN-X' 1
d Y = YN- Y' 1
(4 - 4) 算出した移動量 (d X, dY) の 2倍の移動量 ( 2 * d X, 2 * d Y) 分だけ第 1サーチダイを起点としてステージを移動する。
(4一 5) ステージ移動後、 光顕高倍にて撮像し、 テンプレート画像を用いてパタ —ンマッチを実行することで、 現在観察中のパターンの厳密な座標値 (XN, YN) を吏新する。
(4— 6) 予め指定された Y座標値を超えるまでゥェ一ハ上部へ向けて (4一 3 ) 〜 (4— 5) を繰り返し実行する。
(4 - 7) Θの回転量を算出
回転後の第 1サーチダイの座標 (X' 1, Y ' 1 ) から最後にサーチしたダイのパ ターンの厳密な座標値 (XN, YN) までの移動量を用い、 回 fe量 ( 0) を算出する d X = XN-X 1
d Y= YN- Y 1
θ = t a η -1 ( d X/d Y) (4 - 8) 光顕高倍 0許容値チェック
(4 - 7) にて算出した回転量 ( 0 ) が既定値以下に収まっていることを確認する 。 収まっていない場合は、 算出した回転量 (0 ) を用いて Sステージ回転後、 再度 ( 4一 1 ) ~ (4一 8 ) を実行する。 ただし、 規定回数繰り返して (4— 1 ) 〜 (4一 8) を実行しても許容範囲内に収まらない場合は、 エラー扱いとして処理を中断する C. E B像によるァライメント
( 1 ) < サ一チ第 1ダイ、 E Bのテンプレート指定 >
光顕高倍の ( 1 ) と同様の手順を E B像を用いて実行する。
(2) <E B Y方向パターンマッチング >
光顕高倍の (2 ) と同様の手順を E B像を用いて実行する。
(3) <Ε Β Θ回転 >
光顕高倍の ( 3 ) と同様の手順を E B像を用いて実行する。
(4) <E B 0回転後の許容値チェック >
光顕高倍の (4) と同様の手順を EB像を用いて実行する。
( 5) .必要に応じ、 高倍率の E B像を用いて ( 1 ) ~ (4) を実行する
(6) 第 1サーチダイの座標 (X I , Y 1 ) と第 2サーチダイの座標 (X 2 , Y 2 ) より、 X方向ダイサイズ (XD) の概略値を算出する
d X = X 2 - X 1
d Y = Y 2 - Y 1
XD = s q r t ((d X) 2 + ( d Y) 2)
Figure imgf000102_0001
D. ダイマップレシピ作成
( 1 ) <Xサーチ第 1ダイ、 E Bのテンプレート指定 >
ゥェ一ハ左端に位置するダイの左下隅が TD Iカメラ中央付近に位置するようにュ 一ザ一操作にてステージを移動し、 位置決定後、 パターンマッチ用テンプレート画像 を取得。 このテンプレート画像には、 サーチ領域内でユニークなパターンとなるよう な画像を選択しなければならない。
(2) <E B X方向パターンマッチング >
(2— 1 ) X方向ダイサイズ概略値 (XD) を用い、 Xサー^第 1ダイの右隣のダ ィのパターンが存在する (と予想される) 座標 (X l +XD, Y 1 ) へステージを移 動。 '
(2 - 2) ステージ移動後、 TD Iカメラにて E B像を撮像し、 テンプレート画像 を用いてパターンマッチを実行することで現在観察中のパターンの厳密な座標値 (X N, YN) を取得し、 さらにダイの検出個数 (DN) の初期値として 1を設定する。 (2— 3) Xサーチ第 1ダイのパターン座標 (X l, Y 1 ) から現在撮像中のパ夕 ーンの座標 (XN, YN) への移動量 (d X, d Y) を算出する。
d X = XN-X 1
d Y = YN- Y 1
(2 - 4) 算出した移動量 (d X, d Y) の 2倍の移動量 (2 * d X, 2 * d Y) 分だけ Xサーチ第 1ダイを起点としてステージを移動する
(2 - 5) ステージ移動後、 TD Iカメラにて E B像を撮像し、 テンプレート画像 を用いてパターンマッチを実行することで、 現在観察中のパターンの厳密な座標値 ( XN, YN) を更新し、 ダイの検出個数を 2倍する。
(2 - 6) 予め指定された X座標値を超えるまでゥエーハ右方向へ (2— 3 ) 〜 ( 2 - 5) を繰り返し実行する。
(3 ) <X方向傾きを算出 >
Xサーチ第 1ダイのパターン座標 (X I , Y 1 ) から最後にサーチしたダイのパ夕 ーンの厳密な座標値 (XN, YN) までの移動量おょぴ、 それまでに検出したダイの 個数 (DN) を用い、 ステージ直行誤差 (Φ) および X方向ダイサイズ (XD) を算 出する。
dX = XN-X 1
d Y = YN- Y 1
Φ= t a n -1 (d Y/d X)
XD = s q r t (( d X) 2 + ( d Y) 2) /DN
Figure imgf000103_0001
(4) <ダイマップ作成 >
このように、 X方向ダイサイズ (XD) を求め、 予め回転量 (0 ) を算出した際に 求めた Υ方向ダイサイズ (YD) と合わせてダイマップ (理想上のダイの配置情報) を作成する。 ダイマップにより、 ダイの理想上の配置が分かる。 一方、 異いっさいの 基板上のダイは例えばステージの機械的誤差 (ガイ ド等の部品や組み付けの誤差)、 干渉計の誤差 (例えばミラー等の組み付けの問題による) やチャージアップによる像 の歪みの影響を受け、 必ずしも利用的な配置には観察することができない場合がある が、 この実際のダイの位置とダイマップ上の理想上の配置との'誤差を把握し、 この誤 差を考慮しこれを自動補正しながら、 検査を行っていくようにする。
E. フォーカスレシピ作成手順
次に、 フォーカスレシピの作成手順について説明する。 フォーカスレシピは、 基板 等の試料の平面上の印にの位置における最適なフォーカス位置、 若しくはフォーカス 位置に関する諸条件の情報を表等の所定の形式で記憶したものである。 フォーカスマ ップレシピではゥエーハ上の指定位置のみフオーカス条件が設定され、 指定位置間の フォーカス値は、 直線補完される (図 7 6参照)。 フォーカスレシピ作成手順は次の とおり。
( 1 ) フォーカス測定対象ダイをダイマップから選択する
(2) ダイ内でのフォーカス測定点を設定する
(3) 各測定点へステージを移動させ、 画像およびコントラスト値を基に、 フォー カス値 (CL 1 2電圧) の調整を手動で行う。
ァライメント処理にて作成したダイマップは、 ゥエーハの両端のダイ座標より算出 した理想的な位置情報であり、 様々な要因によりダイマップ上のダイ位置と実際のダ ィ位置には誤差が生じる し 図 77参照) この誤差分を吸収するためのパラメータを 作成する手順をファインァライメントと呼び、 ファインァライメントレシピには、 ダ ィマップ (理想上のダイ配置情報) と実際のダイの位置との誤差情報が保存される。 ここで設定された情報は、 欠陥検査時に使用される。 ファインァライメントレシピで はダイマップ上で指定されたダイのみ誤差が測定され、 指定ダイ間の誤差は、 直線捕 完される。
F. ファインァライメント手順
( 1 ) ファインァライメント用誤差測定対象ダイをダイマップから指定する (2 ) 誤差測定対象ダイより基準ダイを選択し、 このダイの位置をダイマップとの 誤差がゼロの点とする
( 3 ) 基準ダイの左下隅を TD Iカメラで撮像し、 パターンマッチ用テンプレート 画像を取得する
※サーチ領域内でユニークなパターンをテンプレート画像として選択
(4) 近隣の誤差測定対象ダイの左下の (ダイマップ上での) 座標 (X 0, Y 0) を取得し、 ステージを移動させる。 移動後、 TD Iカメラで撮像し、 (3) のテンプ レート画像を用いてパターンマッチを実行することで、 厳密な座標値 (X, Y) を取 得する。
(5) パターンマッチで取得した座標値 (X, Y) とダイマップ上の座標値 (X 0 , Y 0 ) の誤差を保存
( 6 ) 全ての誤差測定対象ダイについて (4) ~ ( 5 ) を実行する。
2 - 6 - 3 ) 欠陥検査
欠陥検査は、 図 78に示すように、 電子光学系の条件設定 (撮像倍率などの設定) を行い、 電子ビームを照射しながらステージを移動させることで TD Iスキャン撮像 (図 7 9) を行い、 設定された検査条件 (アレイ検査条件、 ランダム検査条件、 検査 エリア) に従い、 検査専用処理ユニッ ト ( I P E) により リアルタイムで欠陥検査が 行われる。
検査レシピでは、 電子光学系の条件、 検査対象ダイ、 検査エリアおよび検査方法 ( ランダム/アレイ) などが設定される (図 8 0の A、 B)。
なお、 欠陥検査用に安定した画像を取得するため、 位置ズレゃ速度ムラなどによる 撮像画像のブレを抑制する E〇補正、 理想的なダイマップ上の配置と実際のダイ位置 との誤差を吸収するダイ位置補正、 有限の測定点で予め測定したフォーカス値を用い ゥエーハ全領域のフォーカス値を補完するフォーカス調整がリアルタイムで同時に行 われる。
欠陥検査のスキャン動作において、 検査対象ダイの全域を検査する (図 8 1 ) 他に 、 図 82に示すように、 スキャン方向と直角方向へのステツプ移動量を調整すること で間引き検査も可能となる (検査時間の短縮)。
検查終了後は、 検査結果として欠陥個数、 欠陥を含むダイの位置、 欠陥サイズ、 各 ダイ内での欠陥位置、 欠陥種別、 欠陥画像、 比較画像をディスプレイに表示し、 これ らの情報およびレシピ情報などをファイルへ保存することで過去の検査結果の確認、 再現が可能となっている。
自動欠陥検査時には各種レシピを選択指定することで、 搬送レシピに従ってゥエー ハがロードされ、 ァライメントレシピに従ってステージ上でゥエーハのァライメント が行われ、 フォーカスマップレシピに従ってフォーカス条件の設定が行われ、 検査レ シピに従って検査が行われ、 搬送レシピに従ってゥェ一ハがアンロードされる (図 8 3の A、 B)。
2 - 6 -4) 制御系構成
本装置は、 図 84に示すように複数のコントローラにより構成されている。 メイン コントローラは、 装置 (EB I ) の GU I部ノシーケンス動作を司り、 工場ホストコ ンピュータまたは GU Iからの動作指令を受け取り、 VMEコントローラや I P Eコ ントローラへ必要な指示を与える。 VMEコントローラは、 装置 (E B I ) 構成機器 の動作を司り、 メインコントローラからの指示に従い、 ステージコントローラや P L Cコントローラへ指示を与える。 I PEコントローラは、 メインコントローラからの 指示により I PEノードコンピュータからの欠陥検査情報取得、 取得した欠陥の分類 および画像表示を行う。 I P Eノードコンピュータは、 TD Γカメラから出力される 画像の取得ならびに欠陥検査を行う。
PL Cコントローラは、 VMEコントローラからの指示を受け、 バルブ等の機器の 駆動およびセンサ情報の取得、 常時監視が必要な真空度異常などの異常監視を行なう 。 ステージコントローラは、 VMEコントローラからの指示を受け、 XY方向への移 動およびステージ上に設置されたゥェ一八の回転を行う。
このような分散制御系を構成することで、 末端の装置構成機器が変更された場合に 各コントローラ間のィンタ一フェースを同一に保つことで上位コントローラのソフト ウェアおよびハードウェアの変更が不要となる。 また、 シーケンス動作が追加 · 修正 された場合でも上位ソフトウェアおよびハードウェアの変更を最小限にとどめること で構成変更への柔軟な対応が可能となる。
2— 6 — 5 ) ユーザ一インタ一フエ一ス構成
図 8 5はユーザ一インターフェース部の機器構成を示す。
( 1 ) 入力部
ユーザーからの入力を受け付ける機器で 「キーボード」、 「マウス」、 「 J OYパッ ド
」 から構成される。
( 2 ) 表示部 .
ユーザ—への情報を表示する機器で、 モニタ 2台で構成される。
モニタ 1 : C C Dカメラまたは TD Iカメラでの取得画像を表示
モニタ 2 : GU I表示
座標系について
本装置では、 以下 3つの座標系を規定する。
( 1 ) ステージ座標系 [Xs, Ys]
ステージ位置制御時の位置指示用の基準座標系
チャンバ左下隅を原点とし、 右方向に X座標値が増加し、 上方向に Y座標値^増 加する。
本座標系は、 本装置に 1っレか存在しない。
ステージ座標系で示される位置 (座標値) は、 ステージの中心 (ゥェ一ハ中心) とする。
' つまり、 ステージ座標系において座標値 [0,0]を指定した場合、 ステージ中心 ( ゥェ一八中心) がステージ座標系の原点に重なるように移動する。
単位は [; u m] とするが、 最小分解能は λ / 1 0 2 4 (= 0. 6 1 8 [μ πι]) とする。
※入 : レーザ干渉計で用いられるレーザの波長 (λ ½ 6 3 2. 9 9 1 [ τ ]) ( 2 ) .ゥエーハ座標系 [Xw, Yw]
ゥェ一ハ上の観察 (撮像 ·表示) する位置を指示するための基準座標
ゥェ一ハ中心を原点とし、 右方向に' X座標値が増加し、 上方向に Y座標値が増加 する。
ゥエーハ座標系で示される位置 (座標値) は、 そのとき選択された撮像機器 (C CDカメラ、 TD Iカメラ) での撮像中心とする。
本座標系は、 本装置に一つしか存在しない。
単位は [Mm]とするが、 最小分解能は λ/ 1 0 24 (= 0. 6 1 8 [ m]) と する。
※ λ : レーザ干渉計で用いられるレーザの波長 (λ 6 3 2. 9 9 1 [^m])
(3) .ダイ座標系 [XD, YD]
各ダイにおける観察 (撮像 · 表示) 位置を規定するための基準座標
各ダイの左下隅を原点とし、 右方向に X座標値が増加し、 上方向に Y座標値が増 加する。 本座標系はダイ毎に存在する。 単位は [^m] とするが、 最小分解能は λ/ 1 0 24 (== 0. 6 1 8 [^m]) とする。
※入 : レーザ干渉計で用いられるレーザの波長 (λ 63 2. 9 9 1 [^m]) なお、 ゥエーハ上のダイは、 番号付け (ナンバリング) され、 番号付けの基準と なるダイを原点ダイと呼ぶ。 デフォルトではゥェ一ハ座標系原点に最も近いダイを原 点ダイとするが、 ユーザーの指定により原点ダイの位置を選択可能とする。
各座標系における座標値と、 観察 (表示) される位置の関係は図 8 6のとおり。 ※ ュ—ザ—インターフェースにより指示される座標および、 ステージ移動方向の関係は
、 以下のとおり。
( 1 ) ジョイスティック & GU I矢印ボタン
ジョイスティ ックおよび、 GU I矢印ポタンにより、 指示される方向は、 ォペレ —夕が見たい方向とみなし、 ステージを指示方向と逆方向に移動させる ' 例)
指示方向 : 右 .... ステージ移動方向 : 左 (画像が左に移動 =視野が右 に移動)
指示方向 : 上 · · · · ステージ移動方向 : 下 (画像が下に移動 =視野が 上に移動)
( 2 ) GU I上で座標を直接入力
GU I上で直接入力される座標は、 ゥエー八座標系上でオペレータが見たい場所 とみなし、 該当ゥエーハ座標が撮像画像中心に表示されるようにステージを移動させ る。
2 - 7 ) その他の機能と構成の説明
図 8 7に本実施の形態の全体構成図を示す。 但し、 一部構成を省略して図示してい る。 同図において、 検査装置は一次コラム 8 7 · 1、 二次コラム 8 7 · 2およびチヤ ンバ一 87 · 3を有している。 一次コラム 8 7 · 1の内部には、 電子銃 8 7 · 4が設 けられており、 電子銃 8 7 · 4から照射される電子ビーム (一次ビーム) の光軸上に 一次光学系 8 7 · 5が配置される。 また、 チャンバ一 8 7 · 3の内部には、 ステージ 8 7 · 6が設置され、 ステージ 8 7 · 6上には試料 Wが載置される。
一方、 二次コラム 8 7 · 2の内部には、 試料 Wから発生する二次ビームの光軸上に 、 対物レンズ 8 7 · 7、 ニューメリカルアパーチャ 8 7 · 8、 ウイ一ンフィルタ 8 7 · 9、 第 2 レンズ 8 7 · 1 0、 フィールドアパ一チヤ 8 7 · 1 1、 第 3レンズ 8 7 · 1 2、 第 4レンズ 8 7 · 1 3および検出器 8 7 · 1 4が配置される。 なお、 ニューメ, • リカルアパーチャ 8 7 · 1 2は、 開口絞りに相当するもので、 円形の穴が開いた金属 製 (M o等) の薄板である。 そして、 開口部が一次ビームの集束位置および対物レン ズ 8 7 · 7の焦点位置になるように配置されている。 したがって、 対物レンズ 8 7 - 7 とニューメリカルアパーチャ 8 7 · 8 とは、 テレセントリ ックな電子光学系を構成 している。
一方、 検出器 8 7 · 1 4の出力は、 コントロールュニッ ト 8 7 · 1 5に入力され、 コントロールュニッ ト 8 7 · 1 5の出力は、 C P U 8 7 · 1 6に入力される。 C P U 8 7 - 1 6の制御信号は、 一次コラム制御ュニッ ト 8 7 · 1 7、 二次コラム制御ュニ ッ ト 8 7 · 1 8およびステージ駆動機構 8 7 · 1 9に入力される。 一次コラム制御ュ ニット 8 7 · 1 7は、 一次光学系 8 7 ■ 5のレンズ電圧制御を行い、 二次コラム制御 ュニッ ト 8 7 · 1 8は、 対物レンズ 8 7 · 7、 第 2 レンズ 8 7 · 1 0〜第 4レンズ 8 7 · 1 3のレンズ電圧制御およびウイーンフィルタ 8 7 · 9に印加する電磁界制御を 行う。
' また、 ステージ駆動機構 8 7 · 1 9は、 ステージの位置情報を C P U 8 7 · 1 6に 伝達する。 さらに、 一次コラム 8 7 · 1、 二次コラム 8 7 · 2、 チャンバ一 8 7 · 3 は、 真空排気系 (不図示) と繋がっており、 真空排気系のタ一ポ分子ポンプにより排 気されて、 内部は真空状態を維持している。
(一次ビーム) 電子銃 8 7 · 4からの一次ビームは、 一次光学系 8 7 · 5によって レシズ作用を受けながら、 ウィーンフィル夕 8 7 · 9に入射する。 ここでは、 電子銃 のチップとしては、 矩形陰極で大電流を取り出すことができる L a Β 6を用いる。 ま た、 一次光学系 7 2は、 回転軸非対称の四重極または八重極の静電 (または電磁) レ ンズを使用する。 これは、 いわゆるシリンドリカルレンズと同様に X軸、 Y軸各々で 集束と発散とを引き起こすことができる。 このレンズを 2段、 3段又は 4段で構成し 、 各レンズ条件を最適化することによって、 照射電子を損失す'ることなく、 試料面上 のビーム照射領域を、 任意の矩形状、 または楕円形状に成形することができる。
具体的には、 静電型の四重極レンズを角いた場合、 4つの円柱 ΰッ ドを光軸周りに 配置する。 対向する電極同士を等電位にし、 光軸周りに 9 0度ずれた位相では逆の電 圧特性を与える。 なお、 四重極レンズとして円柱形ではなく、 静電偏向器として通常使用される円形 板を 4分割した形状のレンズを用いてもよい。 この場合レンズの小型化を図ることが できる。 一次光学系 7 2を通過した一次ビ一ムは、 ウイーンフィルタ 8 7 · 9の偏向 作用により軌道が曲げられる。 ウイーンフィルタ 8 7 · 9は、 磁界と電界を直交させ 、 電界を E、 磁界を B、 荷電粒子の速度を Vとした場合、 E == v Bのウィーン条件を 満たす荷電粒子のみを直進させ、 それ以外の荷電粒子の軌道を曲げる。 一次ビームに 対しては、 磁界による力 F Bと電界による力 F Eとが発生し、 ビーム軌道は曲げられ る。 一方、 二次ビームに対しては、 力 F Bと力 F Eとが逆方向に働くため、 互いに相 殺されるので二次ビームはそのまま直進する。
一次光学系 8 7 · 5のレンズ電圧は、 一次ビームがニューメリカルァパ一チヤ 8 7 • 8の開口部で結像するように、 予め設定されている。 このニューメリカルァパーチ ャ 8 7 · 8は、 装置内に散乱する余計な電子ビームが試料面に到達することを阻止し 、 試料 Wのチャージアップや汚染を防いでいる。 さらに、 ニューメリカルアパーチャ 8 7 · 8 と対物レンズ 8 7 · 7 とはテレセントリ ックな電子光学系を構成しているの で、 対物レンズ 8 7 · 7を透過した一次ビームは平行ビームになり、 試料 Wに均一か つ一様に照射する。 すなわち、 光学顕微鏡でいうケ一ラ一照明が実現される。
(二次ビーム) 一次ビームが試料に照射されると、 試料のビーム照射面からは、 二 次粒子として、 二次電子、 反射電子または後方散乱電子が発生する。
二次粒子は、 対物レンズ 8 7 · 7によるレンズ作用を受けながら、 レンズを透過す る。 ところで、 対物レンズ 8 7 · 7は、 3枚の電極で構成されている。 一番下の «極 は、 試料 W側の電位との間で、 正の電界を形成し、 電子 (特に、 指向性が小さい二次 電子) を引き込み、 効率よく レンズ内に導くように設計されている。 また、 レンズ作 用は、 対物レンズ 8 7 · 7の 1番目、 2番目の電極に電圧を印加し、 3番目の電極を ゼロ電位にすることで行われる。 一方、 ニューメニカルアパーチャ 8 7 · 8は、 対物 レンズ 8 7 ■ 7の焦点位置、 すなわち試料 Wからのバックフォーカス位置に配置され ている。 したがって、 視野中心外 (軸外) から出た電子ビームの光束も、 平行ビーム となって、 この二ュ一メ二カルアパーチャ 8 7 · 8の中心位置を、 けられが生じるこ となく通過する。
なお、 ニューメリカルアパーチャ 8 7 · 8は、 二次ビームに対しては、 第 2 レンズ 8 7 ' 1 0〜第4レンズ8 7 ' 1 3のレンズ収差を抑える役割を果たしている。 ニュ 一メリカルアパーチャ 8 7 · 8を通過した二次ビームは、 ウイーンフィルタ 8 7 · 9 の偏向作用を受けずに、 そのまま直進し'て通過する。 なお、 ウイ一ンフィルタ 8 7 · 9に印加する電磁界を変えることで、 二次ビームから、 特定のエネルギーを持つ電子 (例えば 2次電子、 又は反射電子、 又は後方散乱電子) のみを検出器 8 7 · 1 4に導 く ことができる。
二次粒子を、 対物レンズ 8 7 · 7のみで結像させると、 レンズ作用が強くなり収差 が発生しやすい。 そこで、 第 2レンズ 8 7 · 1 0 と合わせて、 1回の結像を行わせる 。 二次粒子は、 対物レンズ 8 7 · 7および第 2 レンズ 8 7 · 1 0により、 フィールド アパーチャ 8 7 · 1 1上で中間結像を得る。 この場合、 通常、 二次光学系として必要 な拡大倍率が不足することが多いため、 中間像を拡大するためのレンズとして、 第 3 レンズ 8 7 · 1 2、 第 4レンズ 8 7 · 1 3を加えた構成にする。 二次粒子は、 第 3 レ ンズ 8 7 · 1 2、 第 4レンズ 8 7 · 1 3各々により拡大結像し、 ここでは、 合計 3回' 結像する。 なお、 第 3レンズ 8 7 · 1 2と第 4レンズ 8 7 · 1 3とを合わせて 1回 ( 合計 2回) 結像させてもよい。
また、 第 2 レンズ 8 7 · 1 0〜第 4レンズ 8 7 · 1 3はすべて、 ュニポテンシャル レンズまたはァインツェルレンズとよばれる回転軸対称型のレンズである。 各レンズ は、 3枚電極の構成で、 通常は外側の 2電極をゼロ電位とし、 中央の電極に印加する 電圧で、 レンズ作用を行わせて制御する。 また、 中間の結像点には、 フィールドァパ —チヤ 8 7 · 1 1が配置されている。 フィールドアパ一チヤ 8 7 · 1 1は光学顕微鏡 の視野絞りと同様に、 視野を必要範囲に制限しているが、 電子ビームの場合、 余計な ビームを、 後段の第 3レンズ 8 7 · 1 2および第 4レンズ 8 7 · 1 3と共に遮断して 、 検出器 8 7 · 1 4のチヤ一ジアップや汚染を防いでいる。 なお、 拡大倍率は、 この 第 3レンズ 8 7 · 1 2および第 4レンズ 8 7 · 1 3のレンズ条件 (焦点距離) を変え ることで設定される。
二次粒子は、 二次光学系により拡大投影され、 検出器 8 7 · 1 4の検出面に結像す る。 検出器 8 7 · 1 4は、 電子を増幅する M C Pと、 電子を光に変換する蛍光板と、 真空系と外部との中継および光学像を伝達させるためのレンズやその他の光学素子と 、 撮像素子 (C C D等) とから構成される。 二次粒子は、 M C P検出面で結像し、 増 幅され、 蛍光板によって電子は光信号に変換され、 撮像素子によって光電信号に変換 される。
コントロールュニッ ト 8 7 ■ 1 5は、 検出器 8 7 · 1 4から試料の画像信号を読み 出し、 C P U 8 7 · 1 6に伝達する。 C P U 8 7 · 1 6は、 画像信号からテンプレー トマッチング等によってパターンの欠陥検査を実施する。 また、 ステージ 8 7 · 6は 、 ステージ駆動機構 8 7 · 1 9により、 X Y方向に移動可能となっている。 C P U 8 7 · 1 6は、 ステージ 8 7 · 6の位置を読み取り、 ステージ駆動機構 8 7 · 1 9に駆 動制御信号を出力し、 ステージ 8 7 · .6を駆動させ、 順次画像の検出、 検査を行う。 このように、 本実施の形態の検査装置では、 ニューメリカルアパーチャ 8 7 . 8 と 対物レンズ 8 7 · 7 とが、 テレセントリックな電子光学系を構成しているので、 一次 ビームに対しては、 ビームを試料に均一に照射させることができる。 すなわち、 ケ一 ラ一照明を容易に実現することができる。
さらに、 二次粒子に対しては、 試料 Wからの全ての主光線が、 対物レンズ 8 7 · 7 に垂直 (レンズ光軸に平行) に入射し、 ニューメリカルアパーチャ 8 7 · 8を通過す るので、 周辺光もけられることがなく、 試料周辺部の画像輝度が低下することがない 。 また、 電子が有するエネルギーのばらつきによって、 結像する位置が異なる、 いわ ゆる倍率色収差が起こる (特に、 二次電子は、 エネルギーのばらつきが大きいため、 倍率色収差が大きい) が、 対物レンズ 8 7 . 7の焦点位置に、 ニューメリカルアバ一 チヤ 8 7 · 8を配置することで、 この倍率色収差を抑えることができる。
また、 拡大倍率の変更は、 ニューメリカルアパーチャ 8 7 · 8の通過後に行われる ので、 第 3 レンズ 8 7 · 1 0、 第 4レンズ 8 7 · 1 3のレンズ条件の設定倍率を変え ても、 検出側での視野全面に均一な像が得られる。 なお、 本実施の形態では、 むらの ない均一な像を取得することができるが、 通常、 拡大倍率を高倍にすると、 像の明る さが低下するという問題点が生じた。 そこで、 これを改善するために、 二次光学系の レンズ条件を変えて拡大倍率を変更する際、 それに伴って決まる試料面上の有効視野 と、 試料面上に照射される電子ビームとを、 同一の大きさになるように一次光学系の レンズ条件を設定する。
すなわち、 倍率を上げていけば、 それに伴って視野が狭くなるが、 それと同時に電 子ビームの照射密度を上げていく ことにより、 二次光学系で拡大投影されても、 検出 電子の信号密度は、 常に一定に保たれ、 像の明るさは低下しない。 ' また、 本実施の形態の検査装置では、 一次ビームの軌道を曲げて、 二次ビームを直 進させるウイ一ンフィル夕 8 7 · 9を用いたが、 それに限定されず、 一次ビームの軌 道を直進させ、 二次ビームの軌道を曲げるウイーンフィル夕を用いた構成の検査装置 でもよい。 ここでは E X Bを用いているが、 磁場だけを用いても良い。 この時は例え ば' 1次電子入射方向と信号電子の検出器へ向かわせる方向は等しく Y字型の構成を取 つても良い。 ,
また、 本実施の形態では、 矩形陰極と四極子レンズとから矩形ビームを形成したが 、 それに限定されず、 例えば円形ビームから矩形ビームや楕円形ビームを作り出して もよいし、 円形ビームをスリッ トに通して矩形ビームを取り出してもよい。 また、 線 形ビームでも複数のビームでもよく、 これらをスキャンして用いてもよい。
2 - 7 - 1 ) 制御電極
対物レンズ 8 7 · 7とゥェ一ハ Wとの'間には、 電子線の照射光軸に対して略軸対称 である形状の電極 (図 2 5— 1の 2 5 · 8 ) が配置されている。 この電極の形状の例 を図 8 8、 図 8 9に示す。 図 8 8、 図 8 9は電極 8 8 ■ 1、 8 9 · 1の斜視図であり 、 図 8 8は、 電極 8 8 · 1が軸対称に円筒形状である場合を示す斜視図であり、 図 8 9は、 電極 8 9 · 1が軸対称に円盤形状である場合を示す斜視図である。
本実施の形態では、 図 8 8に示すように電極 8 8 · 1が円筒形状として説明するが 、 電子線の照射光軸に対して略軸対称であれば、 図 8 9に示すような円盤形状の電極 8 9 . 1であってもよい。 更に、 電極 8 8 · 1 には、 対物レンズ 8 7 . 7 (図 2 5— 1の 2 5 · 7 ) とゥェ一ハ Wとの間における放電を防止する電界を発生する為に、 ゥ エーハ Wへの印加電圧 (本実施の形態では接地されているので、 電位は 0 V ) よりも 低い所定の電圧 (負電位) が電源 2 5 · 9によって印加されている。 この時のゥエー 八 Wと対物レンズ 9 7 · 7 との間の電位分布を図 9 0を参照して説明する。
図 9 0は、 ゥエーハ Wと対物レンズ 8 7 · 7 との間の電圧分布を示すグラフである 。 同図において、 電子線の照射光軸における位置を横軸として、 ゥェ一ハ Wから対物 レンズ 8 7 · 7の位置までの電圧分布を示している。 電極 8 8 ■ 1が無かった従来の 電子線装置においては、 対物レンズ 8 7 · 7からゥヱ一ハ Wまでの電圧分布は、 対物 レンズ 8 7 · 7に印加した電圧を最大値として、 接地しているゥエーハ Wまでなだら かに変化している。 (図 9 0の細線) 一方、 本実施の形態の電子線装置においては、 対物レンズ 8 7 · 7 とゥェ一ハ Wとの間に電極 8 8 · 1が配置され、 且つ電極 8 8 · 1には、 ゥエーハ Wへの印加電圧よりも低い所定の電圧 (負電位) が電源 2 5 · 9に よって印加されているので、 ゥエーハ Wの電界が弱められる (図 9 0の太線)。 よつ て、 本実施の形態の電子線装置においては、 ゥェ一ハ Wにおけるピア 2 5 - 1 3 (図 2 5— 1 ) 近傍に電界が集中せずに高電界とはならない。 そして、 ビア 2 5 . 1 3に 電子線が照射されて 2次電子が放出されても、 この放出された 2次電子は、 残留ガス をイオン化する程には加速されないので、 対物レンズ 8 7 · 7とゥエーハ Wとの間に 起こる放電を防止できる。
また、 対物レンズ 8 7 · 7とビア 2 5 · 1 3 (図 2 5— 1 ) との間での放電を防止 で'きるので、 ゥエーハ Wのパターン等を放電破損することはない。 また、 上記実施の 形態においては、 対物レンズ 8 7 · 7とビア 2 5 · 1 3のあるゥエーハ Wとの間の放 電が防止できるが、 電極 8 8 · 1に負電位を印加しているので、 負電位の大きさによ つては、 検出器 8 7 · 1 4による 2次電子の検出感度が低下する場合もある。 よって 検出感度が低下した場合は、 上述したように, 電子線を照射し 2次電子を検出する一 連の動作を複数回に渡って行い、 得られた複数の検出結果を累積加算や平均化等の処 理を施して所定の検出感度 (信号の S Z N比) を得るようにすればよい。 本実施の形 態では、 一例として、 検出感度を信号対'雑音比 (S Z N比) として説明している。 ここで、 上記の 2次電子検出動作について、 図 9 1を参照して説明する。 同図は、 電子線装置の 2次電子検出動作を示すフローチャートである。 まず、 検出器 8 7 · 1 4によって被検査試料からの 2次電子を検出する (ステップ 9 1 · 1 )。 次に、 信号 対雑音比 (S / N比) が所定の値以上であるかの判断を行う (ステップ 9 1 · 2 )。 ステップ 9 1 · 2において、 信号対雑音比が所定値以上である場合は、 検出器 8 7 · 1 4による 2次電子の検出は十分であるので、 2次電子検出動作は完了する。
一方、 ステップ 9 1 · 2において、 信号対雑音比が所定値未満である場合は、 電子 線を照射し 2次電子を検出する一連の動作を 4 N回行い、 平均化処理を行う (ステツ • プ 9 1 · 3 )。 ここで、 Nの初期値は 「 1」 に設定されているので、 ステップ 9 1 · 3 ·において初回は、 2次電子の検出動作が 4回行われる。
次に、 Nに 「 1 J を加算してカウントアップして (ステップ 9 1 . 4 )、 ステップ 9 1 · 2において再度、 信号対雑音比が所定の値以上であるかの判断を行う。 ここで 、 信号対雑音比が所定値未満である場合は、 再度ステップ 9 1 · 3に進み、 今度は 2 次電子の検出動作を 8回行う。 そして、 Nをカウントアップして、 信号対雑音比が所 定値以上となるまで、 ステップ 9 1 · 2〜 9 1 · 4を繰り返す。
また、 本実施の形態では、 電極 8 8 · 1にゥエーハ Wへの印加電圧よりも低い所定 の電圧 (負電位) を印加することにより、 ビア 2 5 · 1 3 ( ^あるゥェ一ハ Wに対する 放電防止について述べたが、 2次電子の検出効率が低下する場合がある。 よって、 被 検査試料がビアの無いゥェ一ハ等、 対物レンズ 8 7 · 7との間で放電が生じにくい種 類の被検査試料であった場合は、 検出器 8 7 · 1 4における 2次電子の検出効率が高 くなるように、 電極 8 8 · 1に印加する電圧を制御することができる。
' 具体的には、 被試験試料が接地されている場合であっても、 電極 8 8 * 1に印 aす る電圧を、 被試験試料への印加電圧よりも高い所定の電圧にする、 例えば、 + 1 0 V とする。 また、 この時、 電極 8 8 · 1 と被検査試料との距離は、 電極 8 8 · 1 と被検 査試料との間に放電が生じない距離に配置する。
この場合、 被検査試料への電子線の照射によって発生した 2次電子は、 電極 8 8 · に印加された電圧によって発生した電界によって、 検出器 8 7 · 1 4側に加速され る。 そして、 対物レンズ 8 7 ■ 7に印加された電圧によって発生した電界によって、 更に、 検出器 8 7 · 1 4側に加速されて収束作用を受けるので、 検出器 8 7 · 1 4に 多くの 2次電子が入射し検出効率を高くすることができる。
また更に、 電極 8 8 · 1は軸対称であるので、 被検査試料に照射する電子線を収束 するレンズ作用も持つ。 従って、 電極 8 8 · 1に印加する電庄によって、 1次電子線 をより細く絞ることもできる。 また、 電極 8 8 · 1によって 1次電子線を細く絞るこ ともできるので、 対物レンズ 8 7 · 7 との組み合わせにより、 より低収差の対物レン ズ系を構成することもできる。 このようなレンズ作用が可能な程度に、 電極 8 8 - 1 は略軸対称であればよい。 上記実施の形態の電子線装置によれば、 被検査試料と対物レンズとの間に、 電子線 の照射軸に対して略軸対称の形状であり、 前記被検査試料の前記電子線の照射面にお ける電界強度を制御する電極を備えたので、 被検査試料と対物レンズとの間の電界を 制御することができる。
被検査試料と対物レンズとの間に、 電子線の照射軸に対して略軸対称の形状であり 、 前記被検査試料の前記電子線の照射面における電界強度を弱くする電極を備えたの で、 被検査試料と対物レンズとの間の放電を無くすることができる。 また、 対物レン ズへの印加電圧を低下させる等変更していないので、 2次電子を効率的に対物レンズ を通過させられるので、 検出効率を向上させ、 S Z N比の良い信号を得ることができ る。
被検査試料の種類によって、 被検査試料の電子線の照射面における'電界強度を弱く する為の電圧を制御することができる。 例えば、 被検査試料が、 対物レンズとの間で 放電し易い種類の被検査試料である場合は、 電極の電圧を変化させ、 被検査試料の電 子線の照射面における電界強度をより弱くすることで、 放電を防止できる。
半導体ゥエー八のビアの有無によって、 電極に与える電圧を変更する、 即ち、 半導 体ゥェ一ハの電子線の照射面における電界強度を弱くする為の電圧を変更することが できる。 例えば、 被検査試料が、 対物レンズとの間で放電し易い種類の被検査試料で ある場合は、 電極による電界を変化させ、 被検査試料の電子線の照射面における電界 強度をより弱くすることで、 特にビアやビア周辺における放電を防止できる。 また、 ビアと対物レンズとの間での放電が防止できるので、 半導体ゥエー八のパターン Φを 放電破損することはない。 また、 電極に与える電位を被検査試料に与える電荷よりも 低く したので、 被検査試料の電子線の照射面における電界強度を弱くすることができ 、 被検査試料への放電が防止できる。 電極に与える電位を負電位とし、 被検査試料は 接地したので、 被検査試料の電子線の照射面における電界強度を弱くすることができ 、 被検査試料への放電が防止できる。
これまで、 主に放電防止を目的とした制御電極の利用法について説明してきたが、 制御電極はゥエーハから放出される二次電子のエネルギ選別のために用いることがで きる。 即ち、 解像度の高い画像を得るために、 最も信号検出効率のよい、 或る一定レ ベル以上のエネルギを有する二次電子のみを検出しているような場合には、 制御電極 に所定の負電圧を印加し、 二次電子のエネルギ障壁として用い'ることができる。 制御 電極には負電位がかけられているため、 二次電子を試料の方へ追い戻す力が働く。 こ の電位障壁を越えられない二次電子は試科の方へ戻り、 電位障壁を越えた二次電子の みが検出器で検出されることになり、 所望の解像度の画像を得ることができる。
2 - 7 - 2 ) 電位印加方法 図 9 2において、 電位印加機構 9 2 ' 1は、 ゥェ一八から放出される二次電子情報 (二次電子発生率) が、 ゥェ一八の電位に依存すると言う事実に基づいて、 ゥエーハ を載置するステージの設置台に土数 Vの電位を印加することにより二次電子の発生を 制御するものである。 また、 この電位印加機構は、 照射電子が当初有しているエネル ギーを減速し、 ゥエーハに 1 0 0〜 5 0 0 e V程度の照射電子エネルギーとするため の用途も果たす。
電位印加機構 9 2 · 1は、 図 9 2に示されるように、 ステージ装置 9 2 · 2の載置 面 9 2 · 3 と電気的に接続された電圧印加装置 9 2 · 4と、 チャージアップ調査及び 電圧決定システム (以下調査及び決定システム) 9 2 · 5 とを備えている。 調査及び 決定システム 9 2 · 5は、 電子光学装置 1 3 · 8 (図 1 3 ) の検出系の画像形成部 9
2 · 6に電気的に接続されたモニター 9 2 · 7 と、 モニター 9 2 · 7に接続されたォ ペレ一夕 9 2 · 8 と、 オペレータ 9 2 ' 8 4に接続された C P U 9 2 · 9 とを備えて いる。 C P U 9 2 · 9は、 電圧印加装置 9 2 · 4に信号を供給するようになっている 上記電位印加機構は、 検査対象であるゥエーハが帯電し難い電位を探し、 その電位 を印加するように設計されている。
検査試料の電気的欠陥を検査する方法としては、 本来電気的に絶縁されている部分 とその部分が通電状態にある場合では、. その部分の電圧が異なることを利用すること もできる。
それは、 まず、 試料に事前に電荷を付与することで、 本来電気的に絶縁されている 部分の電圧と、 本来電気的に絶縁されている部分であるが、 何らかの原因で通電状態 にある部分の電圧とに電圧差を生じさせ、 その後本発明のビームを照射することで、 電圧差があるデ一夕を取得し、 この取得データを解析して、 通電状態となっているこ とを検出する。
2 - 7 - 3 ) 電子ビームキャリブレーション方法
図 9 3において、 電子ビームキヤリブレーシヨン機構 9 3 · 1は、 回転テーブル 9
3 · 2上でゥエー八の載置面 9 3 · 3の側部の複数箇所に設置された、 ビーム電流測 定用のそれぞれ複数のファラデーカップ 9 3 · 4及び 9 3 · 5を備えている。 ファラ デ一力ップ 9 3 · 4は細いビーム用 (約 ψ 2 / m) で、 ファラデー力ップ 9 3 · 5は 太いビーム用 (約 φ 3 0 m ) である。 細いビーム用のファラデーカップ 9 3 . 4で は回転テーブル 9 3 ' 2をステップ送りすることで、 ビームプロフィルを測定し。 太 いビーム用のファラデーカップ 9 3 · 5 'ではビームの総電流量を計測する。 ファラデ 一カップ 9 3 · 4、 9 3 · 5は、 上表面が載置面 9 3 · 3上に載せられたゥエーハ W の上表面と同じレベルになるように配置されている。 このようにして電子銃から放出 される一次電子線を常時監視する。 これは、 電子銃が常時一定の電子線を放出できる わけでなく、 使用しているうちにその放出量が変化するためである
2 - 7 - 4 ) 電極の清掃
本発明の電子ビーム装置が作動すると、 近接相互作用 (表面近くでの粒子の帯電) により標的物質が浮遊して高圧領域に引きつけられるので、 電子ビームの形成や偏向 に使用される様々な電極には有機物質が堆積する。 表面の帯電により徐々に堆積して いく絶縁体は電子ビームの形成や偏向機構に悪影響を及ぼすので、 堆積した絶縁体は 周期的に除去しなければならない。 絶縁体の周期的な除去は絶縁体の堆積する領域の 近傍の電極を利用して真空中で水素や酸素あるいはフッ素及びそれらを含む化合物 H F , 〇 2, H 2 0, C M F N等のプラズマを作り出し、 空間内のプラズマ電位を電極面 にスパッ夕が生じる電位(数 k V、 例えば 2 0 V〜 5 k V)に維持することで、 有機物 質のみ酸化、 水素化、 フッ素化により除去する。 また、 清浄効果のある期待を流すこ とにより、 電極や絶縁物表面の汚染物質を除去することができる。
2— 7— 5 ) ァライメント制御方法
図 9 4のァライメント制御装置 9 4 ■ 1は、 ステージ装置を用いてゥエーハ Wを電 子光学装置 9 4 · 2に対して位置決めさせる装置であって、 ゥエーハを光学顕微鏡 9 4 · 3を用いた広視野観察による概略合わせ (電子光学系によるよりも倍率が低い測 定)、 電子光学装置 9 4 · 2の電子光学系を用いた高倍率合わせ、 焦点調整、 検査領 域設定、 パターンァライメント等の制御を行うようになっている。 このように光学系 を用いて低倍率でゥェ一ハを検査するのは、 ゥエー八のパターンの検査を自動的【こ行 うためには、 電子線を用いた狭視野でゥエー八のパターンを観察してゥエーハラィメ ントを行う時に、 電子線によりァライメントマークを容易に検出する必要があるから である。
光学顕微鏡 9 4 · 3は、 ハウジングに設けられ (ハウジング内で移動可能な設けら れ tいてもよい) ており、 光学顕微鏡を動作させるための光源も図示しないがハウジ ング内に設けられている。 また高倍率の観察を行う電子光学系は電子光学装置 9 4 - 2の電子光学系 (一次光学系及び二次光学系) を共用するものである。 その構成を概 略図示すれば、 図 9 4に示されるようになる。 ゥェ一ハ上の被観察点を低倍率で観察 するには、 ステージ装置の Xステージを X方向に動かすことによってゥエーハの被観 察点を光学顕微鏡の視野内に移動させる。 光学顕微鏡 9 4 · 3で広視野でゥエーハを 視認してそのゥエーハ上の観察すべき位置を C C D 9 4 · 4を介してモニタ 9 4 . 5 に表示させ、 観察位置をおおよそ決定する。 この場合光学顕微鏡の倍率を低倍率から 高倍率に変化させていってもよい。
次に、 ステージ装置を電子光学装置 9 4 · 2の光軸と光学顕微鏡 9 4 . 3め光軸と の間隔 δ xに相当する距離だけ移動させて光学顕微鏡で予め決めたゥヱーハ上の被観 察点を電子光学装置の視野位置に移動させる。 この場合、 電子光学装置の軸線 ο 3
Ο 3と光学顕微鏡 9 4 · 3の光軸 0 4— 0 4との間の距離 (この実施の形態では X軸 線に沿った方向にのみ両者は位置ずれしているものとするが、 Υ軸方向及び Υ軸方向 に位置ずれしていてもよい) (5 Xは予めわかっているのでその値 <5 Xだけ移動させれ ば被観察点を視認位置に移動させることができる。 電子光学装置の視認位置への被観 察点の移動が完了した後、 電子光学系により高倍率で被観察点を S Ε Μ撮像して画像 を記憶したり又は C C D 9 4 · 6を介してモニタ 9 4 · 7に表示させる。
このようにして電子光学系による高倍率でゥエーハの観察点をモニタに表した表示 させた後、 公知の方法によりステージ装置の回転テーブルの回転中心に関するゥエー ハの回転方向の位置ずれ、 電子光学系の光軸 Ο 3— Ο 3に対するゥェ一八の回転方向 のずれ <3 Θを検出し、 また電子光学装置に関する所定のパターの X軸及び Υ軸方向の 位置ずれを検出する。 そしてその検出値並びに別途得られたゥエー八に設けられた検 査マークのデータ或いはゥエー八のパターンの形状等に関するデータに基づいてステ —ジ装置 9 4 · 8の動作を制御してゥェ一ハのァライメントを行う。 アラインメント の範囲は Χ Υ座標において ± 1 0画素以内である。 好ましくは士 5画素以内、 より好 ましくは ± 2画素以内である。
2 - 7 - 6 ) Ε。補正
Α . 概要
ゥエーハ上からのビームを T D Iで撮像するにあたり、 ゥエー八の位置は正確に位 置決めされている必要があるが、 実際にはゥェ一ハは X— Υステージ上に有り、 機械 的な位置決めがなされる事から、 その精度は数 1 0 0 から数 1 0 n m、 応答速度は 数秒から数 m sが現実的な値である。
一方、 デザインルールは数 1 0 n mに向かって微細化されており、 したがって、 線 幅が数 1 0 n mの配線や、 直径数 1 0 n mのビアを検査し、 それらの形状欠陥や電気 的欠陥の検出及び直径数 1 0 n mのゴミの検出が必要となる。 上記機械的な位置決め のみを頼りに撮像する事は応答時間と位置決め精度のオーダがデザインルール及び撮 像精度のオーダとかけ離れており正確な像を取得する上で著しい障害となる。
撮像のシーケンスはステップ (X軸) と定速度スキャン (y軸) の組み合わせで実 行され、 比較的動的な制御を行う (y軸) は、 制御残差が一般的に大きく像のボケを 防ぐ意味から、 より高度な制御を要求される。
これらの項目に鑑み、 高精度かつ応答 i生の優れた X— γステージを有する事は勿論 であるが、 更に、 ステージでまかなえない、 撮像部に対するビームの制御精度、 速度 を実現するため、 E O補正の機能を備えている。 基本的な方式は、 ステージ上のゥェ一八の位置はレーザ'干渉計システムと X— y の軸上に設置されたバーミラ一により、 サブ n mのオーダで数マイク口秒の時間遅れ 以内にその位置を正確に認識し、 自動制御ループにより機械的ァクチェ一タを駆動し 、 目標位置に時間的な遅れと残差を伴^ながら位置付けられる。 この制御によって位 置決めされた結果の制御残差は制御装置内部で発生される目標位置とレーザ干渉計シ ステムによって得られた現在位置との差分により求められる。 一方、 ビームは数々の 電極を経た後に、 補正用偏向電極を経由して撮像装置に導かれる。 補正用偏向電極は 、 ゥェ一ハ上の距離に換算しておおよそ数百^ m以下、 好ましくは百 i m以下、 より 好ましくは数十 m以下の偏向が可能な感度を有し、 これに電圧を印加する事で、 二 次元的に任意の位置にビームを偏向する事が可能である。 制御残差は演算装置で演算 を実行された後、 D /Aコンバータによって電圧に変換され、 残差を相殺する向きに 補正用偏向電極印加される。 以上の構成によりレーザ干渉計の分解能に近い補正を実 行する事が可能となる。
他の方式として X軸 (ステップ方向) は上記手段を用い、 Y軸 (スキャン方向) は 撮像素子である T D I の転送クロックを、 ステージの移動速度に同期させ転送する方 式も考案した。
図 9 5に E O補正の概念を示す。 目標位置への指示 9 5 · 1が出力され、 機械ァク チェ一夕を含む制御フィードパックループ 9 5 · 2に付与される。 この部分がステー ジに該当する。 駆動され、位置変位が出た結果は位置検出器 9 5 · 3によりフィード バックがかかり、 駆動系の位置変位は、 位置指示からの目標位置に収斂してゆくが、 制御系の利得が有限の為、 残差が発生する。 現在位置を位置出力系 9 5 · 4 (ここで はレーザ干渉計を用いる) によりサブ n mのオーダで検出し、 位置指示装置 9 5 · 1 との差分を残差検出器 9 5 · 5により検出し、 高圧高速増幅器 9 5 ■ 6を使用して偏 向電極 9 5 · 7に印加し、 残差を相殺する向きに電圧を印加し、 本来この機能なき場 合 'こは 9 5 · 8の如く発生する変動分を 9 5 · 9の様に減ずる機能を有する。
図 9 6に具体的な機器構成を提示する。 X Yステージ 9 6 · 1は X軸駆動用のサー ポモータ 9 6 · 2ならびにエンコーダ 9 6 · 3により X軸の駆動と大まかな位置、 及 ぴ速度の検出を行い円滑なサ一ポ特性を実現する。 本例では、 サーポモータを用いて いるが、 リニアモータ、 超音波モータ等のァクチェ一夕においても同様な構成が可能 である。 9 6 · 6 ' はこのモータを駆動する電力増幅器である。 X軸の精密な位置情 報はミラー 9 6 · 7、·干渉計 9 6 · 8、 レシーバ 9 6 · 9、 レーザ光源 9 6 . 1 0、 干渉計ボード 9 6 · 1 1の組み合わせによりサブ n mの分解能を有する位置検出機能 を実現している。
Y軸も直交する X軸と同様の機能であり、 サ一ポモー夕 9 6 · 1 2、 増幅器 9 6 - 1 3、 ミラー 9 6 · 14、 干渉形 9 · 5、 レシーバ 9 6 · 1 6より構成されている。 X— Yステージコントローラ 9 6 ' 1 7はこれらの機器を統括して制御する事によ り、 ステージの二次元的な動作を可能とし、 1 0 0 0 ; m〜 1 nmの精度、 好ましく は 1 0 0 nm~ 2 nmの精度、 より好ましくは 1 / π!〜 2 nmの精度、 更に好ましく は 0. 1 2 nmの精度を達成しており、 応答速度は数 1 00 0 m s以下、 好ま しくは数 1 0ms以下、 より好ましくは数 m s以下の性能を実現する。 一方、 X— Y ステージコントローラ 96 · 1 7からは X基準値、 Y基準値が EO補正 9 6 · 1 8 に出力され、 干渉形 96 · 1 1からの 3 2ビッ トバイナリー形式で出力される位置情 報を高速のバッフアポ一ド 9 6 · 1 9を経由して現在位置を E〇補正器 9 6 · 1 8は 受け取る。 内部で演算を行った後、 高圧高速増幅器 9 6 · 2 0、 9 6 · 2 1により電 圧増幅した後、 偏向電極 9 6 · 2 2に印加し、 残差分を補正すべく偏向を行い、 位置 ズレを極少にした画像情報電子ビームを TD I (撮像素子) 9 6 · 2 3へ導く。 96 • 24は後述するが、 TD I 9 6 · 23の転送速度を決定するタイミング信号を発生 する部分である。
次に本装置におけるスキャン方向の目標位置の発生機能について述べる。 ΕΟ補正 は目標位置と実際の位置の差分を求め、 差分を相殺するように電子ビームを偏向して 位置の補正を行う機能であるが、 補正範囲はおおよそ数十 πιの範囲に限定される。 これは電極感度、 高圧高速増幅器のダイナミックレンジ、 ノイズレベル、 D/Aコン パー夕のビッ ト数等により決定されている。 ところが、 スキャン時のステージの実際 の位置は、 制御ループのゲインが有限であることに起因して停止時と比較し、 目標位 置に対し、 大幅なズレを生じる。 2 0 mm/sで走行した場合、 目標位置との乖離は 約 40 0 m程度となり、 そのまま差分を演算して出力しても補正範囲を大幅に超越 して系が飽和してしまう。
この現象を防ぐ為に本装置では次のような手段を用い、 この問題を回避している。 図' 9 7にこの概念を図示する。
9 7 · 1はステージの目標位置でありスキャン時は等速運動である為、 時間ととも に直線的に増加する。 一方、 実際の制御された結果のステージの機械的位置 9 7 · 2 は数ミクロンの機械的振動を含み約 40 0 μι 程度の定常偏差 97 · 3を有する。 こ の定常偏差を除去する手段としては、 フィルタを用いて、 実走行時の位置情報を平滑 化する事が考えられるが、 この場合、 フィルタの時定数により'必ず、 遅れが生じ、 リ プルを無視出来る程の時定数を持たせると、 測定開始エリアが大幅に限定され、 全体 の計測時間の大幅な増加につながる欠点を有していた。 そこで本案では、 この定常偏 差を検出するために、 少なく とも前回にスキャンした時点での現在位置と目標位置と の差分を本実施の形態においては少なくとも 2の 1 6乗程度積算し、 これをサンプル 回数で除する事で、 目標位置と現在位置との定常偏差の平均値 9 7 · 4を求め、 今回 のスキャン時には目標位置 9 7 · 5から平均値 9 7 · 4を引いて合成された目標位置 9 7 - 6として演算を行い、 図 9 8の 9 8 · 1 に図示するような、 ダイナミックレン ジ範囲内で EO補正が可能な構成を実現した。 なお、 積算数は、 目標精度が得られれ ばよいので、 この値に限られるものではなく、 より少ない積算段数でもよい。
図 9 9にブロック図を図示する。 目標値 9 9 · 1は現在位置 9 9 · 2と引き算され 、 9 9 · 3のブロック内で前期の積算演算をスキャン時に実行する。 一方、 9 9 - 3 には前回同様にして求めた定常偏差の平均値が 9 9 · 4より出力されている。 引き算 器 9 9 · 5により 9 9 · 1から 9 9 ' 4を引き合成目標位置 9 9 · 6 とし、 この値と 干渉計からの現在位置 9 9 · 7とを引き算して、 応答の遅れやリプルのない EO補正 データを実現している。
図 1 0 0に図 9 9における 9 9 ■ 3のブロック差分平均検出の構造について図示す る。 1 0 0 · 1、 1 0 0 · 2にて積算を実行し、 累積カウンタ 1 0 0 · 3の値により データセレクタ 1 0 0 · 4のヮ一ドを選択し割り算相等を実行し、 定常偏差の平均値 を出力する事を実現している。
図 1 0 1に TD I の転送クロックのアイデアについて記述する。 TD I は光電素子 をスキャン方向に多段に接続し、 各撮像素子の電荷を後続する素子に伝送する事で感 度の向上とランダムノイズの低減を目的とした撮像素子であるが、 図 1 0 1に示す如 く、 ステージ上の撮像対象と、 TD I上の画素が一対一に対応している事が重要でこ の関係が崩れると、 像のボケを生じる。 同期関係にある場合を 1— 1、 1 — 2、 2— 1、 2— 2に示し、 同期がずれた場合を 3— 1、 3— 2、 4一 1、 4一 2に示す。 Τ D I の転送は外部からのパルスに同期して次段への転送が実行されるため、 ステ一ジ の移動が 1 画素分移動したところで、 転送パルスを発生させればこれが実現できる しかしながら、 現在主流のレーザ干渉計の位置情報出力は 3 2ビッ トのバイナリ一 出力を 1 0MH zの自己の内部クロックに同期して出力する形式である為、 そのまま では容易に実現できない。 また、 分解能を数十 nmとすると、 転送パルスの精度も重 要となり、 高速高精度なデジタル処理を必要とする。 本件で考案した方式を図 1 0 2 に図示する。 同図において、 干渉計の位置情報及び 1 0 MH zの同期信号はバッファ 1 0 2 · 1より本回路に導入される。 1 0 MH zクロック 1 0'2 · 2は P L L 1 0 2 • 3により同期した 1 0 0MH zのクロックを発生し、 各回路に供給する。 この同期 信号 1 0 2 · 4の 1 0ステート毎に演算処理を実行する方式をとつている。 1 0 2 . 5に今回の位置情報が保持され、 1 0 2 · 6に前回の値が保持されている。 この両者 の差分を 1 0 2 · 7で演算し、 10 ステート毎の位置の差分を 1 0 2 · 8から出力す る。 この差分値をパラレルシリアルコンパ一タ 1 0 2 · 9にパラレル値としてロード し、 1 0 0 MH zのクロックに同期して差分を 1 0 2 ' 1 0よりシリアルパルスの個 数として出力する。 1 0 2 . 1 1 も同様の機能であるが、 1 0 2 . 1 2、 1 0 2 - 1 3、 と組み合わせて、 1 0ステート毎に休みなく、 動作が可能な様構成してある。 結 果として 1 0 MH z毎に位置差分に応じたシリアルパルスが和回路 1 0 2 . 1 0より カウンタ 1 0 2 · 1 4に出力される。 レーザ干渉計の分解能を 0. 6 nm、 1 画素を 4 8 n m
とすると比較器 1 0 2 ■ 1 5を 8 0にセッ トしておけば、 カウンタが 1 画素相等に なったタイミングで 1 9のパルスが出力される。 この信号を TD I の外部からの転送 パルスとする事で、 ステージ速度の変動があった場合でもそれに同期した動作を可能 とし、 ボケ、 ブレの防止を実現できた。
図 1 0 3にタイミングチャートを図示する。 1は干渉計座標 (位置) 情報で数字は 位置を例として示す。 2は P L Lにより作成された 1 0 0 MH Zの同期信号である。 バンク Aはパラレルシリアルコンバータ 1 0 2 · 9の動作タイミングで、 ノ ンク Bは 同じく 1 0 2 · 1 1のそれである。 位置情報を記憶するラッチタイミング 7の後、 差 分演算タイミング 8を実行しパラレルシリアルコンバータ 1 0 2 . 9に値をロードし 次の 1 0 Mクロック 3の 1サイクルの時間を利用して 4の出力を実行する。 バンク B は 1 0 Mクロック 3の 1サイクル遅れたタイミングで同様の動作を実行し無理なく 6 のパルス発生を実現している。
2 - 7 - 7 ) 画像比較方法
図 1 0 4は、 本発明の変形例による欠陥検査装置の概略構成を示す。 この欠陥検査 装置は、 上述した写像投影型の検査装置で、 一次電子線を放出する電子銃 1 0 4 - 1 、 放出された一次電子線を偏向、 成形させる静電レンズ 1 04 · 2、 成形された一次 電子線を電場 E及び磁場 Bの直交する場で半導体ゥェ一八 Wに略垂直に当たるように 偏向させる E XB偏向器 1 0 4 · 3、 偏向された一次電子線をゥエーハ W上に結像さ せる対物レンズ 1 04 · 4、 真空に排気可能な図示しない試料室内に設けられ、 ゥェ 一八 Wを載置した状態で水平面内を移動可能なステージ 1 0 4 . 5、 一次電子線の照 射によりゥェ一ハ Wから放出された二次電子線及び//又は反射電子線を所定の倍率で 写像投影して結像させる写像投影系の静電レンズ 1 0 4 · 6、 結像された像をゥェ一 八の二次電子画像として検出する検出器 1 0 4 · 7、 及び、 装置全体を制御すると共 に、 検出器 1 0 4 · 7により検出された二次電子画像に基づいてゥェ一ハ Wの欠陥を 検出する処理を実行する制御部 1 0 4 · 8を含んで構成される。 なお、 上記二次電子 画像には、 二次電子だけでなく散乱電子や反射電子による寄与も含まれているが、 こ こでは二次電子画像と称することにする。 また、 対物レンズ 1 04 · 4とゥエーハ Wとの間には、 一次電子線のゥエーハ Wへ の入射角度を電場等によって偏向させる偏向電極 1 04 · 9が介在されている。 この 偏向電極 1 04 · 9には、 該偏向電極の電場を制御する偏向制御器 1 04 · 1 0が接 続されている。 この偏向制御器 1 04 · 1 0は、 制御部 1 04 * 8に接続され、 制御 部 1 0 4 · 8からの指令に応じた電場が偏向電極 1 04 · 9で生成されるように該偏 向電極を制御する。 なお、 偏向制御器 1 04 · 1 0は、 偏向電極 1 04 * 9に与える 電圧を制御する電圧制御装置として構成することができる。 .
検出器 1 04 · 7は、 静電レンズ 1 04 · 6によって結像された二次電子画像を後 処理可能な信号に変換することができる限り、 任意の構成とすることができる。 例え ば、 図 62にその詳細を示すように、 検出器 1 04 · 7は、 マイクロチヤンネルプレ ート 6 2 ■ 1と、 蛍光面 62 · 2と、 リ レー光学系 62 · 3と、 多数の C C D素子か らなる撮像センサ 62 · 4とを含んで構成することができる。 マイクロチャンネルプ レート 62 · 1は、 プレート内に多数のチャンネルを備えており、 静電レンズ 1 04 • 6によって結像された二次電子が該チャンネル内を通過する間に、 更に多数の電子 を生成させる。 即ち、 二次電子を増幅させる。 蛍光面 62 · 2は、 増幅された二次電 子によって蛍光を発することにより二次電子を光に変換する。 リレーレンズ 62 · 3 がこの蛍光を C C D撮像センサ 62 · 4に導き、 C C D撮像センサ 6 2 · 4は、 ゥェ ーハ W表面上の二次電子の強度分布を素子毎の電気信号即ちデジタル画像デ一夕に変 換して制御部 1 04 · 8に出力する。 ここで、 マイクロチャンネルプレート 62 . 1 を省略してもよく、 この場合、 マイクロチャンネルプレート 6 2 · 1から蛍光面の間 での拡がりによるぼけを低減することができる。 例えば、 MTFで0. 2の像を 0. 3 ~ 0. 6にアップできる。
制御部 1 04 · 8は、 図 1 04に例示されたように、 汎用的なパーソナルコンビュ 一夕等から構成することができる。 このコンピュータは、 所定のプログラムに従って 各種制御、 演算処理を実行する制御部本体 1 04 · 1 1と、 本体 1 04 · 1 1の処理 結果を表示する CRT 1 04 · 1 2と、 オペレータが命令を入力するためのキ一ポ一 ドゃマウス等の入力部 1 04 · 1 3と、 を備える、 勿論、 欠陥検査装置専用のハ一ド ウェア、 或いは、 ワークステ一ションなどから制御部 1 04 · 8を構成してもよい。 制御部本体 1 04 · 1 1は、 図示しない CP U、 RAM、 ROM、 ハードディスク 、 ビデオ基板等の各種制御基板等から構成される。 RAM若しくはハードディスクな どのメモリ上には、 検出器 1 04 · 7から受信した電気信号即ちゥエーハ Wの二次電 子画像のデジタル画像データを記憶するための二次電子画像記憶領域 1 04 · 1 4が 割り当てられている。 また、 ハードディスク上には、 予め欠陥の存在しないゥェ一ハ の基準画像データを記憶しておく基準画像記憶部 1 04 · 1 5が存在する。 更に、 ハ ードディスク上には、 欠陥検査装置全体を制御する制御プログラムの他、 記憶領域 1 04 · 1 4から二次電子画像デ一夕を読み出し、 該画像データに基づき所定のァルゴ リズムに従ってゥェ一ハ Wの欠陥を自動的に検出する欠陥検出プログラム 1 0 4 · 1 6が格納されている。 この欠陥検出プログラム 1 04 · 1 6は、 詳細を更に後述する ように、 基準画像記憶部 1 04 · 1 5から読み出した基準画像と、 実際に検出された 二次電子線画像とをマッチングして、 欠陥部分を自動的に検出し、 欠陥有りと判定し ■ た場合、 オペレータに警告表示する機能を有する。 こ'のとき、 CRT 1 04 . 1 2の 表示部に二次電子画像 1 04 * 1 7を表示するようにしてもよい。
次に、 該実施の形態による欠陥検査装置の作用を図 1 0 5乃至図 1 07のフローチ ャ一トを例にして説明する。 先ず、 図 1 0 5のメインルーチンの流れに示すように、 検査対象となるゥェ一ハ Wをステージ 1 04 · 5の上にセッ トする (ステップ 1 0 5 - 1 )。 これは、 前述したようにローダ一に多数格納されたゥェ一ハ全てを一枚毎に 自動的にステージ 1 04 · 5にセッ 卜する形態であってもよい。
次に、 ゥェ一ハ W表面の XY平面上で部分的に重なり合いながら互いから変位され た複数の被検査領域の画像を各々取得する (ステップ 1 0 5 · 2)。 これら画像取得 すべき複数の被検査領域とは、 図 1 08に示すように、 例えばゥエーハ検査表面 1 0
8 · 1上に、 参照番号 1 0 8 · 2 a、 1 08 ' 2 b 1 0 8 · 2 k ... で示す 矩形領域のことであり、 これらは、 ゥェ一ハの検査パターン 1 08 · 3の回りで、 部 分的に重なり合いながら位置がずらされていることがわかる。 例えば、 図 1 0 9に示 ' されたように、 1 6個の被検査領域の画像 1 0 9 · 1 (被検査画像) が取得される。 ここで、 図 1 0 9に示す画像は、 矩形の桝目が 1画素 (或いは、 画素より大きいプロ ック単位でもよい) に相当し、 このうち黒塗りの桝目がゥェ一ハ W上のパターンの画 像部分に相当する。 このステップ 1 0 5 · 2の詳細は図 1 0 6のフローチャートで後 述する。
^に、 ステップ 1 0 5 · 2で取得した複数の被検査領域の画像データを記憶部 1 0
4 · 1 5に記憶された基準画像データと、 各々比較照合し (図 1 0 5のステップ 1 0
5 · 3)、 上記複数の被検査領域により網羅されるゥエーハ検査面に欠陥が有るか否 かが判定される。 この工程では、 いわゆる画像データ同士のマッチング処理を実行す るが、 その詳細については図 1 07のフローチヤ一トで後述する。
ステップ 1 0 5 · 3の比較結果より、 上記複数の被検査領域'により網羅されるゥェ ーハ検査面に欠陥が有ると判定された場合 (ステップ 1 0 5 · 4肯定判定)、 ォペレ —夕に欠陥の存在を警告する (ステップ' 1 0 5 · 5)。 警告の方法として、 例えば、 CRT 1 04 · 1 2の表示部に欠陥の存在を知らせるメッセージを表示したり、 これ と同時に欠陥の存在するパターンの拡大画像 1 04 * 1 7を表示してもよい。 このよ うな欠陥ゥエーハを直ちに試料室から取り出し、 欠陥の無いゥエー八とは別の保管場 所に格納してもよい (ステップ 1 0 5 · 6 )。
ステップ 1 0 5 · 5の比較処理の結果、 ゥエーハ Wに欠陥が無いと判定された場合 (ステップ 1 0 5 · 4否定判定)、 現在検査対象となっているゥヱ一八 Wについて、 検査すべき領域が未だ残っているか否かが判定される (ステップ 1 0 5 · 7 )。 検査 すべき領域が残っている場合 (ステップ 1 0 5 · 7肯定判定)、 ステージ 1 0 4 · 5 を駆動し、 これから検査すべき他の領域が一次電子線の照射領域内に入るようにゥ工 ーハ Wを移動させる (ステップ 1 0 5 · 8 )。 その後、 ステップ 1 0 5 . 2に戻って 当該他の検査領域に関して同様の処理を繰り返す。
検査すべき領域が残っていない場合 (ステップ 1 0 5 . 7否定判定)、 或いは、 欠 陥ゥエーハの抜き取り工程 (ステップ 1 0 5 · 6 ) の後、 現在検査対象となっている ゥェ一八 Wが、 最終のゥェ一ハであるか否か、 即ち図示しないローダーに未検査のゥ エーハが残っていないか否かが判定される (ステップ 1 0 5 · 9 )。 最終のゥエーハ でない場合 (ステップ 1 0 5 · 9否定判定)、 検査済みゥエーハを所定の格納箇所に 保管し、 その代わりに新しい未検査のゥェ一ハをステージ 1 0 4 . 5にセッ トする ( ステップ 1 0 5 · 1 0 )。 その後、 ステップ 1 0 5 · 2に戻って当該ゥェ一ハに関し て同様の処理を繰り返す。 最終のゥエー八であった場合 (ステップ 1 0 5 · 9肯定判 定)、 検査済みゥエーハを所定の格納箇所に保管し、 全工程を終了する。 ゥヱ一ハは カセッ ト毎あるいは各ゥエー八に識別番号が決められており、 検査しているゥエーハ は認識され、 監視されており、 例えばゥエー八の重複検査などが防止される。
次に、 ステップ 1 0 5 · 2の処理の流れを図 1 0 6のフローチャートに従って説明 する。 同図において、 先ず、 画像番号 i を初期値 1 にセッ トする (ステップ 1 0 6 . 1 )。 この画像番号は、 複数の被検査領域画像の各々に順次付与された識別番号であ る。 次に、 セッ トされた画像番号 i の被検査領域について画像位置 (Χ Υ ;) を決 定する (ステップ 1 0 6 · 2 )。 この画像位置は、 被検査領域を画定させるための該 頜域内の特定位置、 例えば該頜域内の中心位置として定義される。 現時点では、 i = 1であるから画像位置 (X 1 ; Y j) となり、 これは例えば図 1 0 8に示された被検査 領域 1 0 8 · 2 aの中心位置に該当する。 全ての被検査画像領域の画像位置は予め定 められており、 例えば制御部 1 0 4 · 8のハードディスク上に記憶され、 ステップ 1 0 6 · 2で読み出される。
次に、 図 1 0 4の偏向電極 1 0 4 · 9を通過する一次電子線がステツプ 1 0 6 · 2 で決定された画像位置 (X i, Y の被^査画像領域に照射されるように、 偏向制御 器 1 0 4 · 1 0が偏向電極 1 0 4 · 9に電位を加える (図 1 0 6のステツプ 1 0 6 . 3 )。 次に、 電子銃 1 0 4 · 1から一次電子線を放出し、 静電'レンズ 1 0 4 · 2、 E X B 偏向器 1 0 4 · 3、 対物レンズ 1 0 4 · 4及び偏向電極 1 0 4 · 9を通して、 セッ ト されたゥエーハ W表面上に照射する (ステップ 1 0 6 · 4 )。 このとき、 一次電子線 は、 偏向電極 1 0 4 * 9の作り出す電場によって偏向され、 ゥエーハ検査表面 1 0 8 · 1上の画像位置 (Xi, Yi) の被検査画像領域全体に亘つて照射される。 画像番号 i = 1の場合、 被検査領域は 1 0 8 · 2 aとなる。
一次電子線が照射された被検査領域からは二次電子及び/又は反射電子 (以下、 「 二次電子」 のみ称する) が放出される。 そこで、 発生した二次電子線を拡大投影系の 静電レンズ 1 0 4 · 6により所定の倍率で検出器 1 0 4 · 7に結像させる。 検出器 1 0 4 · 7は、 結像された二次電子線を検出し、 検出素子毎の電気信号即ちデジタル画 像デ一夕に変換出力する (ステップ 1 0 6 · 5 )。 そして、 検出した画像番号 i のデ ジタル画像データを二次電子画像記憶領域 1 0 4 · 1 4に転送する (ステップ 1 0 6 • 6)。
次に、 画像番号 i を 1だけィンクリメントし (ステップ 1 0 6 · 7 )、 インクリメ ントした画像番号 ( i + 1 ) が一定値 i MAXを越えているか否かを判定する (ステツ プ 1 0 6 · 8 )。 この i MAXは、 取得すべき被検査画像の数であり、 図 1 0 9の上述 した例では、 「 1 6」 である。
画像番号 i が一定値 i MAXを越えていない場合 (ステップ 1 0 6 · 8否定判定)、 再ぴステップ 1 0 6 ■ 2に戻り、 インクリメントした画像番号 ( i + 1 ) について画 像位置 (Xi+1, Yi+1) を再び決定する。 この画像位置は、 前のル一チンで決定した画 像位置 (Xi, Y から X方向及ぴノ又は Y方向に所定距離 (Δ Χ Δ Yj) だけ移動 させた位置である。 図 1 0 8の例では、 被検査領域は、 (Χ^ Υ,) から Υ方向にの み移動した位置 (Χ2, Υ2) となり、 破線で示した矩形領域 1 0 8 · 2 bとなる。 な お、 ( Δ Xi, △ Yi) ( i = 1 , 2 , .. · i ΜΑΧ) の値は、 ゥェ一ハ検査面 1 0 8 ' 1の パ 'ターン 1 0 8 · 3が検出器 1 0 4 · 7の視野から実際に経験的にどれだけずれるか というデータと、 被検査領域の数及び面積から適宜定めておく ことができる。
そして、 ステップ 1 0 6 · 2乃至 1 0 6 · 7の処理を i MAX個の被検査領域につい て順次繰り返し実行する。 これらの被検査領域は、 図 1 0 8に示すように、 k回移動 した画像位置 (Xk, Yk) では被検査画像領域 1 0 8 · 2 kとなるように、 ゥエーハ の検査面 1 0 8 · 1上で、 部分的に重なり合いながら位置がずらされていく。 このよ うにして、 図 1 0 9に例示した 1 6個の被検査画像データが画像記憶領域 1 0 4 · 1 4に取得される。 取得した複数の被検査'領域の画像 1 0 9 ■ 1 (被検査画像) は、 図 1 0 9に例示されたように、 ゥエーハ検査面 1 0 8 · 1上のパターン 1 0 8 · 3の画 像 1 0 9 · 2を部分的若しくは完全に取り込んでいることがわかる。 インクリメントした画像番号 iが i MAXを越えた場合 (ステップ 1 0 6 · 8肯定判 定)、 このサブルーチンをリターンしてメインルーチンの比較工程に移行する。
なお、 ステップ 1 0 6 · 6でメモリ転送された画像データは、 検出器 1 0 4 · 7に より検出された各画素毎の二次電子の強度値 (いわゆるべ夕データ) からなるが、 後 段の比較工程 (ステップ 1 0 5 · 3 ) で基準画像とマッチング演算を行うため、 様々 な演算処理を施した状態で記憶領域 1 0 4 · 1 4に格納しておくことができる。 この ような演算処理には、 例えば、 画像データのサイズ及び/又は濃度を基竿画像データ のサイズ及び Z又は濃度に一致させるための正規化処理や、 所定画素数以下の孤立し た画素群をノイズとして除去する処理などがある。 更には、 単純なベタデータではな く、 高精細パターンの検出精度を低下させない範囲で検出パターンの特徴を抽出した 特徵マトリクスにデータ圧縮変換しておいてもよい。 このような特徴マトリクスとし て、 例えば、 M X N画素からなる 2次元の被検査領域を、 m X n (mく M , n < N ) プロックに分割し、 各ブロックに含まれる画素の二次電子強度値の総和 (若しくはこ の総和値を被検査領域全体の総画素数で割った正規化値) を、 各マトリ ックス成分と してなる、 m X n特徴マトリ ックスなどがある。 この場合、 基準画像データもこれと 同じ表現で記憶しておく。 本発明の実施の形態でいう画像データとは、 単なるべタデ —夕は勿論のこと、 このように任意のアルゴリズムで特徴抽出された画像データを包 含する。
次に、 ステップ 1 0 5 · 3の処理の流れを図 1 0 7のフローチャートに従って説明 する。 先ず、 制御部 1 0 4 · 8の C P Uは、 基準画像記憶部 1 0 4 · 1 5 (図 1 0 4 ) から基準画像データを R A M等のワーキングメモリ上に読み出す (ステップ 1 0 7 • 1 )。 この基準画像は、 図 1 0 9では参照番号 1 0 9 · 3で表される。 そして、 画 像番号 i を 1 にリセッ トし (ステップ 1 0 7 · 2 )、 記憶領域 1 0 4 . 1 4から画像 番号 i の被検査画像データをヮ一キングメモリ上に読み出す (ステップ 1 0 7 · 3 ) 。
次に、 読み出した基準画像データと、 画像 i のデータとをマッチングして、 両者間 の距離値 D iを算出する (ステップ 1 0 7 · 4 )。 この距離値 D iは、 基準画像と、 被 検査画像 i との間の類似度を表し、 距離値が大きいほど基準画像と被検査画像との差 異が大きいことを表している。 この距離値 D i として類似度を表す量であれば任意の ものを採用することができる。 例えば、 画像デ一夕が M X N画素からなる場合、 各画 素の二次電子強度 (又は特徴量) を M X N次元空間の各位置ベク トル成分とみなし、 この M X N次元空間上における基準画像べク トル及び画像 iべク トル間のユークリ ッ ド距離又は相関係数を演算してもよい。 勿論、 ユークリッ ド距離以外の距離、 例えば いわゆる市街地距離等を演算することもできる。 更には、 画素数が大きい場合、 演算 量が膨大になるので、 上記したように m X n特徴べク トルで表した画像データ同士の 距離値を演算してもよい。
次に、 算出した距離値 D iが所定の閾値 T hより小さいか否かを判定する (ステツ プ 1 0 7 · 5 )。 この閾値 T hは、 基準画像と被検査画舉との間の十分な一致を判定 する際の基準として実験的に求められる。 距離値 D iが所定の閾値 T hより小さい場 合 (ステップ 1 0 7 · 5肯定判定)、 当該ゥェ一ハ Wの当該検査面 1 0 3 4には 「欠 陥無し」 と判定し (ステップ 1 0 7 · 6 )、 本サブルーチンをリターンする。 即ち、 被検査画像のうち 1つでも基準画像と略一致したものがあれば、 「欠陥無し」 と判定 する。 このように全ての被検査画像とのマッチングを行う必要が無いので、 高速判定 が可能となる。 図 1 0 9の例の場合、 3行 3列目の被検査画像が、 基準画像に対して 位置ずれが無く略一致していることがわかる。
距離値 1^が所定の閾値 T h以上の場合. (ステップ 1 0 7 ■ 5否定判定)、 画像番号 i を 1だけインクリメントし (ステップ 1 0 7 · 7 )、 インクリメントした画像番号 ( i + 1 ) が一定値 i MAXを越えているか否かを判定する (ステツプ 1 0 7 · 8 )。 画像番号 iが一定値 i MAX を越えていない場合 (ステップ 1 0 7 · 8否定判定)、 再びステツプ 1 0 7 · 3に戻り、 インクリメントした画像番号 ( i + 1 ) について画 像データを読み出し、 同様の処理を繰り返す。
画像番号 iが一定値 i MAX を越えた場合 (ステップ 1 0 7 · 8肯定判定)、 当該ゥ エーハ Wの当該検査面 1 0 3 4には 「欠陥有り」 と判定し (ステツプ 1 0 7 · 9 )、 本サブルーチンをリターンする。 即ち、 被検査画像の全てが基準画像と略一致してい なければ、 「欠陥有り」 と判定する。
以上がステージ装置の各実施の形態であるが、 本発明は、 上記例にのみ限定される ものではなく本発明の要旨の範囲内で任意好適に変更可能である。
例えば、 被検査試料として半導体ゥエーハ Wを例に掲げたが、 本発明の被検査試料 はこれに限定されず、 電子線によって欠陥を検出することができる任意のものが選択 可能である。 例えばゥェ一八への露光用パターンが形成されたマスク等を検査対象と することもできる。
また、 本発明は、 電子以外の荷電粒子線を用いて欠陥検出を行う装置にも適用でき るばかりでなく、 試料の欠陥を検査可能な画像を取得できる任意の装置にも適用可能 である。
更に、 偏向電極 1 0 4 · 9は、 対物レンズ 1 0 4 ■ 4とゥエーハ Wとの間のみなら ず、 一次電子線の照射領域を変更できる限り任意の位置に置く ことができる。 例えば 、 £ 3偏向器 1 0 4 · 3 と対物レンズ 1 0 4 · 4との間、 電子銃 1 0 4 · 1 と E X B偏向器 1 0 4 · 3との間などがある。 更には、 E X B価向器 1 0 4 · 3が生成する 場を制御することによって、 その偏向方向を制御するようにしてもよい。 即ち、 E X B偏向器 1 0 4 * 3に偏向電極 1 0 4 · 9の機能を兼用させてもよい。
また、 上記実施の形態では、 画像デ一夕同士のマッチングを行う際に、 画素間のマ ツチング及び特徴べク トル間のマッチングのいずれかとしたが、 両者を組み合わせる こともできる。 例えば、 最初、 演算量の少ない特徴ベク トルで高速マッチングを行い 、 その結果、 類似度の高い被検査画像については、 より詳細な画素データでマツチン ■ グを行うという 2段階の処理によって、 高速化と精度とを両立させることができる。
また、 本発明の実施の形態では、 被検査画像の位置ずれを一次電子線の照射領域の 位置ずらしのみで対応したが、 マッチング処理の前若しくはその間で画像データ上で 最適マッチング領域を検索する処理 (例えば相関係数の高い領域同士を検出してマツ チングさせる) と本発明とを組み合わせることもできる。 これによれば、 被検査画像 の大きな位置ずれを本発明による一次電子線の照射領域の位置ずらしで対応すると共 に、 比較的小さな位置ずれを後段のデジタル画像処理で吸収することができるので、 欠陥検出の精度を向上させることができる。
更に、 欠陥検査用の電子線装置として、 図 1 0 4の構成を示したが、 電子光学系等 は任意好適に変更可能である。 例えば、 図 1 0 4に示された欠陥検査装置の電子線照 射手段 ( 1 0 4 · 1 、 1 0 4 - 2 , 1 0 4 · 3 ) は、 ゥエーハ Wの表面に対して垂直 上方から一次電子線を入射させる形式であるが、 Ε Χ Β偏向器 1 0 4 · 3を省略し、 一次電子線をゥエーハ Wの表面に斜めに入射させるようにしてもよい。
また、 図 1 0 5のフローチャートの流れも、 これに限定されない。 例えば、 ステツ プ 1 0 5 · 4で欠陥有りと判定された試料について、 他の領域の欠陥検査は行わない ことにしたが、 全領域を網羅して欠陥を検出するように処理の流れを変更してもよい 。 また、 一次電子線の照射領域を拡大し 1回の照射で試料のほぼ全検査領域をカバー できれば、 ステップ 1 0 5 · 7及ぴステップ 1 0 5 · 8を省略することができる。
上詳細に説明したように本実施の形態の欠陥検査装置によれば、 試料上で部分的 に重なり合いながら互いから変位された複数の被検査領域の画像を各々取得し、 これ らの被検査領域の画像と基準画像とを比較することによって、 試料の欠陥を検査する ようにしたので、 被検査画像と基準画像との位置ずれによる欠陥検査精度の低下を防 止できる、 という優れた効果が得られる。
更に本発明のデバイス製造方法によれば、 上記のような欠陥'検査装置を用いて試料 の欠陥検査を行うようにしたので、 製品の歩留まりの向上及び欠陥製品の出荷防止が 図れる、 という優れた効果が得られる。 '
' 2— 7— 8 ) デバイス製造方法
次に、 図 1 1 0及び図 1 1 1 を参照して本発明による半導体デバイスの製造方法の 実施の形態を説明する。 図 1 1 0は、 本発明による半導体デバイスの製造方法の一実 施の形態を示すフローチヤ一トである。 この実施の形態の製造工程は以下の主工程を 含んでいる。
( 1) ゥエーハを製造するゥェ一八製造工程 (又はゥェ一八を準備するゥエー八準備 工程) (ステップ 1 1 0 · 1 )
(2) 露光に使用するマスクを'製造するマスク製造工程 (又はマスクを準備するマス ク準備工程) (ステップ 1 1 0 · 2)
(3) ゥエー八に必要な加工処理を行うゥェ一ハプロセッシング工程 (ステップ 1 1 0 · 3 )
(4) ゥエーハ上に形成されたチップを 1個ずつ切り出し、 動作可能にならしめるチ ップ組立工程 (ステップ 1 1 1 0 · 4)
(5) できたチップを検査するチップ検査工程 (ステップ 1 1 0 · 5)。
なお、 上記のそれぞれの主工程は更に幾つかのサブ工程からなっている。 これらの 主工程中の中で、 半導体デバイスの性能に決定的な影響を及ぼすのが (3) のゥェ一 ハプロセッシング工程である。 この工程では、 設計された回路パターンをゥエーハ上 に順次積層し、 メモリや MPUとして動作するチップを多数形成する。 このゥエーハ プロセッシング工程は以下の各工程を含んでいる。
(A) 絶縁層となる誘電体薄膜や配線部、 或いは電極部を形成する金属薄膜等を形成 する薄膜形成工程 (CVDやスパッタリング等を用いる)
(B) この薄膜層やゥェ一ハ基板を酸化する酸化工程
(C) 薄膜層やゥェ一ハ基板等を選択的に加工するためにマスク (レチクル) を用い てレジストパターンを形成するリソグラフィ一工程
(D) レジストパターンに従って薄膜層や基板を加工するエッチング工程 (例えばド ライエッチング技術を用いる)
(E) イオン ·不純物注入拡散工程
(F) レジスト剥離工程
(G) 加工されたゥエーハを検査する工程
なお、 ゥェ一ハプロセッシング工程は必要な層数だけ繰り返し行い、 設計通り動作す る半導体デバイスを製造する。
図 1 1 1は、 図 1 1 0のゥェ一ハプロセッシング工程の中核'をなすリソグラフィ一 工程を示すフローチャートである。 このリソグラフィー工程は以下の各工程を含む。
(a) 前段の工程で回路パターンが形成'されたゥエーハ上にレジストをコートするレ ジスト塗布工程 (ステップ 1 1 1 · 1)
(b) レジス トを露光する工程 (ステップ 1 1 1 · 2) ( c ) 露光されたレジストを現像してレジス トのパターンを得る現像工程 (ステップ
1 1 1 - 3 )
( d ) 現像されたレジストパターンを安定化するためのァニール工程 (ステップ 1 1 1 - 4 )
上記の半導体デバイス製造工程、 ゥエーハプロセッシング工程、 リソグラフィーェ 程については、 周知のものでありこれ以上の説明を要しないであろう。
上記 (G ) の検査工程に本発明に係る欠陥検査方法'、 欠陥検査装置を用いると、 微 細なパターンを有する半導体デバイスでも、 スループッ ト良く検査できるので、 全数 検査も可能となり、 製品の歩留まりの向上、 欠陥製品の出荷防止が可能となる。
2 - 7 - 9 ) 検査
上記 (G ) の検査工程における検査手順について図 1 1 2を用いて説明する。 一般 に電子線を用いた欠陥検査装置は高価であり、 またスループッ トも他のプロセス装置 に比べて低いために、 現状では最も検査が必要と考えられている重要な工程 (例えば エッチング、 成膜、 又は C M P (化学機械研磨) 平坦化処理等) の後に、 また、 配線 工程ではより微細な配線工程部分、 すなわち配線工程の 1から 2工程、 及び前工程の ゲート配線工程等に利用されている。 特に、 デザイン · ルールが 1 0 0 n m以下、 即 ち, 1 0 0 n m以下の線幅を有する配線や直径 1 0 0 n m以下のビア · ホール等の形 状欠陥や電気的欠陥を見つけ、 また、 プロセスにフィードバックすることが重要であ る。
検査されるゥエーハは大気搬送系及び真空搬送系を通して、' 超精密 X— Yステージ 上に位置合わせ後、 静電チャック機構等により固定され、 以後、 (図 1 1 2 ) の手順 に従って欠陥検査等が行われる。 はじめに光学顕微鏡により、 必要に応じて各ダイの 位置確認や、 各場所の高さ検出が行われ記憶される。 光学顕微鏡はこの他に欠陥等の 見たい所の光学顕微鏡像を取得し、 電子線像との比較等にも使用される。 次に電子光 学系の条件設定を行い、 電子線像を用いて、 光学顕微鏡で設定された情報の修正を行 レ 精度を向上させる。
次いでゥエーハの種類 (どの工程後か、 ゥエーハのサイズは 2 0 0 mmか、 3 0 0 mmか等) に応じたレシピの情報を装置に入力し、 以下検査場所の指定、 電子光学系 の設定、 検査条件の設定等を行なった後、 画像取得を行ないながら通常はリアルタイ ムで欠陥検査を行なう。 セル同士の比較、 ダイ比較等が、 アル'ゴリズムを備えた高速 の情報処理システムにより検査が行なわれ、 必要に応じて C R T等に結果を出力や、 メモリ一へ記憶を行なう。
欠陥にはパーティクル欠陥、 形状異常 (パ夕一ン欠陥)、 及び電気的 (配線又はビ ァ等の断線及び導通不良等) 欠陥等が有り、 これらを区別したり欠陥の大きさや、 キ ラー欠陥 (チップの使用が不可能になる重大な欠陥等) の分類を自動的にリアルタイ ムで行うことも出来る。 特に、 線幅が 1 0 0 n m以下の配線や直径 1 0 0 n m以下の ビア等の前記欠陥を分類するのに有効である。 電気的欠陥の検出はコントラスト異状 を検出することで達成される。 例えば導通不良の場所は電子線照射 ( 5 0 0 e V程度 ) により、 通常正に帯電し、 コントラス卜が低下するので正常な場所と区別ができる 。 この場合の電子線照射手段とは、 通常検査用の電子線照射手段以外に別途、 電位差 によるコントラス トを際立たせるために設けた低電位 (エネルギー) の雩子線発生手 段 (熱電子発生、 U V /光電子) をいう。 検査対象領域に検査用の電子線を照射する 前に、 この低電位 (エネルギーが例えば 1 0 0 e V以下) の電子線を発生 . 照射して いる。 検査用の電子線を照射すること自体正に帯電させることができる写像投影方式 の場合は、 仕様によっては、 別途低電位の電子線発生手段を設ける必要はない。 また 、 ゥェ一ハ等の試料に基準電位に対して、. 正又は負の電位をかけること等による (素 子の順方向又は逆方向により流れ易さが異なるために生じる) コントラストの違いか ら欠陥検出が出来る。
電位差によるコントラストは、 電位コントラストデータを表示するのに有効な信号 の画像に変換して表示してもよい。 電位今トラスト画像を解析して、 期待している値 よりも高い又は低い電圧にある構造体、 つまり、 絶縁不良又は導通不良や欠陥を識別 することができる。 例えば、 ゥエーハ上の異なるダイからそれぞれ電位コントラスト 画像を取得し、 その差異を検出することで、 欠陥を認識する。 また、 C A Dデータ等 の設計データから被検査ダイの電位コントラスト画像と等価な画像データを生成して 、 この画像データとゥェ一八上の被検査ダイから取得した電位コントラスト画像との 差異を検出することで欠陥を認識する。
線幅測定装置及び合わせ精度測定にも利用できる。 検査されるゥ: c一八の情報、 例 'えばカセッ トの番号、 ゥェ一八の番号 (又はロッ トナンパ) 等は、 これらが現在どの よ'うな位置や状態にあるか、 全て記憶管理されている。 したがって、 誤って検査を 2 回以上行ったり、 検査をしなかったりするトラブルは発生しない。
2 - 8 ) 検査方法
2 - 8 - 1 ) 概要
検査の基本的流れを、 図 1 1 3に示す。 まずァライメント動作 1 1 3 · 1 を含んだ ゥェ一ハ搬送の後、 検査に関係する条件等を設定したレシピを'作成する ( 1 1 3 · 2 )。 レシピは被検査ゥ工一八に最低 1種類は必要であるが、 複数の検査条件に対応す るために、 1枚の被検査ゥェ一ハに対して、 複数のレシピが存在しても構わない。 ま た同一パターンの被検査ゥエーハが複数枚ある場合、 一種類のレシピで複数のゥエー ハを検査しても構わない。 図 1 1 3の経路 1 1 3 · 3はこの様に過去に作成されたレ シピで検査する場合、 検査動作直前にレシピの作成が不要である事を示している。 以 下、 図 1 1 3において
検査動作 1 1 3 · 4は、 レシピに記載された条件、 シーケンスに従いゥェ一ハの検 査を行う。 欠陥抽出は、 検査動作中に欠陥を発見するごと即時行われ、
a ) 欠陥分類 ( 1 1 3 · 5 ) を行い、 結果出力ファイルに抽出欠陥情報と欠陥分類 情報を追加する動作
b ) 抽出欠陥画像を画像専用結果出力ファイルもじくはファイルに追加する動作 c ) 抽出欠陥の位置などの欠陥情報を操作画面上に表示する動作
をほぼ並列に実行する。
被検査ゥェ一八単位で検査が終了すると、
a ) 結果出力ファイルをクローズして保存する動作
b ) 外部からの通信が検査結果を要求する場合、 検査結果を送る動作
c ) ゥエーハを排出する動作
をほぼ並列に実行する。
連続的にゥエーハを検査する設定がなされている場合、 次の被検査ゥェ一八を搬送 して、 前記一連の動作を繰り返す。
以下、 図 1 1 3フローについて、 さらに詳細を述べる。
( 1 ) レシピ作成
レシピとは、 検査に関係する条件等の設定ファイルであり保存する事も可能である 。 検査時もしくは検査前にレシピを使用して装置設定を行うが、 レシピに記載された 検査に関係する条件とは、
a ) 検査対象ダイ
b ) ダイ内部検査領域
c ) 検査アルゴリズム
' d ) 検出条件 (検査感度等、 欠陥抽出に必要な条件)
e ) 観察条件 (倍率、 レンズ電圧、 ステージ速度、 検査順序等、 観察に必要な条 件)
などである。 具体的な c ) 検査アルゴリズムは後述する。
この中で、 検査対象ダイの設定は、 図 1 1 4に示される様に、 操作画面に表示され たダイマップ画面に対して、 検査するダイをオペレータが指定する。 図 1 1 4の例で は、 ゥェ一ハ端面のダイ 1と前工程で明らかに不良と判定されたダイ 2をグレイァゥ トして検査対象から削除し、 残りを検査'対象ダイとしている。 また、 ゥエー八端面か らの距離や前工程で検出されたダイの良否情報をもとに自動的に検査ダイを指定する 機能も有している。 また、 ダイ内部の検査領域の設定は、 図 1 1 5に示される様に操作画面に表示され たダイ内部検査領域設定画面に対して、 検査領域をオペレータが光学顕微鏡もしくは E B顕微鏡により取得した画像をもとにマウス等の入力機器で指定する。 図 1 1 5の 例では、 実線で指した領域 1 1 5 · 1 と破線で指した領域 1 1 5 · 2を設定している 領域 1 1 5 · 1は、 ダイのほぼ全体を設定領域としている。 検査アルゴリズムは隣 - 接ダイ比較法 (ダイ一ダイ検査) としこの領域に対する検出条件、 観察条件の詳細は 、 別に設定する。 領域 1 1 5 · 2は、 検査アルゴリズムをアレイ検査 (検査) としこ の領域に対する検出条件、 観察条件の詳細は、 別に設定する。 すなわち複数の検査領 域の設定が可能でかつ、 検査領域は、 それぞれ独自の検査アルゴリズムや検査感度を 条件設定出来る。 また検査領域は重ね合わせる事も可能で、 同じ領域に対して、 異な る検査アルゴリズムを同時に処理することも可能である。
( 2 ) 検査動作
検査は、 被検査ゥエー八に対して図 1 1 6の様にある走査幅に細分され走査する。 走査幅は、 ほぼラインセンサの長さで決まるが、 ラインセンサの端部が少し重なる様 に設定してある。 これは検出した欠陥を最終的に統合処理する場合にライン間の連続 性を判断する為や比較検査を行う際に画像ァライメントするための余裕を確保するた めである。 その重ね量は 2 0 4 8 ドッ トのラインセンサに対して 1 6 ドッ ト程度であ る。
' 走査方向おょぴシーケンスを、 模式的に図 1 1 7に示す。 すなわち、 検査時間 ^縮 のために双方向動作 Aや、 機械制限からの単方向動作 Bなどが、 オペレータより選択 出来る構成になっている。
またレシピの検査対象ダイ設定を元に走査量を減らす動作を自動演算して検査する 機能も有している。 図 1 1 8— 1は検査ダイ 1 1 8 · 1が 1個の場合の走査例で、 不 要 走査は行わない。
2 - 8 - 2 ) 検査ァルゴリズム
本装置で行う検査のアルゴリズムは、 大別して
1 . アレイ検査 (セル検査) '
2 . ランダム検査 (ダイ検査)
の 2種類である。 図 1 1 8 - 2に示すように、 ダイは、 主にメもリに用いられる周期 構造をしたセル部 1 1· 8 · 2 と、 周期構造を取らないランダム部 1 1 8 · 3とに分け られる。 周期構造をしたセル部 1 1 8 · 2は、 比較対象が同じダイの中に複数個ある ので、 同じダイの中のセル同士で比較を行うことによって検査可能である。 一方、 ラ ンダム部 1 1 8 · 3は、 同じダイの中に比較対象がないので、 ダイ同士の比較を行う 必要がある。 ランダム検査は比較対象により、 さらに以下にように区分される。 a ) 隣接ダイ比較法 (Die-Die検査)
b ) 基準ダイ比較法 (Die-Any Die検査) .
c ) C A Dデータ比較法 (Cad Data-Any Die検査)
一般にゴールデンテンプレート方式と呼ばれる方式は、 前記 b ) とじ) を表してお り、 基準ダイ比較法においては基準ダイをゴールデンテンプレートとする、 C A Dデ 一夕比較法おいては C A Dデ一夕をゴールデンテンプレートとする。
以下、 各アルゴリズムの動作を述べる。
2 - 8 - 2—1 ) アレイ検査 (セル検査)
アレイ検査は、 周期構造の検査に適用される。 D R A Mセルなどはその一例である 検査は、 基準とする参照画像と被検査画像の比較を行い、 その差分を欠陥として抽 出する。 参照画像と被検査画像は、 二値化画像でも検出精度を向上するため多値画像 でも構わない。
欠陥は、 参照画像と被検査画像の差分そのものでも良いが、 検出した差分の差分量 や差分のある画素の合計面積などの差分情報を元にして、 誤検出を防ぐための 2次的 な判定を行っても良い。
アレイ検査においては、 参照画像と被検査画像の比較は構造周期単位で行われる。 すなわち C C Dなどで一括取得した画像を読み出しながら 1構造周期単位で比較して も良いし、 参照画像が n個の構造周期単位であれば、 n個の構造周期単位を同時に比 較できる。
参照画像の生成方法の一例を図 1 1 9に示す、 ここでは 1構造周期単位で比較する 例を述べるので 1構造周期単位生成を表す。 同じ方法で周期数を nにする事も可能で ある。
'前提として図 1 1 9での検査方向は Aである。 また周期 4を被検査周期とする。 周 期の大きさはオペレータが画像を見ながら入力するので、 図 1 1 9において周期 1か ら 6は容易に認識できる。
参照周期画像は、 各画素において被検査周期直前の周期 1から 3を加算し平均して 生成する。 1から 3いずれかに欠陥が存在しても平均処理されるので影響は少ない。 この形成された参照周期画像と被検査周期画像 4を比較して欠陥の抽出を行う。 次に被検査周期画像 5を検査する場合、 周期 2から 4を加算平均して参照周期画像 を生成する。 以下同様に被検査周期画像取得以前に得た画像より、 被検査周期画像を 生成して検査を連続させる。
2 - 8 - 2— 2 ) ランダム検査 (ダイ検査) ランダム検査は、 ダイの構造に制限されず適用できる。 検査は、 基準となる参照画 像と被検査画像の比較を行い、 その差分を欠陥として抽出する。 参照画像と被検査画 像は、 二値化画像でも検出精度を向上するため多値画像でも構わない。 欠陥は、 参照 画像と被検査画像の差分そのものでも良いが、 検出した差分の差分量や差分のある画 素の合計面積などの差分情報を元にして、 誤検出を防ぐため 2次的な判定を行っても 良い。 ランダム検査は参照画像の求め方で分類することが出来る。 以下に動作を記す
A. 隣接ダイ比較法 (Die-Die検査)
参照画像は、 被検査画像と隣接したダイである。 被検査画像に隣り合った 2つのダ ィと比較して欠陥を判断する。 すなわち図 1 2 0と図 1 2 1において、 画像処理装置 のメモリ 1 2 1 · 1とメモリ 1 2 1 · 2がカメラ 1 2 1 ' 3からの経路 1 2 1 · 4 1 に接続するようスィツチ 1 2 1 · 4、 スィッチ 1 2 1 · 5 設定した状況で、 以下の ステツプを有する。
a) 走査方向 Sに従いダイ画像 1を経路 1 2 1 · 4 1からメモリ 1 2 1 · Γに格納 するステップ。
b) ダイ画像 2を経路 1 2 1 · 41からメモリ 1 2 1 · 2に格納するステップ。 c) 上記 b) と同時に経路 12 1 · 42からダイ画像 2を取得しながら、 取得した ダイ画像 2とダイにおける相対位置が同じであるメモリ 1 2 1 · 1に格納された画像 データを比較して差分を求めるステツプ。
d) 上記 c) の差分を保存するステップ。
e) ダイ画像 3を経路 1 2 1 · 41からメモリ 1 2 1 · 1に格納するステップ。 f ) 上記 e) と同時に経路 1 2 1 · 42からダイ画像 3を取得しながら、 取得した ダイ画像 3とダイにおける相対位置が同じであるメモリ 1 2 1 · 2に格納された画像 データを比較して差分を求めるステツプ。
g) 上記 ) の差分を保存するステップ。
h) 上記 d) と g) で保存された結果より、 ダイ画像 2の欠陥を判定するステップ i ) 以下連続したダイにおいて a) から h) を繰り返すステップ。
設定によって、 上記 c:)、 f ) において差分を求める前に、 比較する 2つの画像の 位置ァライメント : 位置差が無くなる様に補正する。 または濃度ァライメント : 濃度 差が無くなる様に補正する。 もしくはその両方の処理を行う場合がある。
B. 基準ダイ比較法 (Die-Any Die検¾)
オペレータにより基準ダイを指定する。 基準ダイはゥエーハ上に存在するダイもし くは、 検査以前に保存してあるダイ画像であり、 まず基準ダイを走査もしくは転送し て画像をメモリに保存、 参照画像とする。 すなわち図 1 2 1と図 1 2 2において、 以 下のステツプを有する。
a) オペレータが基準ダイを、 被検査ゥェ一 Λのダイより、 もしくは検査以前に保 存してあるダイ画像より選択するステツプ。
b) 基準ダイが被検査ゥヱーハに存在する場合、 画像処理装置のメモリ 1 2 1 · 1 もしくはメモリ 1 2 1 · 2の少なく とも一方がカメラ 1 2 1 · 3からの経路 1 2 1 · 4 1に接続するようにスィッチ 1 2 1 ' 4、 スイツヂ 1 2 1 · 5を設定するステップ c) 基準ダイが検査以前に保存してあるダイ画像の場合、 画像処理装置のメモリ 1 2 1 · 1もしくはメモリ 1 2 1 · 2の少なく とも一方がダイ画像である参照画像を保 存してあるメモリ 1 2 1 · 6からの経路 1 2 1 · 7に接続するようにスィッチ 1 2 1 • 4、 スィッチ 1 2 1 · 5を設定するステップ。
d) 基準ダイが被検査ゥエー八に存在する場合、 基準ダイを走査して、 基準ダイ画 像である参照画像を画像処理装置のメモリに転送するステツプ。
e) 基準ダイが検査以前に保存してあるダイ画像の場合、 走査を必要とせず、 基準 ダイ画像である参照画像を画像処理装置のメモリに転送するステップ。
f ) 被検査画像を順次走査して得られる画像と、 基準ダイ画像である参照画像を転 送されたメモリの画像と、 ダイにおける相対位置が同じである画像データを比較して 差分を求めるステップ。
g) 上記 f ) で得られた差分より欠陥を判定するステップ。
h) 以下連続して図 1 24で示すように基準ダイの走査位置と被検査ダイのダイ原 点に対して同じ部分をゥエーハ全体について検査し、 ダイ全体を検査するまで基準ダ ィの走査位置を変更しながら上記 d) から g) を繰り返すステップ。
設定によって、 上記 f ) において差分を求める前に、 比較する 2つの画像の位置ァ ライメント : 位置差が無くなる様に補正する。 もしくは濃度ァライメント : 濃度差が 無くなる様に補正する。 もしくはその両方の処理を行う場合がある。
上記 d) もしくは e) において画像処理装置のメモリに蓄えられる基準ダイ画像は 、 基準ダイ全てでも良いし、 基準ダイの一部として更新しながら検査しても良い。
C. CADデータ比較法 (CAD Data-Any Die検査)
図 1 2 3に示した半導体製造の工程において、 CADによる'半導体パターン設計ェ 程の出力である CADデータより参照画像を作成し基準画像とする。 基準画像はダイ 全体もしくは検査部分を含んだ部分的な物でも良い。
またこの CADデータは、 通常べクタデータであり、 走査動作によって得られる画 像データと等価なラスタデータに変換しないと参照画像として使用出来ない。 この様 に CADデータ加工作業に関して、 以下の変換がなされる。
a ) C ADデータであるべク夕デ一夕をラスタデータに変換する。
b) 上記 a) は、 検査時に被検査ダイを走査して得られる画像走査幅の単位で行う c ) 上記 b) は、 被検査ダイを走査して得る予定の画像とダイにおける相対位置が 同じである画像データを変換する。
d) 上記 c) は、 検査走査と、 変換作業をオーバラップして行う。
上記の a) ~d) は高速化のために画像走査幅単位の変換を行う例であるが、 変換 単位を画像走査幅に固定しなくても検査は可能である。 また、 ベクタデータをラスタ データに変換する作業に付加機能として、 以下の少なくとも 1つを有する。
a) ラスタデータの多値化機能。
b) 上記 a) に関し、 多値化の、 階調熏み、 オフセッ トを検査装置の感度を鑑みて 設定する機能。
c) ベクタデータをラスタデータに変換した後で、 膨張、 収縮など画素を加工する 画像処理を行う機能。
図 1 2 1において、 C ADデータ比較法による検査ステツプを示す。
a) 計算機 1で CADデータをラスタデータに変換しかつ上記付加機能で参照画像 を生成してメモリ 1 2 1 ' 6に保存するステツプ。
b) 画像処理装置のメモリ 1 2 1 · 1もしくはメモリ 1 2 1 · 2の少なくとも一方 がメモリ 1 2 1 · 6からの経路 1 2 1 · 7に接続するようにスィッチ 1 2 1 · 4、' ス イッチ 1 2 1 · 5を設定するステツプ。
c ) メモリ 1 2 1 · 6の参照画像を画像処理装置のメモリに転送するステップ。 d) 被検査画像を順次走査して得られる画像と、 参照画像が転送されたメモリの画 像と、 ダイにおける相対位置が同じである画像データを比較して差分を求めるステツ プ。
e) 上記 d) で得られた差分より欠陥を判定するステップ。
f ) 以下連続して図 1 24で示すように基準ダイの走査位置を参照画像とし被検査 ダイの同じ部分をゥエーハ全体検査し、 ダイ全体を検査するまで基準ダイの走査位置 を変更しながら上記 a) から e) を繰り返すステップ。
設定によって、 上記 d) において差分を求める前に、 比較す'る 2つの画像の位置ァ ライメント : 位置差が無くなる様に補正する。 もしくは濃度ァライメント : 濃度差が 無くなる様に補正する。 もしくはその両方の処理を行う場合がある。
c ) において画像処理装置のメモリに蓄えられる基準ダイ画像は、 基準ダイ全てで も良いし、 基準ダイの一部として更新しながら検査しても良い。 2 - 8 - 2 - 2 ' ) セル検査とダイ検査を同時に行う方法
これまで、 周期構造を検査するアレイ検査 (セル検査) とランダム検査とのァルゴ リズムを説明してきたが、 セル検査とダイ検査を同時に行うことも可能である。 つま り、 セル部とランダム部をと分けて処理し、 セル部ではダイ内でセル同士の比較を行 うと同時に、 ランダム部では、 隣接するダイ、 基準ダイ又は C A Dデータとの比較を 行っていく。 このようにすると、 検査時間を大幅に短縮でき、 スループッ トが向上す る。
なお、 この場合には、 セル部の検査回路は別々に独立して備えるのが好適である。 また、 同時に検査を行わないのであれば、 1つの検査回路を有し、 セル検査用とラン ダム検査用のソフトを切換可能に設定しておき、 ソフトの切換で比較検査を実行する ことも可能である。 つまり、 パターンの検査を複数の処理のアルゴリズムを適用して 処理する場合には、 それらのアルゴリズムは別回路を用意して同時に処理してもよい し、 それらに対応するアルゴリズムを設けて 1つの回路で切り換えて処理するように してもよい。 いずれにせよ、 セル部の類型が複数であり、 それらは各々のセル同士で 比較を行い更にランダム部についてダイ同士又はダイと C A Dデータで皮革を行うよ うな場合にも、 本発明は適用可能である。 ,
2 - 8 - 2 - 3 ) 7オーカスマッピング
フォーカス機能の基本的流れを、 図 1 2 5に示す。 まずァライメント動作を含んだ ゥェ一ハ搬送の後、 '検査に関係する条件等を設定したレシピを作成する。 このレシピ の 1つとしてフォーカスマップレシピがあり、 ここで設定されたフォーカス情報に従 い、 検査動作およびレビュ一動作時にオートフォーカスが行われる。 以下、 フォー力 スマツプレシピの作成手順及ぴォートフォーカスの動作手順を説明する。
フォーカスマップレシピの作成手順
フォーカスマップレシピは、 例においては独立的な入力画面を有しており、 ォペレ 一夕は次のステップを実行してレシピを作成するが、 別の目的で設けられた入力画面 に付加することもできる。
a ) フォーカス値を入力するダイ位置やダイの中のパターン等、 フォーカスマップ 座標を入力するステツプ。 図 1 2 6のスィッチ 1 2 6 · 1 。
b ) フォーカス値を自動測定する場合に必要な、 ダイパターンを設定するステップ 。 このステップはフォーカス値を自動測定しない場合、 スキップ出来る。
c ) 上記 a ) で決められたフォーカスマップ座標のペストフォーカス値を設定する 、 ステップ。
この中で、 a ) のステップではオペレータが任意のダイを指定する事も出来るが、 全てのダイの選択や、 n個毎のダイの選択などの設定も可能である。 また入力画面は ゥェ一ハ内のダイ配列を模式的に表現した図でも、 実画像を使った画像でもオペレー 夕が選択できる。
この中で、 C ) のステップではオペレータがマニュアルでフォーカス用電極の電圧 値に連動したフォーカススィツチ 1 26 · 2で設定するモ一ド (図 1 26のスィッチ 1 2 6 · 3)。 自動的にフォーカス値を求めるモ一ドモード (図 1 26のスィッチ 1 2 6 - 4) で選択■ 設定する。
フォーカス値自動測定手順
上記 c) のステップで自動的にフォーカス値を求める手順は、 例えば図 1 2 7にお いて
a) フォーカス位置 Z = 1の画像を求めそのコントラストを計算する。
b) 上記 a) を Z = 2, 3, 4でも行う。
c ) 上記 a)、 b) で得られたコントラスト値から回帰させコントラスト関数を求 める (図 1 2 7 )
d) コントラスト関数の最大値を得る Zを計算で求め、 これをべストフォーカス値 とする。
例えば、 フォーカス値を自動測定する場合に必要なダイパターンは図 1 28の様な ライン &スペースが選択された場合、 良い結果を示すが、 コントラス トは白黒パター ンがあれば形状によらず計測出来る。
a) から d) を行うことで 1点のベストフォーカス値が求まる。 この時のデータ形 式は (X, Y, Z) XY : フォーカスを求めた座標、 Z : べストフォ一カス値のセ ッ トであり、 フォーカスマップレシピで決められたフォーカスマップ座標数 (X, Y , Z ) が存在することになる。 これをフォーカスマップレシピの一部でフォーカスマ ップファイルと呼ぶ。
ォ一トフォ一カスの動作手順
ラオ一カスマップレシピから、 画像を取得する検査動作、 レビュー動作時にフォー カスをべストフォーカスに設定する方法は次のステツプでなされる。
a) フォーカスマツプレシピの作成時に作成されたフォーカスマツプファイル 1を 元に位置情報をさらに細分化して、 この時のべストフォーカスを計算で求め細分化し たフォーカスマップファイル 2を作成する。
b) 上記 a) の計算は、 補間関数で行う。 '
c ) 上記 b) の補間関数は、 リニア補間やスプライン補間等でフォーカスマップレ シピの作成時にオペレータにより指定される。
d) ステージの XY位置を監視して、 現在の XY位置に適したフォーカスマップフ アイル 2に記載されたフォーカス値にフォーカス用電極の電圧を変更する。 さらに具体的に説明すると、 図 1 2 9において、 黒丸がフォーカスマップファイル 1のフォーカス値、 白丸がフォーカスマップファイル 2のフォーカス値であり、 1 . フォーカスマップファイルのフォーカス値の間をフォーカスマップファイルのフ ォ一カス値で補間している。
2 . 走査に従いフォーカス位置 Zを変化させべストフォーカスを維持している。 この 時フォーカスマップファイル (白丸) の間は、 次の変更する位置まで値が保持されて いる。
2 - 8 - 2 - 4 ) リソマ一ジン測定
以下、 リゾマージン測定に関する実施の形態を説明する。
( 1 ) 実施の形態 1 0 (リソマージン測定 1 )
概要
1 . 露光機の条件の範囲およびべスト条件を求める。 ターゲッ トはフォーカスであ る。
2 . 検査装置の応用方法であって、 電子ビーム写像方式や走査方法に限定されない 。 すなわち、 光を用いる方式、 電子ビーム方式、 及び、 これらと写像方式又は走査方 式を任意に組み合わせた方式を用いた方法でもよい。
3 . 基準ダイ比較法 (Die-Any Die検査) の応用
図 1 3 0は、 実施の形態 1の動作を示したフローである。 以下この図を元に説明す る。
工程 1 3 0 · 1では、 例として図 1 3 1に示すような、 フォーカス条件と露光時間 条件をパラメータとして条件を変化させ 2次元的にゥエーハ上に露光した。 また、 1 ショッ ト = 1ダイのィメージパターンとした。
多くのステッパー露光機では、 一般的に T E S T露光と呼ばれる、 自動的にパラメ 一夕を変化させ露光する機能を有しているので、 この機能をそのまま使用しても構わ な^。
工程 1 3 0 ■ 2では、 現像、 レジスト剥離、 エッチング、 C V D、 C M P , メツキ などの工程が考えられ、 特に電子ビームによる観察では、 レジストはチャージして観 察しずらいので、 本実施の形態では、 現像、 レジスト剥離、 メツキまでの工程を行つ ている。 好ましくは、 レジス ト観察が望ましい。
工程 1 3 0 · 3の詳細を図 1 3 2により説明する。 この工程'は、 工程 1 3 0 · 4を 行う検査装置のオペレータによって設定された画像のコントラストを計測する機能を 使用して、 ダイパターンの最小ライン & ペース部をコントラスト計測するエリァと 登録し以下の作業をした。
まず露光時間の上限 D bと下限 D aを求めた。 D b以上の露光時間と D a以下の露 光時間ではコントラスト値が極めて低かったので検査対象外とする。 図 1 3 2でのグ レイアウト部分。
次に、 フォーカス値の上限 F bと下限 F aを求めた。 F b以上のフォーカス値と F a以下のフォーカス値ではコントラスト値が極めて低かったので検査対象外とする。 図 1 3 3でのグレイアウト部分。
次に、 D aと D bの真ん中のダイ列 D s と、 F aと F bの真ん中のダイ列 F s の交 点であるダイをべスト露光条件ショッ トと選択した。 これらべスト露光条件ショ ッ ト を選択する工程は、 すべて自動で行われる。
工程 1 3 0 · 4では、 図 1 3 2の基準ダイを参照画像として、 白いダイを被検査画 像として、 基準ダイ比較法 (Die-Any Die検査) により検査する。
工程 1 3 0 · 5は、 1 3 0 · 4の検査結果を用いて露光条件の判定を行 。 すなわ ち露光条件が不適切であると、 例えばダイパターンのライン及びスペースが解像しな い事や、 パターンのエッジ部が鈍角になる事で、 基準画像との差分が発生し結果的に 、 パターン欠陥として検出される効果を利用している。 むろん露光条件起因以外に露 光ミスなどを起因としたパターン欠陥やパーティクルが検出される場合もあるが、 こ の場合は再検査している。 しかしながら確率的に発生頻度が少ないので問題にはなつ ていない。
工程 1 3 0 · 5の具体的な手順は、
1 ) フォーカスマ一ジンを求めるのが優先なので、 露光時間は図 1 3 2の D s と固 定して、 フォーカス値と欠陥個数の関係を求める (図 1 3 3 )
2 ) この時、 フォーカス値の判定基準は、 露光条件により欠陥が 1つも発生しない 条件としてあるので、 結論として、 露光条件として許されるフォーカス値は F 1から F 2である。
3 ) F 1 と F 2が具体的に、 どのような露光機表現の数値 ·単位であるかは、 露光 機^ら R s 2 3 2 cもしくは E t h e r n e tで接続された通信経路を通して、 ダイ の位置とその露光条件を転送すれば、 簡単に演算できる。 本装置では露光条件として の良否判定と共に、 露光機にそのまま入力可能な値に変換して表示する機能も有して いる。
4 ) また、 専用通信経路や S E M I規格等の通信経路を使用すれば、 本検査装置の 結果を露光機へフィードバックすることも出来る。 以上の手順'を更に露光条件 (露光 時間) を変えて行い、 · フォーカスと露光のマージンを定める。
( 2 ) 実施の形態 1 1 (リソマージン'測定 2 )
概要
露光機の条件の範囲およびぺス ト条件を求める。 ターゲッ トはフォーカスである。 1 . 検査装置の応用方法であって、 電子ビーム写像方式や走査方法に限定されない
。 光方式、 電子ビーム方式、 及び、 これらと写像方式又は走査方式を組み合わせた方 式が利用できる。
2 . C A Dデータ比較法 (Cad Data-Any Die検査) の応用。
図 1 3 4は、 実施の形態 2の動作を示したフローである。 以下この図を元に説明す る。
工程 1 3 4 · 1では、 例として図 1 3 5に示すような、 フォーカス条件と露光時間 条件をパラメータとして条件を変化させ、 2次元的にゥエーハ上に露光した。 また 1 ショ ッ ト = 1ダイのイメージパターンとした。
多くのステッパー露光機では、 一般的に T E S T露光と呼ばれる、 自動的にパラメ 一夕を変化させ露光する機能を有しているので、 この機能をそのまま使用しても構わ ない。
工程 1 3 4 · 2では、 現像、 レジス ト剥離、 エッチング、 C V D、 C M P、 メツキ などの工程が考えられ、 特に電子ビームによる観察では、 レジストはチャージして観 察しずらいので、 本実施の形態では、 現像、 レジスト剥離、 メツキまでの工程を行つ ている。 好ましくは、 レジストの段階の観察ですませるのがよい。
工程 1 4 3 · 3ではなるべくべストな状態にしたい基準画像を、 露光したショ ッ ト パターンの C A Dデ一夕から生成する。 この時、 画像データであるラスタデータの多 値化をしている。 図 1 3 6に示すように、 例えばパターン A、 パターン B、 パターン Cというそれぞれ線幅の異なるパターンにおいて、 パターン Bに比べてパターン Cは より細密であるが、 経験的にパターンの白のレベルを比較した時、 パターン Bに比べ てパターン Cの白のレベルの方がより黒に近づき、 パターンの黒のレベルを比較した 時、 パターン Bに比べてパターン Cの黒のレベルの方がより白に近づく、 従って単純 に画像として黒と見える値と白と見える値の 2値では無く、 パターンの形状や粗密や ゥエーハ上のパターン位置などを考慮して、 画像データの多値化をする。
また同時に観察系の設定条件やチヤージアツプゃ磁塲等の影響も加味して、 実際に 観察して得られる画像と C A Dデータから生成された画像データを比較したさいに疑 似欠陥として認識されない様に、 C A Dデ一夕から生成された画像データを画像処理 する。
工程 1 3 4 · 4では、 1 3 4 · 3で生成した画像を参照画像'として、 ウェア上のダ ィを被検査画像として、 ダイ比較して検査する。
工程 1 3 4 · 5は、 1 3 4 · 4の検査'結果を用いて露光条件の判定を行う。 すなわ ち露光条件が不適切であると、 例えばダイパターンのライン &スペースが解像しない 事や、 パターンのエッジ部が鈍角になる事で、 基準画像との差分が発生し結果的に、 パターン欠陥として検出される効果を利用している。 むろん露光条件起因以外に露光 ミスなどを起因としたパターン欠陥やパーティクルが検出される場合もあるが、 この 場合は再検査している。 しかしながら確率的に発生頻度が少ないので問題にはなって いない。
工程 1 34 · 5の具体的な手順は、
1) フォーカスマージンを求めるのが優先なので、 露光時間は経験的に得られてい る固定値にして、 この場合のフォーカス値と欠陥個数の関係を求める (図 1 3 7)。
2) この時、 フォーカス値の判定基準は、 露光条件により欠陥が 1つも発生しない 条件としてあるので、 結論として、 露光条件として許されるフォーカス値は F 1から F 2である。
3) F 1と F 2が具体的に、 どのような露光機表現の数値 ·単位であるかは、 露光 機から R s 2 32 cもしくは E t h e r n e tで接続された通信経路を通して、 ダイ の位置とその露光条件を転送すれば、 簡単に演算できる。 本装置では露光条件として の良否判定と共に、 露光機にそのまま入力可能な値に変換して表示する機能も有して いる。
4) また専用通信経路や S EM I規格等の通信経路を使用すれば、 本検査装置の結 果を露光機へフィードバックすることも出来る。
以上、 露光条件のリソマ一ジン測定について述べたが、 露光用マスクであるレチク ル又はステンシルマスクを検査してもよい。 この場合には、 露光条件決めの検査を簡 略化できる。
3 他の実施の形態
3— 1) ステージ装置の変形例
図 1 3 8は, 本発明による検出装置におけるステージ装置の一変形例を示す。 ステージ 1 38 · 1の Y方向可動部 1 38 · 2の上面には + Y方向と一 Y方向 (図 1 39で左右方向) に大きくほぼ水平に張り出した仕切り板 1 3 8 · 4が取り付けら れ、 X方向可動部 1 3 8 · 4の上面との間に常にコンダクタンスが小さい絞り部 1 3 8 · 5が構成されるようになっている。 また、 X方向可動部 1 38 · 4の上面にも同 様の仕切り板 1 3 8 · 6が ±X方向 (図 1 3 8の (A) で左右方向) に張り出すよう に構成されており、 ステージ台 1 3 8 · 7の上面との間に常に絞り部 1 3 8 . 8が形 成されるようになつている。 ステージ台 1 3 8 · 7は、 ハウジング 1 3 8 · 9内にお いて底壁の上に公知の方法で固定されている。
このため、 試料台 1 3 8 · 1 0がどの'位置に移動しても常に絞り部 1 3 8 . 5及び 1 38 · 8が形成されるので、 可動部 1 38 · 2及び 1 38 · 4の移動時にガイ ド面 1 38 - 1 1 , 1 3 8 - 1 2からガスが放出されても、 絞り部 1 38 . 5及び 1 3 8 。 8によって放出ガスの移動が妨げられるため、 荷電ビームが照射される試料近傍の 空間 1 3 8 . 1 3の圧力上昇を非常に小さく押さえることができる。
ステージの可動部 1 3 8 · 2の側面及び下面並びに可動部 1 3 8 · 4の下面には、 静圧軸受け 1 3 8 · 14の周囲に、 図 1 40に示されるような差動排気用の溝が形成 されていてこの溝によって真空排気されるため、 絞り部 1 3 8 · 5、 1 3 8 · 8が形 成されている場合は、 ガイ ド面からの放出ガスはこれらの差動排気部によって主に排 気されることになる。 このため、 ステージ内部の空間 1 3 8 · 1 5や 1 3 8 . 1 6の 圧力は、 チャンパ C内の圧力よりも高い状態になっている。 したがって、 空間 1 38 • 1 5、 1 3 8 · 1 6を、 差動排気溝 1 40 · 1や 140 · 2で排気するだけでなく 、 真空排気する齒所を別に設ければ空間 1 3 8 · 1 5、 1 3 8 - 1 6の圧力を下げる ことができ、 試料近傍 1 3 8 · 1 3の圧力上昇を更に小さくすることができる。 この ための真空排気通路 1 3 8 ' 1 7と 1 3 8 ' 1 8とが設けられている。 排気通路はス テージ台 1 3 8 · 7及びハウジング 1 3 8 · 9を貫通してハウジング 1 3 8 · 9の外 部に通じている。 また、 排気通路 1 38 · 1 8は X方向可動部 1 38 · 4に形成され 、 X方向可動部 1 38 · 4の下面に開口している。
また、 仕切り板 1 3 8 · 3、 1 3 8 · 6を設置すると、 チャンパと仕切り板が干渉 しないようにチャンバを大きくする必要が生じるが、 仕切り板を伸縮可能な材料や構 造にすることによってこの点を改善することが可能である。 この実施の形態としては 、 仕切り板をゴムで構成したり蛇腹状にして、 その移動方向の端部を、 仕切り板 1 3 8 - 3の場合は X方向可動部 1 38 · 4に、 仕切り板 1 38 · 6の場合はハウジング
1 38 · 9の内壁にそれぞれ固定する構成とすることが考えられる。 なお、 1 38 . 1 9は鏡筒である。
図 14 1はステージ装置の第 2の変形例を示している。 この実施態様では、 鏡筒の 先端部すなわち荷電ビーム照射部 141 · 1の周囲に、 試料 Wの上面との間に絞り部 が きるように円筒状の仕切り 14 1 · 2が構成されている。 このような構成では、 XYステージからガスが放出されてチヤンパ C内の圧力が上昇しても、 仕切りの内部
1 1 - 3は仕切り 14 1 · 2で仕切られており真空配管 14 1 . 4で排気されてい るので、 チャンパ C内と仕切りの内部 141 · 3との間に圧力差が生じ、 仕切り内部 の空間 14 1 · 3の圧力上昇を低く抑えられる。 仕切り 1 4 1 · 2と試料面との隙間 は、 チャンパ C内と照射部 141 · 1周辺の圧力をどの程度に'維持するかによって変 わるが、 凡そ数十 mないし数 mm程度が適当である。 なお、 仕切り 141 · 2内と 真空配管とは公知の方法により連通されている。
また、 荷電ビーム照射装置では、 試料 Wに数 kV程度の高電圧を印加することがあ り、 導電性の材料を試料の近傍に設置すると放電を起こす恐れがある。 この場合には 、 仕切り 1 4 1 · 2の材質をセラミックス等の絶縁物で構成すれば、 試料 Wと仕切り 1 1 - 2との間で放電を起こすことがなくなる。
なお、 試料 W (ゥェ一ハ) の周囲に配置したリング部材 1 4 1 · 5は試料台 1 4 1 - 6に固定された板状の調整部品であり、 ゥエーハのような試料の端部に荷電ビーム を照射する場合であっても、 仕切り 1 4 1 · 2の先端部全周に亘つて微小隙間 1 4 1 • 7が形成されるように、 ゥエー八と同一の高さに設定されている。 これによつて、 • 試料 Wのどの位置に荷電ビームが照射しても、 仕切り' 1 4 1 · 2の先端部には常に一 定の微小隙間 9 5 2が形成され、 鏡筒先端部周囲の空間 1 4 1 · 3の圧力を安定に保 つことができる。
図 1 4 2には別の変形例が示されている。 鏡筒 1 3 8 · 1 9の荷電ビーム照射部 1 4 1 - 2の周囲に差動排気構造を内蔵した仕切り 1 4 2 · 1が設けられている。 仕切 り 1 4 2 · 1は円筒状の形状をしており、. その内部に円周溝 1 4 2 · 2が形成され、 その円周溝からは上方に排気通路 1 4 2 · 3が延びている。 その排気通路は内部空間 1 4 2 - を経由して真空配管 1 4 2 · 5に繋がれている。 仕切り 1 4 2 · 1の下端 は試料 Wの上面との間に数十; mないし数 mm程度の微小隙間を形成している。 このような構成では、 ステージの移動に伴ってステージからガスが放出されてチヤ ンバ C内の圧力が上昇し先端部すなわち荷電ビーム照射部 1 4 1 · 2にガスが流入し ようとしても、 仕切り 1 4 2 · 1が試料 Wとの隙間を絞ってコンダクタンスを非常に 小さく しているためガスは流入を邪魔され流入量は減少する。 更に、 流入したガスは ' 、 円周溝 1 4 2 · 2から真空配管 1 4 2 · 5へ排気されるため、 荷電ビーム照射部 1 4 1 · 2の周囲の空間 1 4 1 · 6へ流入するガスはほとんどなくなり、 荷電ビーム照 射部 1 4 1 · 2の圧力を所望の高真空のまま維持することができる。
図 1 4 3において、 さらに他の変形例が示されている。 チャンバ Cと荷電ビーム照 射部 1 4 1 · 1の周囲には仕切り 1 4 3 · 1が設けられ、 荷電ビーム照射部 1 4 1 · 1 ¾チャンバ Cから隔てている。 この仕切り 1 4 3 · 1は、 銅やアルミ二ユウム等の 熱伝導性の良い材料からなる支持部材 1 4 3 · 2を介して冷凍機 1 4 3 · 3に連結さ れており、 一 1 0 0 °Cないし— 2 0 0 °C程度に冷却されている。 部材 1 4 3 · 4は冷 却されている仕切り 1 4 3 · 1 と鏡筒 1 3 8 · 1 9の間の熱伝導を阻害するためのも のであり、 セラミックスや樹脂材等の熱伝導性の悪い材料から成っている。 また、 部 材 1 4 3 · 5はセラミックス等の非絶縁体から成り、 仕切り 1 '4 3 · 1の下端に形成 され試料 Wと仕切り 1 4 3 · 1が放電することを防ぐ役割を持っている。
このような構成により、 チャンパ C内から荷電ビーム照射部に流入しょうとするガ ス分子は、 仕切り 1 4 3 · 1で流入を阻害される上、 流入しても仕切り 1 4 3 · 1の 表面に凍結捕集されてしまうため、 荷電ビーム照射部 1 4 3 · 6の圧力を低く保つこ とができる。
なお、 冷凍機としては、 液体窒素による冷却や、 H e冷凍機、 パルスチューブ式冷 凍機等の様様な冷凍機が使用できる。
図 1 4 4において、 さらに他の変形例が示されている。 ステージの両可動部には、 図 1 3 8に示したのと同様に仕切り板 1 4 4 · 1、 1 4 4 · 2が設けられており、 試 料台 1 4 4 · 3が任意の位置に移動しても、 これらの仕切りによってステージ内の空 間 1 4 4 · 4とチャンバ C内とが絞り 1 4 4 · 5、 Γ4 4 · 6を介して仕切られる。 更に、 荷電ビーム照射部 1 4 1 · 1の周りには図 1 4 1 に示したのと同様の仕切り 1 4 4 - 7が形成されており、 チャンバ C内と荷電ビーム照射部 1 4 1 · 1のある空間 が絞り 1 4 4 · 8を介して仕切られている。 このため、 ステージ移動時、 ステージに 吸着しているガスが空間 1 4 4 · 4に放出されてこの部分の圧力を上昇させても、 チ ャンバ Cの圧力上昇は低く抑えられ、 空間 1 4 4 · 9の圧力上昇は更に低く抑えられ る。 これにより、 荷電ビーム照射空間 1 4 4 · 9の圧力を低い状態に保つことができ る。 また、 仕切り 1 4 4 · 7に示したように差動排気機構を内蔵した仕切り 1 4 2 · 1 としたり、 図 1 4 2に示したように冷凍機で冷却された仕切りとすることによつ τ
、 空間 1 4 4 · 9を更に低い圧力で安定に維持することができるようになる。
これらの実施の形態によれば、 次のような効果を奏することが可能である。
( 1 ) ステージ装置が真空内で高精度な位置決め性能を発揮することができ、 更に、 荷電ビーム照射位置の圧力が上昇しにくい。 すなわち、 試料に対する荷電ビームによ る処理を高精度に行うことができる。
( 2 ) 静圧軸受け支持部から放出されたガスが仕切りを通過して荷電ビーム照射領域 側に通過することがほとんどできない。 これによつて荷電ビーム照射位置の真空度を 更に安定させることができる。
( 3 ) 荷電ビーム照射領域側に放出ガスが通過することが困難になり、 荷電ビーム照 射鏔域の真空度を安定に保ち易くなる。
( 4 ) 真空チャンバ内が、 荷電ビーム照射室、 静圧軸受け室及びその中間室の 3室に 小さいコンダクタンスを介して分割された形になる。 そして、 それぞれの室の圧力を 、 低い順に荷電ビーム照射室、 中間室、 静圧軸受け室となるように真空排気系を構成 する。 中間室への圧力変動は仕切りによって更に低く抑えられ、 荷電ビーム照射室へ の圧力変動は、 もう一段の仕切りによって更に低減され、 圧力'変動を実質的に問題な いレベルまで低減することが可能となる。
( 5 ) ステージが移動した時の圧力上昇'を低く抑えることが可能になる。
( 6 ) ステージが移動した時の圧力上昇を更に低く抑えることが可能である。
( 7 ) ステージの位置決め性能が高精度で、 かつ荷電ビームの照射頜域の真空度が安 定した検査装置を実現することができるので、 検査性能が高く、 試料を汚染する恐れ のない検査装置を提供することができる。
(8) ステージの位置決め性能が高精度で、 かつ荷電ビーム照射領域の真空度が安定 した露光装置を実現することができるので、 露光精度が高く、 試料を汚染する恐れの ない露光装置を提供することができる。
(9) ステージの位置決め性能が高精度で、 かつ荷電ビーム照射領域の真空度が安定 じた装置によって半導体を製造することにより、 微細な半導体回路を形成できる。 なお、 図 1 38〜図 144のステージ装置を図 1 3のステージ 1 3 · 6に適用でき ることは明らかである。
図 1 4 5ないし図 147を参照して、 本発明による XYステージの他の実施の形態 を説明する。 なお、 図 148の従来例及び実施の形態において共通する構成部材を示 す参照番号は同じになっている。 なお、 の明細書中で 「真空」 とは当該技術分野に おいて呼ばれる真空であって、 必ずしも絶対真空を指すものではない。
図 1 4 5において、 XYステージの他の実施態様が示されている。 荷電ビームを試 料に向かって照射する鏡筒 1 45 · 1の先端部すなわち荷電ビーム照射部 14 5 - 2 が真空チャンバ Cを画成するハウジング 145 · 3に取り付けられている。 鏡筒 14 5 · 1の直下には、 XYステージ 145 · 4の X方向 (図 14 5において左お方向) の可動テーブル上に載置されている試料 Wが配置されるようになっている。 この試料 Wは高精度な X Yステージ 1 45 · 4によって、 その試料面上の任意の位置に対して 正確に荷電ビームを照射させることができる。
X Yステージ 145 ' 4の台座1 45 ' 5はハウジング 14 5 · 3の底壁に固定さ れ、 Y方向 (図 1 45において紙面に垂直の方向) に移動する Yテーブル 14 5 · 6 が台座 1 45 · 5の上に載っている。 Yテーブル 145 . 6の両側面 (図 145にお いて左右側面) には、 台座 1 45 · · 5.に載置された一対の Y方向ガイ ド 14 5 · 7 及ぴ 1 4 5 · 8の Yテーブルに面した側に形成された凹溝内に突出する突部が形成さ れている。 その凹溝は Y方向ガイ ドのほぼ全長に亘つて Y方向に伸びている。 凹溝内 に突出する突部の上、 下面及び側面には公知の構造の静圧軸受け 1 45 · 9、 145 • 1 0、 14 5 - 1 1 , 1 5 · 1 2がそれぞれ設けられ、 これらの静圧軸受けを介 して高圧ガスを吹き出すことにより、 Yテーブル 145 · 6は Y方向ガイ ド 1 4 5 - 7、 14 5 · 8に対して非接触で支持され、 Y方向に円滑に往復運動できるようにな つている。 また、 台座 1 45 ' 5と Yテーブル 1 45 · 6との間には、 公知の構造の リニァモータ 14 5 · 1 3が配置されており、 Y方向の駆動をそのリニアモータで行 うようになっている。 Yテーブルには、 高圧ガス供給用のフレキシブル配管 1 45 · 1 4によって高圧ガスが供給され、 Yテーブル内に形成されたガス通路 (図示せず) を通じて上記静圧軸受け 14 5 ' 1 0なぃし 1 4 5 ' 9及び 1 45 · 1 2ないし 14 5 · 1 1に対して高圧ガスが供給される。 静圧軸受けに供給された高圧ガスは、 Y方 向ガイ ドの対向する案内面との間に形成された数ミクロンから数十ミクロンの隙間に 噴出して Yテーブルを案内面に対して X方向と Z方向 (図 14 5において上下方向) に正確に位置決めする役割を果たす。
Yテ一ブル上には Xテーブル 1 45 · 1 4が X方向 (図 14 5において左お方向) に移動可能に載置されている。 Yテーブル 14 5 · 6上には Yテ一ブル用の Y方向ガ イ ド 14 5 · 7、 14 5 - 8と同じ構造の一対の X方向ガイ ド 145 · 1 5 ( 1 45 • 1 6 ) ( 1 45 · 1 5のみ図示) が Xテーブル 1 4 5 · 14を間に挟んで設けられ ている。 X方向ガイ ドの Xテーブルに面した側にも凹溝が形成され、 Xテーブルの側 部 (X方向ガイ ドに面した側部) には凹溝内に突出する突部が形成されている。 その 凹溝は X方向ガイ ドのほぼ全長に亘つて伸びている。 凹溝内に突出する X方向テ一ブ ル 145 · 1 4の突部の上、 下面及び側面には前記静圧軸受け 145 · 9、 1 5 · 1 0、 14 5 - 1 7, 145 - 1 1、 14 5 . 1 2、 14 5 . 1 8と同様の静圧軸受 け (図示せず) が同様の配置で設けられている。 Υテーブル 1 45 · 6と Xテーブル 1 5 · 14との間には、 公知の構造のリニアモータ 14 5 · 1 9が配置されており 、 Xテ一ブルの X方向の駆動をそのリニアモータで行うようにしている。 そして、 X テーブル 145 · 14にはフレキシブル配管 145 · 20によって高圧ガスが供給さ れ、 静圧軸受けに高圧ガスを供給するようになっている。 この高圧ガスが静圧軸受け から X方向ガイ ドの案内面に対して噴出されることによって、 Xテ一ブル 14 5 - 1 4が Υ方向ガイ ドに対して高精度に非接触で支持されている。
真空チャンパ Cは公知の構造の真空ポンプ等に接続された真空配管 145 · 2 1、 145 · 22、 14 5 · 23によって排気されている。 配管 1 45 . 22、 1 4 5 · 2 3の入口側 (真空チャンバ内側) は台座 14 5 · 5を貫通してその上面において、 ΧΥステージ 14 5 · 4から高圧ガスが排出される位置の近くで開口しており、 真空 チャンパ内の圧力が静圧軸受けから噴出される高圧ガスにより上昇するのを極力防止 している。
鏡筒 145 · 1の先端部すなわち荷電ビーム照射部 145 · 2の周囲には、 差動排 気機構 145 · 24が設けられ、 真空チャンバ C内の圧力が高くても荷電ビーム照射 空間 145 · 2 5の圧力が十分低くなるようにしてある。 すなわち、 荷電ビーム照射 部 145 · 2周囲に取り付けられた差動排気機構 1 45 · 24の環状部材 14 5 · 2 6は、 その下面 (試料 W側の面) と試料との間で微少隙間 (数ミクロンから数百ミク ロン) 145 · 2 7が形成されるように、 ハウジング 145 · 3に対して位置決めさ れており、 その下面には環状溝 14 5 · 2 8が形成されている。 環状溝 1 45 . 2 8 は排気管 14 5 · 2 9により図示しない真空ポンプ等に接続されている。 したがって 、 微少隙間 14 5 · 27は環状溝 145 ' 28及び排気口 14 5 · 2 9を介して排気 され、 真空チヤンバ Cから環状部材 145 · 2 6によって囲まれた空間 1 45 · 2 5 内にガス分子が侵入しょうとしても、 排気されてしまう。 これにより、 荷電ビーム照 射空間 145 · 2 5内の圧力を低く保つことができ、 荷電ビームを問題なく照射する ことができる。 この環状溝は、 チャンバ内の圧力、 荷電ビーム照射空間 1 45 · 2 5 内の圧力によっては、 二重構造或いは三重構造にしてもよい。 .
静圧軸受けに供給する高圧ガスは、 一般にドライ窒素が使用される。 しかしながら 、 可能ならば、 更に高純度の不活性ガスにすることが好ましい。 これは、 水分や油分 等の不純物がガス中に含まれると、 これらの不純物分子が真空チャンバを画成するハ ウジングの内面やステージ構成部品の表面に付着して真空度を悪化させたり、 試料表 面に付着して荷電ビーム照射空間の真空度を悪化させてしまうからである。 なお、 以 上の説明において、 試料 Wは通常 Xテーブル上に直接載置されるのでなく、 試料を取 り外し可能に保持したり XYステージ 14 5 · 4に対して微少な位置変更を行うなど の機能を持たせた試料台の上に載置されているが、 試料台の有無及びその構造は本実 施の形態の要旨には関係ないので、 説明を簡素化するために省略されている。
以上に説明した荷電ビーム装置では、 大気中で用いられる静圧軸受けのステージ機 構をほぼそのまま使用できるので、 露光装置等で用いられる大気用の高精度ステージ と同等の高精度の XYステージを、 ほぼ同等のコス ト及び大きさで荷電ビーム装置用 の XYステージに対して実現できる。 なお、 以上説明した静圧ガイドの構造や配置及 ぴァクチユエ一夕 (リニアモータ) はあくまでも一実施の形態であり、 大気中で使用 可能な静圧ガイ ドゃァクチユエ一夕ならば何でも適用できる。
次に、 .差動排気機構の環状部材 145 · 2 6及びそれに形成される環状溝の大きさ の数値例を図 146に示す。 なお、 この例では環状溝は 1 46 · 1及び 1 46 · 2の 二'重構造を有しており、 それらは半径方向に隔てられている。
静圧軸受けに供給される高圧ガスの流量は、 通常おおよそ 2 0 LZrn i n (大気圧 換算) 程度である。 真空チャンバ Cを、 内径 5 0mmで長さ 2 mの真空配管を介して 2 0 0 0 0 L/m i nの排気速度を有するドライポンプで排気すると仮定すると、 真 空チャンバ内の圧力は、 約 1 6 0 P a (約 1. 2 T o r r) となる。 この時、 差動排 気機構の環状部材 1 46 · 3及び環状溝等の寸法を、 図 1 46'に示されるようにすれ ば、 荷電ビーム照射空間 1 4 1 · 1内の圧力を 1 0-4P a ( 1 0 -6T o r r ) にする ことができる。
図 147において、 XYステージの他の実施態様が示されている。 ハウジング 14 7 · 1によって画成された真空チャンパ Cには、 真空配管 147 . 2、 1 47 . 3を 介してドライ真空ポンプ 147 · 4が接続されている。 また、 差動排気機構 1 47 · 5の環状溝 1 47 · 6は排気口 147 · 7に接続された真空配管 1 47 · 8を介して 超高真空ポンプであるターボ分子ポンプ 1 47 · 9が接続されている。 更に、 鏡筒 1 47 · 1 0の内部は、 排気口 147 · 1 1に接続された真空配管 147 . 1 2を介し て、 ターボ分子ポンプ 147 · 1 3が接続されている。 これらのターボ分子ポンプ 1 47 · 9、 1 47 · 1 3は、 真空配管 1 4 7 · 14、 14 7 · 1 5によってドライ真 空ポンプ 14 7 · 4に接続されている。 図では、 ターボ分子ポンプの粗 きポンプと 真空チャンバの真空排気用ポンプを 1含のドライ真空ポンプで兼用したが、 X Υステ 一ジの静圧軸受けに供給する高圧ガスの流量、 真空チャンパの容積や内表面積、 真空 配管の内径や長さに応じて、 それらを別系統のドライ真空ポンプで排気する場合も考 えられる。
ΧΥステージの静圧軸受けには、 フレキシブル配管 147 · 1 6、 147 · 1 6を 通して高純度の不活性ガス (Ν2ガス、 A rガス等) が供給される。 静圧軸受けから 噴出したこれらのガス分子は真空チャンバ内に拡散し、 排気口 147 · 1 8、 1 47 · 1 9、 14 7 - 20を通してドライ真空ポンプ 147 · 4によって排気される。 ま た、 差動排気機構や荷電ビーム照射空間に侵入したこれらのガス分子は環状溝 1 47 • 6或いは獰筒 147 . 1 0の先端部から吸引され、 排気口 1 47 · 7及ぴ 14 7 · 1 1を通ってターボ分子ポンプ 147 · 9及び 147 ■ 1 3によって排気され、 ター ポ分子ポンプから排出された後ドライ真空ポンプ 147 · 4よって排気される。 この ように、 静圧軸受けに供給された高純度不活性ガスはドライ真空ポンプに集められて 排出される。
一方、 ドライ真空ポンプ 147 · 4の排気口は、 配管 1 47 · 2 1を介して圧縮機 1 47 - 22に接続され、 圧縮機 1 47 . 22の排気口は配管 1 47 · 2 3、 1 47 • 24、 147 · 2 5及びレギユレ一夕 147 · 26、 147 · 2 7を介してフレキ シプル配管 1 47 · 1 6、 147 · 1 7に接続されている。 このため、 ドライ真空ポ ンプ 147 · 4から排出された高純度不活性ガスは、 圧縮機 1 47 * 22によって再 び加圧されレギユレ一夕 147 · 2 6、 147 - 2 7で適正な圧力に調整された後、 再び ΧΥテーブルの静圧軸受けに供給される。
なお、 静圧軸受けに供給されるガスは上述したようにできるだけ高純度にし、 水分 や油分が極力含まれないようにする必要があるため、 ターボ分子ポンプ、 ドライボン プ及び圧縮機は、 ガス流路に水分や油分が混入しないような構造であることが求めら れる。 また、 圧縮機の排出側配管 147 '· 2 3の途中にコールド トラップやフィルタ 等 ( 1 47 · 2 8 ) を設け、 循環するガス中に混入した水分や油分等の不純物質をト ラップして静圧軸受けに供給されないようにすることも有効である。 こうすることによって、 高純度不活性ガスを循環させて再利用できるので、 高純度 不活性ガスを節約でき、 また、 本装置が設置された部屋に不活性ガスをたれ流さない ので、 不活性ガスによる窒息等の事故が発生する恐れもなくすことができる。
なお、 循環配管系には高純度不活性ガス供給系 147 · 2 9が接続されており、 ガ スの循環を始める際に、 真空チャンバ Cや真空配管 1 47 · 8、 1 47 - 1 2, 14 7 · 14、 14 7 - 1 5 , 1 47 - 2, 1 7 - 3及び加圧側配管 147 · 2 1、 1 47 - 2 3 , 1 47 - 24, 147 . 2 5、 147 - 3 0を含む全ての循環系に高純 度不活性ガスを満たす役割と、 何らかの原因で循環するガスの流量が減少した際に不 足分を供給する役割とを担っている。 また、 ドライ真空ポンプ 147 · 4に大気圧以 上まで圧縮する機能を持たせることによって、 ドライ真空ポンプ 147 · 4と圧縮機 147 · 2 2を 1台のポンプで兼ねさせることも可能である。
更に、 鏡筒の排気に用いる超高真空ポンプには、 ターボ分子ポンプの代わりにィォ ンポンプやゲッタポンプ等のポンプを使用することも可能である。 ただし、 これらの 溜込み式ポンプを用いた場合は、 この部分には循環配管系を構築することはできない ことになる。 また、 ドライ真空ポンプの代わりに、 ダイヤフラム式ドライポンプ等、 他方式のドライポンプを使用することももちろん可能である。
図 149において、 本実施の形態による荷電ビーム装置の光学系及び検出器が模式 的に示されている。 光学系は鏡筒内に設けられているが、 この光学系及び検出器はあ くまでも例示であり、 必要に応じて任意の光学系、 検出器を使用できる。 荷電ビーム 装置の光学系 149 · 1は、 荷電ビームをステージ 1 49 · 2上に載置された試料 W に照射する一次光学系 149 ' 3と、 試料から放出された二次電子が投入される二次 光学系 149 · 4とを備えている。 一次光学系 149 · 3は、 荷電ビームを放出する 電子銃 149 · 5と、 電子銃 149 · 5から放出された荷電ビームを集束する 2段の 静電レンズからなるレンズ系 149 · 6と、 偏向器 1 49 · 7と、 荷電ビームをその 光 ί由が対象の面に垂直になるように偏向するウィーンフィル夕すなわち Ε XB分離器 149 · 8と、 2段の静電レンズからなるレンズ系 1 49 · 9とを備え、 それらは、 図 1 49に示されるように電子銃 1 49 · 5を最上部にして順に、 荷電ビームの光軸 が試料 Wの表面 (試料面) に鉛直な線に対して傾斜して配置されている。 EXB偏向 器 1 49 · 8は電極 149 · 1 0、 び磁石 149 . 1 1を備えている。
二次光学系 1 49 · 4は試料 Wから放出された二次電子が投入される光学系で、 一 次光学系の E XB型偏向器 149 · 8の上側に配置された 2段の静電レンズからなる レンズ系 149 · 1 2を備えている。 検出器 149 · 1 3は、 二次光学系 1 4 9 - 4 を介して送られた二次電子を検出する。 上記光学系 149 · 1及び検出器 14 9 - 1 3の各構成要素の構造及び機能は従来のものと同じであるから、 それらについての詳 細な説明は省略する。
電子銃 1 4 9 · 5から放出された荷電ビームは、 電子銃の正方形開口で整形され、 2段のレンズ系 1 4 9 · 6によって縮小され、 偏光器 1 4 9 · 7で光軸を調整されて E X B偏向器 1 4 9 · 8の偏向中心面に一辺が 1 . 9 2 5 mmの正方形に結像される 。 5 3徧向器 1 4 9 · 8は、 試料の法線に垂直な平面内において、 電界と磁界とを 直交させた構造となっており、 電界、 磁界、 電子のエネルギの関係が一定の条件を満 たす時には電子を直進させ、 それ以外の時にはこれら電界、 磁界及び電界のエネルギ の相互の関係により所定方向に偏向されるようになっている。 図 1 4 9においては、 電子銃からの荷電ビームを試料 Wに垂直に入射させ、 また試料から放出された二次電 子を検出器 1 4 9 · 1 3の方向に直進させるように設定されている。 E X B偏光器で 偏向された成形ビームはレンズ系 1 4 9 · 9で 1ノ 5に縮小されて試料 Wに投影され る。 試料 Wから放出されたパターン画像の情報を持った二次電子はレンズ系 1 4 9 · 9 、 1 4 9 - 4で拡大され、 検出器 1 4 9 · 1 3で二次電子画像を形成する。 この 4 段の拡大レンズは、 レンズ系 1 4 9 · 9が対称ダブレッ トレンズを形成し、 レンズ系 1 4 9 · 1 2もやはり対称ダブレツ トレンズを形成しているので無歪みレンズとなつ ている。
本実施の形態によれば、 次のような効果を奏することが可能である。
( 1 ) 大気中で一般に用いられる静圧軸受け式のステージと同様の構造を持ったステ ージ (差動排気機構を持たない静圧軸受け支持のステージ) を使用して、 ステージ上 の試料に対して荷電ビームによる処理を安定に行うことができる。
( 2 ) 荷電ビーム照射領域の真空度に対する影響を最小限に抑えることが可能になり 、 荷電ビームによる試料への処理を安定化させることができる。
( 3 ) ステージの位置決め性能が高精度で、 かつ荷電ビームの照射領域の真空度が安 定した検査装置を安価に提供することができる。
( 4 ) ステージの位置決め性能が高精度で、 かつ荷電ビーム照射領域の真空度が安定 した露光装置を安価に提供することができる。
( 5 ) ステージの位置決め性能が高精度で、 かつ荷電ビーム照射領域の真空度が安定 した装置によって半導体を製造することにより、 微細な半導体回路を形成できる。
3— 2 ) 電子線装置の他の実施の形態
さらに、 この写像投影方式の課題解決を考慮した、 もう 1つの方式として一次電子 線を複数とし、 前記複数の電子線を二次元 (X— Y方向) に走査しながら (ラスタ一 スキャン) 試料表面の観察領域を照射するものであり、 二次電子光学系は写像投影方 式を採用した方式がある。
この方式は、 前述の写像投影方式の利点を持つとともに、 この写像方式の課題であ る、 ( 1 ) 電子線を一括照射するために、 試料表面上でチャージアップしやすいこと 、 (2 ) 本方式で得られる電子線電流に限界が有り ( 1 . 6 A程度) 検査速度向上 の妨げとなっていること、 については複数の電子線を走査することにより解決できる 。 即ち、 電子線照射点が移動するので電荷が逃げやすく、 チャージアップが減少する 。 また、 複数の電子線の本数を増やすことにより、 容易に電流値を増加できる。 実施 の形態においては 4本の電子線を使う場合、 一本の電子耩電流が 5 0 0 n A (電子線 の径 1 0 μ πι) で合計 2 ;ti Aが得られている。 1 6本程度には容易に電子線の数を増 やすことが可能であり、 この場合で 8 μ Aを得ることが原理的に可能である。 複数の 電子線の走査は複数の電子線による照射量が、 照射領域に均一になるように照射され れば良いので、 前記のようにラスタスキャンに限らず、 リサージュ図形などの他の形 状の走査形状でも良い。 従って、 ステージの走査方向は複数の電子線の走査方向に垂 直である必要は無い。
3 - 2 - 1 ) 電子銃 (電子線源)
この実施の形態で用いられる電子線源として熱電子線源を使用している。 電子放出 (ェミッタ) 材は L a B 6である。 髙融点 (高温での蒸気圧が低い) で仕事関数の小 さい材料であれば、 他の材料を使用することが可能である。 複数の電子線を得るため に、 2通りの方法を用いている。 一つは一本のェミッタ (突起が一つ) から一本の電 子線引き出し、 複数の穴のあいた薄板 (開口板) を通すことにより、 複数の電子線を 得る方法、 もう一つの方法は一本のエミッタに複数の突起を形成してそこから直に複 数の電子線を引き出す方法である。 いずれの場合も電子線は突起の先端から放出され やすい性質を利用している。 他の方式の電子線源例えば熱電界放出型の電子線ゃショ ッ トキータイプも使用可能である。 さらに電子銃は矩形、 線形のビームを出すもので も良く、 その形状を作り出すために、 開口形状で行っても良いし、 電子源の電子生成 部 (チップ又はフィラメント等) の形状を矩形又は線状にしても良い。
¾お、 熱電子線源は電子放出材を加熱することにより電子を放出する方式であり、 熱電解放出電子線源とは、 電子放出材に高電界をかけることにより電子を放出させ、 更に電子線放出部を加熱することにより、 電子放出を安定させた方式である。
図 1 5 0の Aは、 該他の実施の形態による電子線装置の概略図である。 一方、 図 1 5 0の Bは、 複数の一次電子線で試料を走査する態様を示す概略平面 T 図である。 空間電荷制限条件で作動可能な電子銃 1 5 0 · 1は、 図 1 5 0の8に符号 1 5 0 - 2 で示すようなマルチビームを形成する。 マルチビーム 1 5 0 · 2は、 円周上に配置さ れた 8個の円形ビームである一次電子線' 1 5 0 · 3から構成される。
電子銃 1 5 0 · 1で発生した複数の一次電子線 1 5 0 · 3は、 レンズ 1 5 0 · 5、 1 5 0 - 6を用いて集束され、 電極 1 5 0 · 7および磁石 1 5 0 · 8からなる E X B 分離器 1 5 0 · 9によって試料 Wに対して直角に入射するようになされている。 これ らの要素 1 5 0 · 4、 1 5 0 - 5 , 1 5 0 · 6、 1 5 0 · 9とレンズ 1 5 0 · 1 0お よび対物レンズ 1 5 0 · 1 1 とを含む一次光学系によって試料 W上に集束された複数 の一次電磁線 1 5 0 · 3からなるマルチビーム 1 5 0 · 2は、 レンズ 1 5 0 · 6の下 流側に設けた 2段偏向器 (図示せず。 一次光学系に含まれる) により試料 W上の走査 に用いられる。
試料 Wの走査は、 対物レンズ 1 5 0 · 1 1の主面を偏向中心として、 X軸方向に行 われる。 図 1 5 0の Βに示すように、 マルチビーム 1 5 0 · 2のそれぞれの一次電子 線 1 5 0 · 3は、 円周上に互いに離れて配置されており、 走査方向である X方向に直 交する y軸上に投影したとき、 互いに隣接する一次電子線 1 5 0 · 3間の距離 (各一 次電子線の中心で計測する。) が等間隔になるように設計されている。 このとき、 互 いに隣接する一次電子線 1 5 0 · 3どうしは、 離れていても、 接していても、 一部が 重なり合っていてもよい。
重なり合いピッチは 1 0 0 ; um以下の任意の値に設定して良く、 好ましくは 5 0 {1 m以下、 より好ましくは 1 0 πι以下に設定して良い。 ビーム形のピッチ以下にする ことにより、 ビーム同士が接触して線状の形状にすることも可能である。 また初めか ら矩形又は線状のビームを形成したものを用いても良い。
図 1 5 0の Bに示すように、 マルチビーム 1 5 0 · 2を構成するそれぞれの一次電 子線 1 5 0 · 3が、 互いに離れて配置されていることにより、 個々の一次電子線 1 5 0 · 3の電流密度限界値すなわち試料 Wに帯電を生じさせない限界の電流密度値は、 単一の円形ビームを使用した場合と同等に維持することができ、 それにより、 SZN 比の低下を防止することができる。 また、 各一次電子線 1 5 0 · 3が互いに離れてい るので、 空間電荷効果も小さい。
その一方で、 マルチビーム 1 5 0 · 2は、 一回の走査で試料 Wを視野 1 5 0 . 1 2 の'全面に亘つて一様な密度で走査することができる。 これにより、 高スループッ トで 画像形成ができ、 検査時間の短縮を図ることができる。 図 1 5 0の Bにおいて、 符号 1 5 0 · 2が走査の始点にあるマルチビームを示すとすると、 符号 1 5 0 · 1 3は走 査の終点にあるマルチビームを示す。
試料 Wは試料台 (図示せず) に載せられる。 この台は、 X方向への走査時 (例えば 2 0 0 μπι幅で走査) に、 走査方向 xに直交する方向 yに沿って連続移動せしめられ る。 これにより、 ラスタ走査が行われる。 試料を載せた台を移動させるための駆動装 置 (図示せず) が設けられる。
走査時に試料 Wから発生し色々な方向に放出された二次電子は、 対物レンズ 1 5 0 • 1 1で光軸方向に加速され、 その結果、 各点から色々な方向に放出された二次電子 はそれぞれが細く集束され、 レンズ 1 5 0 . 1 0、 1 5 0 - 1 1 , 1 5 0 · 1 4、 1
5 0 · 1 5で像の間隔が拡大される。 これらのレンズ 1 5 0 · 1 0、 1 5 0 · 1 1、
1 5 0 - 1 4 , 1 5 0 - 1 5を含む二次光学系を経て形成された二次電子線 1 5 0 .
1 6は、 検出器 1 5 0 · 1 7の受光面に投影され、 視野の拡大像を結像させる。 光光学系に含まれる検出器 1 5 0 · 1 7は、 MC P (マイクロチャンネルプレート
) で二次電子線を増倍し、 シンチレ一タで光信号に変換し、 C CD検出器で電気信号 に変換する。 C CDからの電気信号により、 試料 Wの二次元画像を形成することがで きる。 それぞれの一次電子線 1 5 0 · 3は、 C CD画素の少なくとも 2画素以上の寸 法を有するものとする。
電子銃 1 5 0 · 1を空間電荷制限条件で動作させることにより、 一次電子線 1 5 0
• 3のショ ッ ト雑音は、 温度制限条件で動作させた場合より約 1桁少なくすることが できる。 したがって、 二次電子信号のショッ ト雑音も 1桁小さくできるので、 SZN 比の良い信号を得ることができる。
本実施の形態の電子線装置によれば、 試料に帯電を生じさせない一次電子線の電流 密度限界値を、 単一の円形ビームを使用した場合と同等に維持することにより SZN 比の低下を防止しつつ、 高スループッ トで画像形成することにより検査時間を短縮で きる。
また本実施の形態によるデバイス製造方法は、 かかる電子線装置を用いて各ゥェ一 ハプロセスの終了後にゥェ一ハの評価を行うことによって、 歩留まり向上を図ること ができる。 ' 図 1 5 1は、 図 1 5 0の Aの実施の形態による電子線装置の詳細を示す図である。 電子銃 1 5 1 · 1から放出された 4本の電子線 1 5 1 · 2 (1 5 1 - 3- 1 5 1 - 6 ) は開口铰り 1 5 1 · 7で整形され、 2段のレンズ 1 5 1 · 8、 1 5 1 · 9でウイ一 ンフィルタ 1 5 1 · 1 0の偏向中心面に 1 0 mX l 2; u mの楕円状に結像され、 図 の ffi面垂直方向に偏向器 1 5 1 · 1 1によりラスタースキャンされ、 4本の電子線全 体として I mmX O . 2 5 mmの矩形領域を均一にカバーするように結像される。 E XB 1 5 1 · 1 0で偏向された複数の電子線は N A絞りでクロスオーバーを結び、 レ ンズ 1 5 1 · 1 1で 1 / 5に縮小され試料 Wに 2 0 0 μ X 5 0 mを力パーし、 かつ 試料面に垂直になるように照射、 投影される (ケーラー照明と呼ばれる)。 試料から 放出されたパターン画像 (試料像 F) の情報を持った 4本の 2次電子線 1 5 1 * 1 2 はレンズ 1 5 · 1 1、· 1 5 1 ' 1 3、 1 5 1 - 14で拡大され、 MC Ρ 1 5 1 · 1 5 上に全体として 4本の電子線 1 5 1 · 1'2で合成された矩形画像 (拡大投影像 F ') として結像する。 この二次電子線 1 5 1 · 1 2による拡大投影像 F ' は、 MC Ρ 1 5 1 · 1 5で 1万倍に增感され、 蛍光部により光に変換され、 TD I— C CD 1 5 1 · 1 6で試料の連続移動速度に同期された電気信号となり、 画像表示部 1 5 1 · 1 7で 連続した画像として取得され、 C RT等に出力した。
電子線照射部は試料表面をできるだけ均一に、 かつ照射むらを少なくして、 矩形ま たは楕円状に電子線で照射する必要があり、 また、 スループッ トをあげるためにはよ り大きな電流で照射領域を電子線照射する必要がある。 従来の電子線照射むらは ± 1 0 %程度であり画像のコントラストむらが大きく、 また、 電子線照射電流は照射領域 において 5 0 0 n A程度と少ないために、 高いスループッ トが得られないという問題 があった。 また、 走査型電子線顕微鏡 (S EM) 方式に比べて、 本方式は広い画像観 察領域を一括して電子線照射するためにチャージアツプによる結像障害が生じやすい という問題があった。 '
本実施の形態の一次電子線照射方法を図 1 5 2により示す。 一次電子線 1 5 2 * 1 は 4本の電子線 1 5 2 · 2 ~ 1 5 2 · 5で構成され、 それぞれのビームは 2 τ Χ 2 . 4 xmの楕円状しており、 それぞれ 1本当り 2 0 0 imX 1 2. の矩形領域 をラスタースキャンし、 それらが重なり合わないように足し合わせて全体として 2 0 0 ^ 5 0 zmの矩形領域を照射する。 ビーム 1 5 1 · 2は 1 5 1 · 2 ' へ有限の時 間で到達し次にビ一ムスポッ ト径分 ( 1 0 tm) ずれた 1 5 1 · 2の直下にほとんど 時間損失なしに戻り、 再度前記と同じ有限の時間で 1 5 1 · 2〜 1 5 1 · 2 ' に平行 に 1 5 1 · 2 ' の直下 ( 1 5 1 · 3 ' 方向) に移動し、 これを繰り返して図の点線で 示す矩形の照射領域の 1 /4 ( 2 0 0 ^ mX 1 2. 5 ^ m) を走査した後はじめの点 1 5 2 - 1に戻り これを高速に繰り返す。
他の電子線 1 5 2 · 3〜 1 5 2 · 5も電子線 1 5 2 · 2 と同様に同じ速度で走査を 繰り返し、 全体として図の矩形の照射領域 (2 0 0 i X 5 0 ^m) を均一に高速に照 射する。
均一に照射できれば、 前記のラスタ一スキャンでなくても良い。 例えばリサージュ 形'を描くように走査しても良い。 従って、 ステージの移動方向は図に示す方向 Aであ る必要は無い。 即ち、 スキャン方向 (図の横方向の高速走査方向) に垂直である必要' は無い。
本実施の形態では電子線照射むらは ± 3 %程度で照射できた。 照射電流は 1本の電 子線当たり 2 5 0 n Aで試料表面で全体として、 4本の電子ビームで 1. 0 Aを得 ることができた (従来の 2倍)。 電子線の本数を増やすことに'より、 電流を増加でき 、 高スループッ トを得ることができる。 また、 照射点が従来に比べて小さく (面積で 約 1 8 0) また移動しているのでチヤ一ジアップは従来の 1ノ 2 0以下に抑えるこ とができた。
図中には示していないが、 本装置には、 レンズの他に、 制限視野絞り、 電子線の軸 調整のための 4極またはそれ以上の極数を有する偏向器 (ァライナ一)、 非点収差捕 正器 (スティ グメータ)、 さらにビーム形状を整形する複数の 4重極レンズ (4極子 レンズ) 等電子線の照明、 結像に必要なユニッ トを備えている。
3 - 2 - 2 ) 電極の構造
図 1 5 3は、 電子線を試料に照射する静電レンズを用いた電子光学系において絶縁 破壊を防止する電極構造を備える電子線装置を示している。
これまで、 光学的に検査するだけでは十分な感度や解像度が得られないような微細 な試料の表面状態を検査する為に、 電子線を利用した高感度、 高解像度な電子線装置 を用いるための検討がなされている。
このような電子線装置は、 電子線源によって電子線を放出し、 静電レンズ等の静電 光学系によって'、 この放出された電子線を加速したり収束したりするなどして検査対 象である試料に入射させる。 次に、 電子線の入射によって試料から放出される二次電 子線を検出することによって、 検出された二次電子線に対応する信号を発生させ、 こ の信号により例えば、 試料のデータを形成する。 この形成されたデータにより、 試料 の表面状態を検査する。
こうした電子線装置に用いられる静電レンズ等の静電レンズを用いた電子光学系に は、 電子線を加速したり収束するための電界を生成する電極が、 電子線の光軸方向に 多段に配設されている。 これら電極には各々所定の電圧が印加され、 こうして電極の 電位差によって生ずる電界によって、 電子線を加速したり、 光軸上の所定の点に収束 させるようにしている。
従来の電子線装置においては、 電子線源から放出した電子線の一部が、 静電レンズ を用いた電子光学系における電界に係わらず電極に衝突する場合がある。 この場合に 、 電子線が電極に衝突することにより、 電極自体から二次電子線が放出される。 この 電極から放出される二次電子線の量は、 電極の材料、 又は、 電極をコ一ティングして い ¾材料によって変化する。 この電極から放出される二次電子線が多くなると、 この 二次電子線は電極の電界によって加速され、 装置内の残ガスをイオン化し、 このィォ ンが電極に衝突することによって、 更に、 電極から二次電子線が放出される。 したが つて、 二次電子線が大量に放出されると、 電極間において放電が生じ易くなり、 電極 間で絶縁破壊を起こす確率が増加してしまう。
例えば、 電極がアルミニウムでコーティ ングされている場合と、 金でコーティ ング されている場合とで、 絶縁破壊の確率を比較すると、 アルミニウムの場負の方が電極 間の絶縁破壊の確率が若干高かった。 アルミニウムは、 仕事関数が 4 . 2 [ e V ] で あり、 金は、 仕事関数は 4 . 9 [ e V ] である。 ここで、 仕事関数とは、 金属にある 1個の電子線を真空中に取り出すのに必要な最小のエネルギーである (単位 : e V ) また、 電極が金でコーティ ングされている場合であって、 更に、 電子線装置の試料 が半導体ゥエーハである場合は、 コーティングした金に電子線が衝突することによつ て金がスパッ夕され、 半導体ゥェ一八の表面に金が付着してしまう場合がある。 半導 体表面に金が付着すると、 後の熱工程で金がシリコン結晶中に拡散されトランジスタ の性能を劣化させる。 よってこの場合に、 電子線装置は半導体ゥエーハの検査に適さ ' ない。
一方、 静電レンズを用いた電子光学系の例えば、 静電レンズにおいては、 電極間距 離を短くすることによって焦点距離の短い静電レンズが得られる。 焦点距離が短いと 、 静電レンズの収差係数は小さくなり低収差となるので、 静電レンズは高分解能とな り、 評価装置の分解能が向上する。
また、 静電レンズの電極間に与える電位差を大きくすることによつても、 焦点距離 の短い静電レンズとすることができる。 よって、 電極間距離を短くする場合と同様に 、 静電レンズは低収差で高分解能となり、 電子線装置の分解能が向上する。 したがつ て、 電極間距離を短く して電極間の電位差を大きく してやれば、 相乗的に静電レンズ は低収差で高分解能とすることができる。 しかし、 電極間距離を短く して電極間の電 位差大きくすると、 電極間において放電が生じ易くなり、 電極間で絶縁破壊を起こす 確率が増加してしまうという問題がある。
従来、 電極間の絶縁は、 電極間に絶縁材料を揷入し、 この絶縁材料によって電極を ' 支持することによって、 電極間の絶縁を保持していた。 また、 電極間の絶縁材料の最 短沿面距離 (絶縁表面長さ) を長くすることによって、 絶縁材料表面の絶縁性能を高 めていた。 例えば、 絶縁材料の表面を電極間方向のヒダ形状とすることによって、 電 極間における最短沿面距離を長くしていた。
しかしながら、 一般に、 絶縁材料表面の加工は、 金属の加工に比べると加工が困難 で; 加工費用が高価になってしまう。 また、 絶縁材料表面をヒダ形状等とすると、 絶 縁材料の表面積が広くなつてしまうので、 電子線装置内が真空の場合は、 絶縁材料か らの放出ガスが多くなる場合がある。 よって、 真空度の劣化を招き、 かえって電極間 の耐圧が下がってしまう場合が多かつた。
図 1 5 3の実施の形態はこのような問題を解決するために提案されたものであり、 以下、 この実施の形態に係る、 静電光学系の電極間の絶縁破壊'を防止し得る電子線装 置を、 静電光学系を有する写像投影型評価装置に適用した場合について、 その写像投 影型評価装置の構成、 動作および該装置'を用いたデバイス製造方法を説明する。 図 1 5 3において、 写像投影型評価装置 1 5 3 · 1は、 試料を照射する電子線が所 定の放射面を持っており、 電子線の照射によって試料から放射される二次電子線も所 定の放射面を持っている。 電子線源 1 5 3 · 2からは、 二次元領域、 例えば矩形の放 射面をもつ電子線が放射され、 静電レンズ系 1 5 3 · 3によって所定倍率に拡大され る。 拡大された電子線は、 斜め上方から E X B型傭向器 1 5 3 · 4に入射され、 E X B型偏向器 1 5 3 · 4の電界と磁界が直交する場により、 試料である半導体ゥヱーハ 1 5 3 · 5の方向に偏向される (図 1 5 3の実線)。
E X B型偏向器 1 5 3 · 4によって半導体ゥェ一ハ 1 5 3 · 5の方へ偏向された電 • 子線は、 静電対物レンス系 1 5 3 · 6内の電極に印加された電圧によって発生した電 界により減速され、 静電対物レンズ系 1 5 3 · 6によって半導体ゥェーハ 1 5 3 * 5 に結像される。
次に、 半導体ゥヱ一ハ 1 5 3 · 5への電子線の照射によって発生した二次電子線は 、 静電対物レンズ系 1 5 3 · 6の電界によって検出器 1 5 3 · 7の方向に加速され ( 図 1 5 3の点線)、 E X B型偏向器 1 5 3. · 4に入射される。 E X B型偏向器 1 5 3 • 4は、 加速された二次電子線を静電中間レンズ系 1 5 3 · 8方向に向かわせ、 次に 、 静電中間レンズ系 1 5 3 · 8によって二次電子線を検出器 1 5 3 · 7に入射させる ことによって二次電子線が検出される。 検出器 1 5 3 · 7によって検出された二次電 子線はデータに変換されて表示装置 1 5 3 · 9に送信され、 表示装置 1 5 3 · 9に二 次電子線の画像を表示し、 半導体ゥヱ一ハ 1 5 3 · 5のパターンを検査する。
次に、 写像投影型評価装置 1 5 3 · 1における静電レンズ系 1 5 3 · 3、 静電対物 レンズ系 1 5 3 · 6、 静電中間レンズ系 1 5 3 · 8および E X B型偏向器 1 5 3 - 4 " の構成について詳細に説明する。 竃子線が通過する静電レンズ系 1 5 3 · 3、 静電対 物レンズ系 1 5 3 · 6や、 二次電子線が通過する静電中間レンズ系 1 5 3 · 8は、 所 定の電界を発生させる為の複数の電極を含んでいる。 また、 これら全ての電極の表面 には、 白金がコ一ティングされている。 更に、 E X B型偏向器 1 5 3 · 4の電極 1 5 3 · 1 0の表面も白金でコ一ティングされている。
ここで、 図 1 5 4を参照して、 電極をコーティングする金属別における絶縁破壊発 生確率について説明する。 尚、 絶縁破壊発生率は、 金属毎に相対的な大小関係で表し ている。 また、 写像投影型評価装置において、 電極をコーティ ングする金属の種類を 除く他の検査条件は同一とした。
まず、 電極をコ一ティ ングした金属がアルミニウムの場合と、 金の場合において、 絶縁破壊が発生する確率を比較すると、 金の場合の方が電極の絶縁破壊の発生確率が 若干低かった。 よって、 金の場合の方が絶縁破壊の防止については効果があった。 ま た更に、 電極をコーティ ングした金属が金の場合と、 白金の場合において、 絶縁破壊 が発生する確率を比較すると、 白金の場合の方が電極の絶縁破壊の発生確率が更に低 かった。 ここで、 各金属の仕事関数は、 アルミニウムが 4. 2 [e V〕 であり、 金が 4. 9 [e V〕、 白金は 5. 3 [ e V] である。 金属の仕事関数とは、 金属にある 1個の電 子線を真空中に取り出すのに必要な最小のエネルギーである (単位 : e V)。 即ち、 仕事関数の値が大きい程、 電子線が取り出しにくいことになる。
よって、 写像投影型評価装置 1 5 3 · 1において、 電子線源 1 5 3 · 2から放射さ れた電子線が電極に衝突した場合に、 仕事関数の値が大きい金属 (仕事関数の値が大 きい金属を主材料とする合金をも含む) が電極にコ一ティ ングされていれば、 電極か ら放出される二次電子線は少なくなるので、 電極の絶縁破壊の発生確率も低下する。 そのため、 仕事関数が大きい金属であれば、 或る程度良い。 具体的には、 電極にコー ティ ングされる金属の仕事関数が 5 [e V〕 であれば、 電極の絶緣破壊の発生確率は 低く抑えることができる。
また、 この実施の形態のように、 検查対象となる試料が半導体ゥエーハ 1 5 3 · 5 であり、 更に、 電極にコーティングされる金属が金の場合であると、 電子線が金に衝 突することによって、 半導体ゥエーハ 1 5 3 · 5のパターン上に金が付着してしまう ことがあった。 よって、 この実施の形態においては、 電極にコーティングされる金属 が白金であると、 半導体ゥェ一ハ 1 53 · 5のパターン上に白金が付着することなく 、 また、 白金が付着することがあってもデバイス性能を劣化させることもない。 更に 、 電極の絶縁破壊の発生確率も低くすることができ、 より好ましい。
次に、 図 1 5 5と図 1 56を参照して、 電極の形状と構成の一例を説明する。 図 1 5 5において、 電極 1 5 5 · 1とは、 静電レンズ系 1 53 · 3、 静電対物レンズ系 1 53 · 6および静電中間レンズ系 1 5 3 · 8に含まれる静電レンズの電極である。 電極 1 5 5 · 1は、 電子線や二次電子線が通過することができる通過孔が略中央部 にある円盤形状になっており、 この実施の形態の写像投影型評価装置 15 3 · 1にお いて、 電極 1 5 5 · 1には、 図示しない電源装置により所定の電圧が印加されている 。
図 1 5 6は電極 1 5 5 · 1の表面部の一部断面図である。 なお、 E XB型偏向器 1 5 3 · 4の電極 1 5 3 · 1 0の表面も電極 1 5 5 · 1の表面と同等の構成としてもよ い。 電極 1 5 5 · 1の材料は、 ケィ素銅 (シリコンブロンズ) 1 5 6 · 1から構成さ れ、 必要な寸法形状に加工されたケィ素銅 1 5 6 * 1上にチタン 1 5 6 . 2を 5 0 η mの厚さになるようにスパッタ · コーティングし、 更に、 チダン 1 5 6 · 2上に白金 1 5 6 · 3を 2 0 O nmの厚さになるようにスパッタ · コーティングを行って電極 1 5 5 - 1が形成される。
ここで、 図 1 5 7およぴ図 1 5 8を参照して、 この実施の形態において、 電極間の 電位差が大きい場合の電極間の絶縁破壊を防止する電極構成について詳細に説明する 。 図 1 5 7の電極 1 5 7 . 1、 1 5 7 · 2は、 例えば、 静電対物レンズ系 1 5 3 . 6 に含まれている電極であり、 上記のように電極には白金がコーティングされている。 また、 電極 1 5 7 * 1、 1 5 7 - 2には、 図示しない電源装置により所定の電圧が印 加されている。 この実施の形態では、 半導体ゥエー八 1 5 3 · 5側の電極 1 5 7 . 2 には高電圧、 例えば 1 5 k Vの電圧が印加され、 電極 1 5 7 · 1には 5 k Vの電圧が 印加されている。
電子線や二次電子線が通過する通過孔 1 5 7 · 3は、 電極 1 5 7 · 1、 1 57 · 2 の中央部にあり) 通過孔 1 5 7 · 3内は電極 1 57 · 1、 1 5 7 - 2の電位差によつ て電界が形成されている。 この電界によって、 電子線は減速し、 且つ収束されて、 半 導体ゥエーハ 1 5 3 · 5に照射される。 この時、 電極間の電位差が大きいので、 静電 対物レンズ系 1 5 3 · 6は焦点距離の短い静電対物レンズとすることができる。 よつ て、 静電対物レンズ系 1 5 3 · 6は低収差で高分解能となる。
電極 1 5 7 · 1、 1 5 7 - 2の間には、 絶縁スぺーサ 1 5 7 · 4が挿入されており 、 絶縁スぺ一サ 1 5 7 · 4は、 電極 1 5 7 · 1、 1 5 7 - 2を略垂直に支持している 。 絶縁スぺ一サ 1 5 7 · 4の電極間における最短沿面距離は、 支持された電極部分に おける電極間距離と略同じ長さである。 即ち、 電極間の絶縁スぺーサ 1 5 7 · 4の表 面は、 電極間方向においてヒダ状等になっておらず、 ほぼ直線になっている。
電極 1 5 7 * 2は電極間において最短距離となっている第 1の電極面 1 5 7 · 5と 、 この第 1の電極面 1 5 7 · 5よりも電極間距離が長い第 2の電極面 1 5 7 · 6と、 第 1の電極面 1 5 7 · 5と第 2の電極面 1 '5 7' · 6との間にこれら 2つの電極間方向 の段差 1 5 7 . 7 (図 1 5 8) を有している。 絶縁スぺーサ 1 5 7 . 4は電極 1 5 7 • 2を第 2の電極面 1 5 7 · 6で支持している。
電極 1 5 7 · 2をこのような形状にしたので、 電極間の最短距離を所定の距離に保 ちつつ、 絶縁スぺ一サ 1 5 7 · 4の表面を電極間方向においてヒダ状等に加工するこ となく、 絶縁スぺ一サ 1 5 7 · 4の最短沿面距離を電極間の最短距離より長くするこ とが可能となる。 また、 絶縁スぺーサ 1 5 7 · 4の表面には、 大きい電界が加わらな いので、 沿面放電も起こり難い構造とすることができる。
したがって、 静電対物レンズ系 1 35 · 6を焦点距離の短い静電対物レンズとし、 且つ低収差で高分解能にすることができ、 しかも、 絶縁スぺーサ 1 5 7 · 4の電極間 の絶縁性能が低下しないので、 電極間の絶縁破壊が防止でき ¾。 また、 金属である電 極 1 5 7 · 2に段差 1 5 7 · 7を設けるように加工したので、 絶縁スぺ一サ 1 5 7 · 4を加工するより加工費用が安価になる'。 加えて、 電極間方向における絶縁スぺーサ 1 5 7 - の表面にはほとんど凹凸部分が無く、 絶縁スぺ一サ 1 5 7 · 4からの放出 ガスが多くなることも無い。 更に、 電極 1 5 7 · 1の通過孔 1 5 7。 3の開口端部 1 5 7 · 8 と、 電極 1 5 7 · 2の通過孔 1 5 7 · 3の開口端部 1 5 7 · 9とのコーナー 部に曲率を持たせたので、 両コーナー部に電界が集中することがなくなり、 電極間の 絶縁破壊がより防止できる。 また更に、 電極 1 5 7 · 2の段差 1 5 7 · 7の電極間側 のコーナ一部に曲率を持たせたので、 コーナー部に電界が集中することがなく、 電極 間の絶縁破壊がより防止できる。
なお、 この実施の形態では、 電極 1 5 7 · 2に段差 1 5 7 · 7を設けたが、 電極 1 5 7 ■ 1にも電極 1 5 7 · 2方向に段差を設けるように加工してもよいし、 電極 1 5 7 · 2に代えて、 電極 1 5 7 · 1にのみ電極 1 5 7 · 2方向に段差を設けるように加 ェしてもよい。 また、 静電対物レンズ系 1 5 3 · 6において、 絶縁スぺ一サ 1 5 7 · 4が揷入された電極を説明したが、 他の静電レンズ系において、 電位差の大きい電極 がある場合は、 その静電レンズ系に適用することによって、 電極間の絶縁破壊を防止 することができる。
図 1 5 3〜図 1 5 8を用いて説明した実施の形態は、 すでに説明したデバイス製造 方法における検査工程に用いることによって、 静電レンズ系の電極間で絶縁破壊が生 じることなく半導体ゥエーハの評価を行うことが可能となる。
3 - 3 ) 制振装置に関する実施の形態
本実施の形態は、 電子線を物質の目標位置に照射することにより、 当該物質の加工 、 製造、 観測及び検査のうち少なくともいずれかを実行する電子線装置に係り、 より 詳しくは、 電子線を位置決めする機械構造体に生じる不要な機械的振動を減少させた 電子線装置、 その制振方法および該装置を用いた半導体デバイスの加工、 製造、 観測 及び検査のうち少なくともいずれかを実行する工程を備えた半導体製造プロセスに関 する。
一般に、 電子線を用いて物質の微細な構造を観測する手法に、 ゥェ一八等に形成さ れたパターンの欠陥を検査する検査装置や走査型電子線顕微鏡 (S E M) 等が有るが 、 測分解能が m〜数十 n mであるため、 外部からの振動を十分に除振して観測を 行う必要がある。 また、 電子線を用いて露光を行う装置においても、 電子線を偏向さ せ、 目標位置に正確にビーム照射するためには、 外部からの振動を十分に除振するた めの除振装置を用い、 且つ、 鏡筒部分の構造から生じる機械的共振によるふらつきを 出来るだけ小さくするために、 剛性を上げる必要がある。 構造体の剛性を上げるため には、 電子光学系による物理的な寸法制約があることから、 小 化による剛性の向上 は取りづらく、 従って鏡筒部分の肉厚化、 大型化等により剛性向上が成されることが 多かった。 しかし、 この方法による剛性向上は、 装置の重量化、 形状制限、 除振台の 大型化などを含む設計上の自由度の拘束、 並びに、 経済的な面を含めて不利な面が多 々有った。 本実施の形態は、 上記事実に鑑み、 ビームを位置決めする機械構造体の共振による 不要な振動を、 必ずしも機械構造体の剛性を向上させなくても、 ビームの位置決めを 高精度に維持できるよう適切に減衰可能とすることにより、 設計上の制約の緩和、 装 置の小型軽量化、 経済性の向上を実現させた電子線装置、 および、 該装置を半導体デ パイスの製造工程に用いて効率良く製造、 検査、 加工、 観測等を可能とする半導体製 造プロセスを提供する。
図 1 59は、 本実施の形態を、 電子線を用いて半導体ゥェ一ハの欠陥の検査を行う 電子線検査装置に適用した場合の構成を示す。 同図に示す電子線検査装置 1 5 9 * 1 はいわゆる写像投影型であり、 Aブロック及びこの Aブロックから斜め上方に突出す る Bブロックの機械構造体を有する。 Bブロック内には一次電子線を照射する一次電 子線照射手段が配置され、 Aブロック内には二次電子線を写像投影するための写像投 影光学系と、 二次電子線の強度を検出する撮像手段とが含まれる。 Aブロックは、 最 下の固定台 1 5 9 · 2に連結される。
Bブロック内に配置された一次電子線照射手段は、 一次電子線を放出、 加速するた め力ソ一ド及びアノードから構成された電子線源 1 5 9 * 3、 一次電子線を長方形に 整形する長方形開口 1 5' 9 · 4、 及び、 一次電子線を縮小結像させる 4極子レンズ 1 5 9 · 5を備える。 Aブロックの下部には、 縮小された一次電子線を電場 E及び磁場 Bの直交する場で半導体ゥェ一ハ 1 59 ■ 6に略垂直に当たるように偏向させる E X B偏向器 1 5 9 · 7、 開口アパーチャ (NA) 1 5 9 · 8、 及び、 該開口アパーチャ を通過した一次電子線をゥェ一ハ 1 59 · 6上に結像させる対物レンズ 1 5 9 · 9が 配置される。
ここで、 4極子レンズ 1 5 9 · 5によって縮小された一次電子線は、 EXB偏向器 1 59 - 7の偏向主面に例えば 5 0 0 ;UmX 2 5 0 μ mの像を形成すると同時に開口 アパーチャ 1 5 9 · 8に電子線源 1 59 · 3のクロスオーバー像を形成し、 ケーラ一 証辆条件が満たされるようにしている。 対物レンズ 1 59 · 9によって、 ゥエーハ 1 5 9 · 6上には例えば 1 00 ^mX 50 の像が形成され、 当該領域が照明される ゥエーハ 1 59 · 6は、 真空に排気可能な図示しない試料室内に配置され、 且つ、 X— Y水平面内を移動可能なステージ 1 5 9 · 1 0の上に配置されている。 ここで、 Aブロック及び Bブロックと、 : Y Z直交座標系との関係を図' 1 60 (a) に示す。 X— Y水平面にゥエーハ面があり、 Z軸は写像投影光学系の光軸に略平行となる。 ス テージ 1 5 9 . 1 0がゥエーハ 1 5 9 · 6 ¾載置した状態で X— Y水平面内を移動す ることによって、 ゥエーハ 1 5 9 · 6の検査面が一次電子線によって順次走査される 。 なお、 ステージ 1 5 9 · 1 0は固定台 1 5 9 · 2の上に載置される。 Aプロックの上部に配置された写像投影光学系は、 中間静電レンズ 1 5 9 · 1 1及 び投影静電レンズ 1 5 9 · 1 2と、 これらレンズの中間に配置された絞り 1 5 9 · 1 3 と、 を備える。 一次電子線の照射によりゥエーハ 1 5 9 · 6から放出された二次電 子線、 反射電子線及び散乱電子線は、 この写像投影光学系によって、 所定の倍率 (例 えば 2 0 0 ~ 3 0 0倍) で拡大投影され、 後述するマイクロチャンネルプレート 1 5 9 - 1 4の下面に結像される。
Aプロックの最上部に配置された撮像手段は、 マイクロチャンネルプレート 1 5 9 • 1 4と、 蛍光スクリーン 1 5 9 ■ 1 5 と、 リ レーレンズ 1 5 9 ■ 1 6と、 撮像部 1 5 9 · 1 7 とを備える。 マイクロチャンネルプレート 1 5 9 · 1 4は、 プレート内に 多数のチャンネルを備えており、 静電レンズ 1 5 9 ■ 1 1及ぴ 1 5 9 . 1 2によって 結像された二次電子線が該チャンネル内を通過する間に、 更に多数の電子線を生成さ せる。 即ち、 二次電子線を増幅させる。 蛍光スクリーン 1 5 9 · 1 5は、 増幅された 二次電子線が照射されることにより、 二次電子線の強度に応じた強さの蛍光を発する 。 即ち、 二次電子線の強度が光の強度に変換される。 リレーレンズ 1 5 9 · 1 6がこ の蛍光を撮像部 1 5 9 * 1 7に導くように配置される。 撮像部 1 5 9 · 1 7は、 リレ 一レンズ 1 5 9 * 1 6により導かれた光を電気信号に変換するための多数の C C D撮 像素子から構成される。 検出信号の S Z N比を向上させるため、 いわゆる T D I検出 器を用いるのが好ましい。 なお、 一次電子線の照射によって、 二次電子線だけでなく 散乱電子線や反射電子線も発生するが、 ここでは一括して二次電子線と称することに する。
ところで、 Aブロック及びこれに連結された Bブロックの機械構造体からなる鏡筒 1 6 0 · 1は、 通常、 一つ又はそれ以上の固有振動モードを有する。 各固有振動モ一 ドの共振周波数及び共振方向は、 形状、 質量分布、 サイズ、 内部の機械の配置形態等 によって決定される。 例えば、 図 1 6 0 ( b ) に示すように、 鏡筒 1 6 0 · 1は、 固 有'振動 1 6 0 · 2のモード 1 を少なくとも有する。 このモード 1において、 鏡筒 1 6 0 · 1は、 例えば略 Y方向に沿って 1 5 0 H zの周波数で揺れる。 この場合の鏡筒の 伝達関数の一例を図 1 6 1に示す。 図 1 6 1では、 横軸が周波数、 縦軸が振動振幅 A の対数である。 この伝達関数では、 共振周波数 1 5 0 H z において共振倍率 3 0 d B (約 3 0倍) のゲインを有する。 従って、 外部から微少な振動が加わった場合でも、 その振動に 1 5 0 H z近傍の周波数成分が含まれていると、 ぞの周波数成分はこの例 では約 3 0倍に増幅されて鏡筒を振動させる。 この結果、 写像のボケ等の有害な事象 を発生させる。
従来技術では、 これを防止するため、 鏡筒全体を除振台の上に載せて外部からの振 動を除振するか、 及び/又は、 鏡筒の肉厚や構造を見直し、 共振倍率を下げる等の大 掛かりな対策を行っていた。
本実施の形態では、 これを避けるため、 図 1 6 0 (c ) に示すように、 振動 1 6 0
• 2を打ち消すように鏡筒に対し圧力振動 1 6 0 · 3を加えるァクチユエ一夕 1 6 0 - 4を Aプロックの基部に設置する。 このァクチユエ一夕 1 6 0 · 4は振動減衰用回 路 1 5 9 · 1 8に電気的に接続されている。
ァクチユエ一夕 1 6 0 · 4及び振動減衰用回路 1 59 · 1 8の概略構成を図 1 62 に示す。 同図に示すように、 ァクチユエ一夕 1 6 0 · '4は、 圧電効果を有する誘電体 1 62 - 1を電極 1 62 · 2、 1 62 - 3で挟んでなる圧電素子 1 6 2 · 4と、 該圧 電素子を電極 1 6 2 * 3側から支持するため固定台 1 59 · 2に固定された支持台 1 62 - 5とを有する。 圧電素子 1 62 · 4は、 鏡筒 1 6 0 · 1の Aブロックと支持台 1 62 - 5との間に挟まれており、 電極 1 62 · 2は、 Aプロックの外壁に、 電極 1 62 · 3は支持台 1 6 2 · 5に接着されている。 これにより、 圧電素子 1 6 2 · 4は 、 往復振動 1 60 · 2により、 鏡筒 1 6 0 · 1が向かってくるときは正の圧力、 鏡筒 1 60 - 1が遠ざかるときは負の圧力を受ける。 圧電素子 1 62 . 4は、 鏡筒 1 60 · 1の振動 1 60 * 2を抑制するため効果的な位置に設置される。 例えば、 振動 1 6 0 · 2の方向が、 電極 1 62 · 2及び 1 62 * 3と直交するように配置されるのが好 ましい。
振動減衰用回路 1 5 9 · 1 8は、 圧電素子 1 62 · 4の両電極 1 6 2 · 2、 1 62
• 3の間を直列に接続された可変ィンダクタンス 1 62 · 6及び抵抗 1 6 2 · 7から 構成される。 可変ィンダクタンス 1 62 · 6はインダクタンス 、 抵枋 1 6 2 ■ 7は 抵抗値 RD、 圧電素子 1 62 · 4は電気容量 Cを有するため、 直列接続された圧電素 子 1 6 2 · 4及び振動減衰用回路 1 5 9 · 1 8は、 参照番号 1 62 · 8により示され る直列共振回路と等価となる。 この直列共振回路の共振周波数 f 。' は、
f o ' = 1 / { 2 % (L C) 1/2 }
で表される。 本実施の形態では、 直列共振回路の共振周波数 ] f 。' が鏡筒 1 6 0 · 1 の共振周波数 f 。 に略一致するように、 各パラメータが設定される。 即ち、 与えられ た圧電素子 1 62 · 4の電気容量 Cに対して、
f ο = 1 / { 2 it (L C) 1/2}
が成立するように、 可変インダクタンス 1 62 · 6のインダク夕ンス Lが調整される 。 実際には、 圧電素子 1 62 * 4の容量。は、 機械的共振周波数に合わせて共振回路 を形成する上では小さく、 このため非常に大きなインダクタンス Lを必要とする場合 が多いが、 この場合には演算増幅器等を用いて等価的に大きなインダク夕ンスを形成 することで共振回路を実現することができる。
また、 直列共振回路の共振周波数成分の Q値が、 図 1 6 1に示す伝達関数において ピークを持つ共振成分の Q値に略一致するように、 抵抗 1 62 · 7の値 RDが選択さ れる。 このようにして作られた直列共振回路 1 62 · 8は、 図 1 6 1の参照番号 1 6 1 , 1により示される電気的周波数特性を有する。
図 1 5 9に示す電子線検査装置 1 59 · 1は、 制御部 1 59 · 1 9によって制御 · 管理される。 制御部 1 5 9 · 1 9は、 図 1 5 9に例示されたように、 汎用的なパーソ ナルコンピュータ等から構成することができる。 このコンピュータは、 所定のプログ ラムに従って各種制御、 演算処理を実行する制御部本体 1 59 · 2 0と、 本体 1 59 • 2 0の処理結果を表示する CRT 1 5 9 · 2 1と、 ォペレ一夕が命令を入力するた めのキーボードやマウス等の入力部 1 5 9 · 2 2とを備える、 勿論、 電子線検査装置 専用のハードウェア、 或いは、 ワークステーションなどから制御部 1 5 9 · 1 9を構 成してもよい。
制御部本体 1 5 9 · 2 0は、 図示しない C PU、 RAM, ROM, ハードディスク 、 ビデオ基板等の各種制御基板等から構成される。 RAM若しくはハードディスクな どのメモリ上には、 撮像部 1 5 9 · 1 7から受信した電気信号即ちゥェ一ハ 1 5 9 · 6の二次電子線画像のデジタル画像データを記憶するための二次電子線画像記憶領域 1 5 9 - 2 3が割り当てられている。 また、 ハードディスク上には、 予め欠陥の存在 しないゥェ一ハの基準画像データを記憶しておく基準画像記憶部 1 5 9 · 24が存在 する。 更に、 ハードディスク上には、 電子線検査装置全体を制御する制御プログラム の他、 欠陥検出プログラム 1 5 9 · 2 5が格納されている。 この欠陥検出プログラム 1 5 9 · 2 5は、 ステージ 1 5 9 · 1 0の X Υ平面内の移動を制御すると共に、 この 間に撮像部 1 59 · 1 7から受信したデジタル画像データに関して加算等の各種演算 処理を行い、 その結果得られたデータから記憶領域 1 5 9 · 2 3上で二次電子線画像 を再構成する機能を有する。 更に、 この欠陥検出プログラム 1 5 9 * 2 5は、 記憶領 域 1 5 9 · 2 3上で構成された二次電子線画像データを読み出し、 該画像デ一夕に基 づ 所定のアルゴリズムに従ってゥエーハ 1 5 9 · 6の欠陥を自動的に検出する。 次に、 この実施の形態の作用を説明する。 電子線源 1 5 9 · 3から一次電子線を放 出し、 長方形開口 1 5 9 · 4、 4極子レンズ 1 5 9 · 5、 Ε ΧΒ偏向器 1 5 9 ■ 7及 び対物レンズ 1 5 9 · 9を通して、 セッ トされたゥエーハ 1 5 9 · 6表面上に照射す る。 上述のように、 ゥエーハ 1 59 · 6上で例えば 1 0 0 ^mX 5 0 imの被検査領 域が照明され、 二次電子線が放出される。 この二次電子線は、 '中間静電レンズ 1 5 9 • 1 1及び投影静電レンズ 1 5 9 * 1 2によってマルチチャンネルプレート 1 5 9 · 1 4の下面に拡大投影され、 撮像部 1 5 9 · 1 7により撮像され、 ゥヱーハ 1 5 9 · 6上の投影された領域の二次電子線画像が得られる。 ステージ 1 5 9 · 1 0を駆動し てゥェ一ハ 1 59 · 6を所定幅毎に X— Y水平面内で逐次移動して上記手順を実行す ることにより、 検査面全体の画像を得ることができる。
拡大された二次電子線画像を撮像している間に、 鏡筒 1 6 0 · 1に共振周波数 f 。 ( 1 5 0H z) の振動成分を含む外力が加わると、 鏡筒 1 6 0 · 1は、 その伝達関数 で定まる共振倍率 (3 0 d B) でこの振動成分を増幅させて固有振動する。 この振動 1 6 0 · 2は、 圧電素子 1 6 2 · 4に正負の圧力を印加する。 圧電素子 1 62 . 4は 、 鏡筒 1 6 0 · 1の振動エネルギーを一旦電気エネルギーに変換して出力する。 圧電 ' 素子 1 6 2 · 4の両電極 1 6 2 ■ 2、 1 62 · 3には、 インダク夕ンス 1 62 · 6 ( L) 及び抵抗 1 6 2 · 7 (RD) が直列接続されて共振回路を形成しているため、 共 振周波数 ί。 において、 圧電素子 1 62 * 4の容量性ィンピ一ダンスと、 インダクタ ンス 1 62 · 6の誘導性インピーダンス Lとは相殺され、 共振回路のインピーダンス は、 事実上、 抵抗 RDのみとなる。 従って、 共振時には、 圧電素子 1 62 · 4から出 力される電気エネルギーは、 抵抗 1 62 : 7 (RD) によりほぼ全部消費される。 かく して、 鏡筒 1 60 · 1から圧電素子 1 62 · 4に加わる外力を相殺するように 圧電素子 1 62 · 4は力を発生することになり、 機械共振により発生する振動 1 6 0 · 2を相殺し、 共振倍率を下げることができる。 二次電子線は拡大写像されるため、 振動による写像の揺らぎは更に大きなものとなるが、 この実施の形態では、 このよう な揺らぎに起因した写像のぼけを未然に防止することができる。
図 1 6 3に示すように、 機械構造体としての鏡筒 1 6 0 · 1の伝達関数 1 6 1 - 1 (図 1 6 1に相当) の共振成分は、 電気的周波数特性 1 6 3 · 1を持った直列共振回 ' 路 1 6 2 · 8の共振成分により相殺され、 鏡筒 1 6 0 · 1は、 全体として共振倍率の 低い総合伝達関数 1 63 · 2を持つようになる。
以上のように、 写像のぼけの無い良好な二次電子線画像が得られると、 この実施の 形態の電子線検査装置 1 59 · 1は、 当該画像からゥェ一八 1 59 · 6の欠陥を検査 する処理を行う。 この欠陥検査処理として、 いわゆるパターンマッチング法などを用 い ¾ことができる。 この方法では、 基準画像記憶部 1 5 9 · 24から読み出した基準 画像と、 実際に検出された二次電子線画像とのマッチングを取って両者の類似度を表 す距離値を演算する。 この距離値が所定の閾値より小さい場合、 類似度が髙いと判断 して 「欠陥無し」 と判定する。 これに対し、 該距離値が所定の閾値以上の場合、 類似 度が低いと判断して 「欠陥有り」 と判定する。 欠陥有りと判定した場合、 オペレータ に警告表示してもよい。 このとき、 CRT 1 5 9 · 2 1の表示部に二次電子線画像 1 5 9 · 2 6を表示するようにしてもよい。 なお、 二次電子線画像の部分領域毎に上記 パターンマッチング法を用いてもよい。 '
パターンマッチング法以外でも、 例えば図 1 64 (a) ~ ( c ) に示す欠陥検査方 法がある。 図 1 64 (a) には、 1番目に検出されたダイの画像 1 64 · 1及び 2番 目に検出された他のダイの画像 1 6 4 · 2が示されている。 3番目に検出された別の ダイの画像が 1番目の画像 1 6 4 · 1 と同じか又は類似と判断されれば、 2番目のダ ィ画像 1 6 4 · 2の部分 1 6 4 · 3が欠陥を有すると判定され、 欠陥部分を検出でき る。
図 1 6 4 ( b ) には、 ゥエーハ上に形成されたパターンの線幅を測定する例が示さ れている。 ゥエーハ上の実際のパターン 1 6 4 ■ 4を方向 1 6 4 · 5に走査したとき の実際の二次電子線の強度信号が 1 6 4 ■ 6であり、 この信号が予め較正して定めら れたスレツショールドレベル 1 6 4 · 7を連続的に超える部分の幅 1 6 4 · 8をパ夕 ーン 1 6 4 · 4の線幅として測定することができる。 このように測定された線幅が所 定の範囲内にない場合、 当該パターンが欠陥を有すると判定することができる。 図 1 6 4 ( c ) には、 ゥェ一ハ上に形成されたパターンの電位コントラストを測定 する例が示されている。 図 1 5 9に示す構成において、 ゥエーハ 1 5 9 · 6の上方に 軸対称の電極 1 6 4 · 9を設け、 例えばゥェ一ハ電位 0 Vに対して— 1 0 Vの電位を 与えておく。 このときの一 2 Vの等電位面は 1 4 · 1 0で示されるような形状とする 。 ここで、 ゥェ一ハに形成されたパターン 1 6 4 · 1 1及ぴ 1 6 4 · 1 2は、 夫々一 4 Vと 0 Vの電位であるとする。 この場合、 パターン 1 6 4 · 1 1から放出された二 次電子線は— 2 V等電位面 1 6 4 · 1 0で 2 e Vの運動エネルギーに相当する上向き の速度を持っているので、 このポテンシャル障壁 1 6 4。 1 0を越え、 軌道 1 6 4 · 1 3に示すように電極 1 6 4 · 9から脱出して検出器で検出される。 一方、 パターン 1 6 4 - 1 2から放出された二次電子線は— 2 Vの電位障壁を越えられず、 軌道 1 6 4 · 1 4に示すようにゥエーハ面に追い戻されるので、 検出されない。 従って、 パ夕 ーン 1 6 4 · 1 1の検出画像は明るく、 パターン 1 6 4 · 1 2の検出画像は暗くなる 。 かくして、 電位コントラス トが得られる。 検出画像の明るさと電位とを予め較正し ておけば、 検出画像からパターンの電位を測定することができる。 そして、 この電位 分 Φからパターンの欠陥部分を評価することができる。
以上説明したように、 本実施の形態により得られた写像ぼけの無い良好な二次電子 線画像に対して上記のような各測定を行うことにより、 より高精度の欠陥検査が実現 できる。
本実施の形態としてこれまで説明した電子線検査装置をデバイス製造方法における ゥエー八検査工程に用いた場合には、 機械構造体の振動による'検出画像の劣化を未然 に防止できるので、 効率良く高精度の検査が可能となり、 欠陥製品の出荷防止が実現 できる。
なお、 本実施の形態は、 上で説明したもののみに限定されるものではなく本発明の 要旨の範囲内で任意好適に変更可能である。 例えば、 機械的な共振周波数とモードは 、 必ずしも一つではなく、 一般には複数発生するので、 その場合には、 必要個数のァ クチユエ一夕 1 6 0 · 4を鏡筒の各要所に設置することで対応が可能となる。 例えば 、 図 1 6 0 ( b ) に示す機械構造体ブロック Aが Y方向の振動 1 6 0 · 2だけではな く X方向の振動を有する場合、 別個のァクチユエ一夕を X方向の振動を相殺するよう に設置することができる。 更に、 Bブロックや Dブロックにも独立した固有振動があ る場合、 これらのプロックにもァクチユエ一夕を設置してもよい。
振動減衰用回路 1 5 9 · 1 8は、 直列共振回路 1 6 2 · 8 と等価である必要はなく 、 機械的固有振動が同一振動方向に複数の共振周波数を持つ場合、 当該回路の電気的 周波数特性が複数の共振周波数を持つもので対抗することができる。
ァクチユエ一夕の設置箇所は、 鏡筒だけではなく、 ビーム位置を正確に位置付ける ために必要な部品、 例えば X— Yステージ 1 5 9 · 1 0、 或いは、 各種光学器械の光 学部品に適用することも可能である。
本実施の形態の電子線検査装置の被検査試料として半導体ゥェ一ハ 1 5 9 · 6を例 に掲げたが、 被検査試料はこれに限定されず、 電子線によって欠陥を検出することが できる任意のものが選択可能である。 例えばゥェ一八への露光用パターンが形成され たマスク等をその検査対象とすることもできる。
更に、 本実施の形態は、 ビームを物質の目標位置に照射する電子線応用装置全般に 適用することができる。 この場合、 当該物質の検査のみならず、 その加工、 製造及び 観測の少なく ともいずれかを行う装置にも適用範囲を広げることができる。 当然、 こ こでいう物質の概念は、 ゥエーハゃ上記したマスクのみならず、 ビームにより、 その 検査、 加工、 製造及び観測のうち少なく ともいずれかが可能となる任意の対象物であ る。 デバイス製造方法も、 同様に、 半導体デバイスの製造工程中の検査のみならず、 半導体デバイスをビームで製造するプロセス自体にも適用することができる。
なお、 本実施の形態の電子線検査装置として、 図 1 5 9に示す構成を示したが、 電 子光学系等は任意好適に変更可能である。 例えば、 電子線検査装置 1 5 9 · 1の電子 線照射手段は、 ゥエーハ 1 5 9 · 6の表面に対して垂直上方から一次電子線を入射さ せる形式であるが、 E X B偏向器 1 5 9 · 7を省略し、 一次電子線をゥエーハ 1 5 9 • 6の表面に斜めに入射させるようにしてもよい。
3 - 4 ) ゥェ一八の保持に関する実施の形態
本実施の形態は、 電子線装置においてゥェ一ハを静電的に吸着保持する静電チヤッ ク、 ゥェ一八と静電チャックとの組合わせ、 特に減速電界対物レンズを用いた電子線 装置で使用可能な静電チャックとゥエー八との組合わせ、 ならびに、 静電チャックと ゥェ一八との組合わせを備える電子線装置を使用するデバイス製造方法に関する。 ゥエーハを静電的に吸着固定する公知の静電チヤックにおいては、 基板に配置され る電極層を複数の互いに絶縁された電極により形成し、 一方の電極から他方の電極へ 向かって順次電圧を印可する電源装置を備える。 また減速電界対物レンズを用いる電 子線装置が公知である。
プロセス途中のゥエーハを減速電界対物レンズを用いる電子線装置で評価する場合 、 ゥエーハに負の高電圧を印加することが必要である。 この場合、 急激に負の高電圧 を印加するとプロセス途中のデバイスが破壊されるおそれがあるので、 電圧を徐々に ■ 印加することが必要である。
一方、 大部分のゥエーハは、 ゥェ一ハの側面及び裏面に S i 0 2又は窒化膜等の絶 縁膜を付着されるので、 ゥエーハに 0電位又は低い電位を与えようとするとき、 電圧 が印加されない問題があった。 更に静電チャック側へ中央が凸に歪んだゥェ一ハは、 比較的容易に吸着固定できるが、 チャック側に中央が凹に歪んだゥエーハは、 単極の 静電チャックでは、 周辺部のみがチャックされ、 中央部はチャックされないまま保持 される問題があった。
本実施の形態は、 上記の問題点を解決するため、 減速電界対物レンズで使用でき、 側面及ぴ裏面が絶縁膜で被覆され、 チャック側に向かって中央が凹に歪んだゥエーハ をチヤック可能な静電チャック、 ならびにゥェ一八と静電チヤックとの組合わせを提 供するとともに、 このような静電チャック又はゥエー八と静電チャックとの組合わせ を用いてプロセス途中のゥエー八の評価を行うデバイス製造方法を提供する。
図 1 6 5は、 本実施の形態における静電チャック 1 4 1 0の平面図であり、 ゥェ一 ' ハを取り除いて電極板 1 6 5 · 1を見たものである。 図 1 6 6は、 図 1 6 5の静 ^チ ャックの線 M— Mに沿う垂直方向の概略断面図であり、 ゥェ一八が載置され電圧が印 加されない状態を示すものである。 静電チヤック 1 6 5 · 2は、 図 1 6 6に示すよう に、 基板 1 6 6 · 1、 電極板 1 6 6 · 2、 絶縁層 1 6 6 · 3から成る積層構造を有す る。 電極板 1 6 6 · 2は、 第 1電極 1 6 5 · 2及び第 2電極 1 6 5 · 3を含む。 第 1 電極 1 6 5 · 2及び第 2電極 1 6 5 · 3は、 別々に電圧が印加できるように分離され 、 磁場中で渦電流を発生しないで高速で移動可能であるように、 薄膜で形成される。 第 1電極 1 6 5 · 2は、 平面図において円形の電極板 1 6 6 * 2の中央部分及び周 辺部分の一部から成り、 第 2電極 1 6 5 ' 3は、 電極板の残りの馬蹄形周辺部分から 成る。 電極板 1 6 6 · 2の上方に絶縁層 1 6 6 · 3が配置される。 絶縁層 1 6 6 · 3 は、 厚さ 1 mmのサファイア基板により形成される。 サフアイ'ァは、 アルミナの単結 晶であり、 アルミナセラミックスの如き小孔が全くないので、 絶縁破壊電圧が大きい 。 例えば、 1 m m厚のサファイア基板は、 1 0 4V以上の電位差に十分耐えることが できる。
ゥエー八 1 6 6 · 4に対する電圧の印加は、 ナイフエッジ状の金属部分を有する接 触子 1 6 6 · 5を介してなされる。 図 1 6 6に示すように、 2個の接触子 1 6 6 - 5 がゥェ一ハ 1 66 · 4の側面に接触される。 2個の接触子 1 6 6 · 5を用いる理由は 、 1個の接触子だけの場合、 導通が取れなくなる恐れがあること、 及ぴゥエーハ 1 6 6 · 4を片側へ押す力が生じるのを嫌ったからである。 絶縁層 (図示せず) を破壊し て導通を取るのであるが、 放電する時に粒子を飛散させる恐れがあるので、 接触子 1 66 · 5は, 抵抗 1 66 · 6を介して電源 1 6 6 · 7に接続し大きい放電を生じない ようにした。 この抵抗 1 66 · 6は、 大き過ぎると導通穴が形成されず、 小さ過ぎる と大きい放電が起り、 パ一ティクルを飛散させるので、 絶縁層 (図示せず) 毎に抵抗 の許容値を決めた。 これは、 ゥエーハの履歴により絶縁層の厚さが変わるので、 各ゥ ヱ一ハ毎に抵抗の許容値を決める必要があるからである。
図 1 6 7の (a) は電圧印加のタイムチャートを示す。 第 1電極には、 線 Aで示す ように、 時刻 t = 0において、 4 kVが印加される。 ゥヱーハの中央部及び周辺部が 共にチャックされた時刻 t = t。 において、 第 2電極に、 線 Bで示すように 4 k Vが 印加される。 時刻 t = t iでゥエーハの電圧 Cが少しずつ深くされ (下げられ)、 時 刻 t == t 2で一 4 k Vに達するように制御される。 第 1電極及び第 2電極は、 時刻 t = t iから時刻 t = t 2において、 少しずつ電圧が下げられ、 時刻 t = t2で、 0 Vに される。
チヤックに吸着保持されたゥエー八の評価が終了した時刻 t = t 3でゥェ一ハの電 圧 Cが 0 Vにされ、 ゥエー八が外部へ取出される。
静電チャックが 4 k Vの電位差がなくても 2 k Vの電位差でもゥヱ一八を吸着保持 する場合には、 図 1 6 7に 1点鎖線で示すように、 第 1電極及び第 2電極にそれぞれ に 2 kVの電圧 A'、 B ' が印加される。 ゥエーハにー 4 kVが印加されるとき、 第 1電極及び第 2電極にそれぞに、 — 2 kVが印加される。 このようにして、 電圧印加 によって必要以上に電圧を絶縁層 2 104に印加しないようにできるので、 絶縁層の 破 »を防止できる。
図 1 6 8は、 上で説明した静電チャックを備える電子線装置を示すブロック図であ る。 電子線源 1 6 8 · 1から放出された電子線は、 開口アパーチャ (NA) を決める アノード 1 6 8 · 2の開口で不要なビームを除去され、 コンデンサレンズ 1 6 8 · 7 と対物レンズ 1 6 8 · 1 3で縮小され、 一 4 k Vを印加されたゥエーハ 1 6 6 · 4に 結像されると共に、 偏向器 1 68 · 8及ぴ 1 6 8 · 1 2により'ゥエーハ 1 6 6 · 4上 を走査する。 ゥエー 、 1 66 · 4から放出される二次電子線は、 対物レンズ 1 6 8 · 1 3で集められ、 EXB分離器1 68 ·'1 2で 3 5 ° 程度、 お側へ曲げられ、 二次電 子線検出器 1 68 · 1 0で検出され、 ゥエーハ上の S ΕΜ像が得られる。 図 1 6 8の 電子線装置において、 符号 1 68 · 3、 1 6 8 · 5は軸合わせ器具、 1 6 8 . 4は非 点補正器具、 1 6 8 ' 6は開口板、 1 6 8 · 1 1はシールド、 1 6 8 · 1 4は電極で ある。 ゥエーハ 1 6 6 · 4の下方に、 図 1 6 6および図 1 6 7で説明した静電チヤッ クが配置される。
本実施の形態を、 デバイス製造方法における検査工程に用いることにより、 微細な パターンを有する半導体デバイスでもスループッ トよく検査でき、 全数検査が可能に なり、 製品の歩留まり向上、 欠陥製品の出荷防止が可能である。
なお、 静電チャックに対して印加する電圧の増大又は減少の仕方は、 図 1 6 7の ( a ) に示すものに限られる訳ではない。 例えば、 図 1 6 7の (b ) に示すように指数 関数的に変化する電圧であっても良い。 要は、 所定の電圧まで時間内に到達する電圧 であれば、 どのようなものであってもよい。
以上、 本発明の第 1の実施の形態〜第 1 2の実施の形態を詳述したが、 いずれの実 施の形態においても、 「所定電圧」 という.用語は、 検査等の測定が行われる電圧を意 味するものとする。
また、 これまで説明した各種の実施の形態は荷電粒子線として電子線を用いている が、 これに限られるものではなく、 電子線以外の荷電粒子線や、 電荷を持たない中性 子線、 レーザー光、 電磁波等の非荷電粒子線をも使用することが可能である。
なお、 本発明に係る荷電粒子線装置が作動すると、 近接相互作用 (表面近くでの粒 子の帯電) により標的物質が浮遊して高圧領域に引きつけられるので、 荷電粒子線の 形成や偏向に使用される様々な電極には有機物質が堆積する。 表面の帯電により徐々 に堆積していく有機物質は荷電粒子線の形成や偏向機構に悪影響を及ぼすので、 こう した堆積した有機物質は周期的に除去しなければならない。 そこで、 堆積した有機物 質を周期的に除去するため、 この有機物質の堆積する領域の近傍の電極を利用して、 真空中で水素、 酸素またはフッ素及びそれらを含む代替物 H F、 H 2 0、 C M F N等 のプラズマを作り出し、 空間内のプラズマ電位を電極面にスパッ夕が生じる電位 (数 k V、 例ぇぱ2 0 〜 5 ¾: ¥ ) に維持することで、 有機物質のみを酸化、 水素化、 フ ッ素化により除去することが好ましい。
3— 5 ) E X B分離器の実施の形態
図 1 6 9は、 本実施の形態の E X B分離器 1 6 9 · 1を示す。 E X B分離器 1 6 9 • 1は、 静電偏向器と電磁偏向器とにより構成されており、 図 1 6 9においては、 光, 軸 (図面に垂直な軸 : z軸) に直交する X — y平面上の断面図'として示されている。 X軸方向及び y軸方向も直交している。
静電偏向器は、 真空容器中に設けられた一対の電極 (静電偏向電極) 1 6 9 · 2を 備え、 X軸方向に電界 Eを生成する。 これら静電偏向電極 1 6 9 · 2は、 絶縁スぺ一 サ 1 6 9 · 3を介して真空容器の真空壁 1 6 9 · 4に取り付けられており、 これらの 電極間距離 Dは、 静電偏向電極 1 6 9 ' 2の y軸方向の長さ 2 Lよりも小さく設定さ れている。 このような設定により、 z軸の周りの形成される電界強度が一様な範囲を 比較的大きくすることができるが、 理想的には、 Dく Lであれば、 電界強度が一様な 範囲をより大きくすることができる。
即ち、 電極の端縁から DZ 2の範囲は、 電界強度が一様ではないため、 電界強度が ほぼ一様な領域は、 一様ではない端部領域を除いた中心部の 2 L— Dの領域となる。 このため、 電界強度が一様な領域が存在するためには、 2 L>Dとする 要があり、 さらに、 L>Dと設定することにより、 電界強度が一様な領域がより大きくなる。 真空壁 1 6 9 · 4の外側には、 y軸方向に磁界 Mを生成するための電磁偏向器が設 けられている。 電磁偏向器は、 電磁コイル 1 6 9 · 5及び電磁コイル 1 6 9 ■ 6を備 え、 これらコイルはそれぞれ、 X軸方向及び y軸方向に磁界を生成する。 なお、 コィ ル 1 6 9 · 6だけでも y軸方向の磁界 Mを生成できるが、 電界 Eと磁界 Mとの直交度 を向上させるために、 X軸方向に磁界を生成するコイルを設けている。 即ち、 コイル 1 6 9 - 6によって生成された— X軸方向の磁界成分によって、 コイル 1 6 9 · 6に よって生成された + X軸方向を打ち消すことによって、 電界と磁界との直交度を良好 にすることができる。
これら磁界生成用のコイル 1 6 9 · 5及び 1 6 8 · 6は、 真空容器の外に設けるた め、 それぞれを 2分割して構成し、 真空壁 1 6 9 · 4の両側から取り付け、 部分 1 6 9 · 7においてネジ止め等により締め付けて一体化すればよい。
E X B分離器の最外層 1 6 9 · 8は、 パーマロイあるいはフェライ ト製のヨークと して構成する。 最外層 1 6 9 · 8は、 コイル 1 6 9 · 5、 1 6 9、 6と同様に、 2分 割して両側からコイル 1 6 9 · 6の外周に取り付けて、 部分 1 6 9 · 7においてネジ 止め等により一体化してもよい。
図 1 7 0は、 本実施の形態の E X B分離器 1 7 0 · 1の光軸 ( z軸) に直交する断 面を示す。 図 1 7 0の E X B分離器 1 7 0 · 1は、 静電偏向電極 1 7 0 · 1が β極設 けられている点が、 図 1 6 9に示した実施の形態の Ε X Β分離器と相違している。 こ れら静電偏向電極 1 7 0 · 1には、 それぞれの電極の中央と光軸 ( ζ軸) とを結んだ 線と電界の方向 (X軸方向) との角度を ( ί = 0, 1, 2 , 3 , 4 , 5 ) とした ときに、 c o s 0 iに比例する電圧 k · c o s e , (kは定数) が供給される。 ただし 、 は、 任意の角度である。 '
図 1 7 0に示した実施の形態においても、 X軸方向の電界 Eしか作れないので、 X 及び y軸方向の磁界を生成するコイル 1 6 9 · 5及ぴ 1 6 9 · 6を設け、 直交度の修 正を行う。 本実施の形態によれば、 図 1 6 9に示した実施の形態に比べて、 電界強度 がー様な領域をさらに大きくすることができる。 図 1 6 9及び図 1 7 0に示した実施の形態の E X B分離器においては、 磁界を生成 するためのコイルをサドル型に形成しているが、 トロイダル型のコイルを用いてもよ い。
図 1 6 9の E X B分離器 1 6 9 · 1においては、 電界を生成する静電偏向器の一対 の電極として、 電極間の間隔よりも光軸に直角な方向の大きさが長く形成された平行 平板型電極を用いているので、 光軸の周りに一様強度で平行な電界が生成される領域 が広くなる。 '
また、 図 1 6 9及ぴ図 1 7 0の E X B分離器においては、 電磁偏向器にサドル型コ ィルを用い、 かつ光軸からコイルを見込む角度を片側で 2 兀ノ 3に設定しているので 3 0成分が生成せず、 これにより、 光軸の周りに一様強度で平行な磁界が生成される 領域が広くなる。 さらにまた、 磁界を電磁コイルによって生成しているので、 コイル に偏向電流を重畳することができ、 これにより、 走査機能を持たせることができる。 図 1 6 9及び図 1 7 0の Ε Χ Β分離器は、 静電偏向器と電磁偏向器との組み合わせ として構成されているので、 静電偏向器及びレンズ系の収差を計算し、 これとは別に 電磁偏向器及びレンズ系の収差を計算し、 これら収差を合計することにより、 光学系 の収差を得ることができる。
3— 6 ) 製造ラインの実施の形態
図 1 7 1は、 本発明の装置を使用した製造ラインの例を示す。 検査装置 1 7 1 . 1で 検査されるゥエーハのロッ ト番号、 製造に経由した製造装置履歴等の情報を S M I F または F〇U P 1 7 1 · 2に備えられたメモリから読み出すか、 または、 そのロッ ト 番号を、 S M I F、 F O U P又はゥエーハカセッ トの I D番号を読むことにより認識 できるようになつている。 ゥェ一ハの搬送中は水分の量をコントロールしてメタル配 線の酸化等を防止している。
欠陥検査装置 1 7 1 · 1は生産ラインのネッ トワークシステムと接続することが可 能となっており、 このネッ トワークシステム 1 7 1 · 3を介して、 生産ラインを制御 している生産ラインコント口一ルコンピュータ 1 7 1 · 4、 各製造装置 1 7 1 . 5及 び別の検査装置に、 被検査物であるゥエー八のロッ ト番号などの情報とその検査結果 を送ることが出来る。 製造装置には、 リソグラフィー関連装置例えば露光装置、 コ一 夕一、 キュア装置、 デぺロッパ等、 又は、 エッチング装置、 スパッ夕装置及び C V D 装置などの成膜装置、 C M P装置、 各種計測装置、 他の検査装'置、 レビュー装置等が 含まれる。
3 - 7 ) 他の電子を用いた実施の形態
本発明は、 1 0 0 n m以下の線は簿を有する配線パターンが形成された基板等の試 料に電子線を照射し、 基板表面の情報を得た電子を検出し、 該検出された電子から基 板表面の画像を取得し、 試料表面の検査を行うことを本質的な目的とする。 特に、 電 子線を試料に照射する際、 一定の撮像領域を含む面積を有する電子線を照射し、 該基 板上の撮像領域から放出された電子を C CD又は C CD— TD I等を用いて検出器上 に結像して撮像領域の画像を取得し、 更に、 得られた画像を、 ダイのパターンに応じ てセル検査、 ダイ比較検査を適宜組み合わせて検査を行うことにより、 S EM方式と 比較して格段に早いスループッ トを実現した検査方法及び装置を提案している。 即ち 、 本発明の電子線を用いた検査方法及び検査装置は、 '光学式検査装置では分解能の低 さにより 1 0 0 nm以下の線幅を有する配線のパターン欠陥が十分に検査できず、 一 方、 S EM型検査装置では検査に時間がかかりすぎるために高スループッ トの要請に 応えられないという双方の問題点を解消し、 1 00 nm以下の線幅を有する配線パタ —ンを十分な分解能且つ高スループッ トで検査することを可能にしている。
試料の検査においては、 分解能の観点からは、 電子線を基板に衝突させ、 基板から 放出された電子を検出して、 基板表面の画像を得ることが望ましい。 したがって、 本 発明の実施例では、 主に、 基板から放出された二次電子、 反射電子、 後方散乱電子を 中心に例を挙げて説明してきた。 しかし、 検出される電子は、 基板の表面の情報を得 ているものであれば何でもよく、 例えば、 基板付近に逆電界を形成することにより、 基板に直接衝突せずに、 基板付近で反射するミラー電子 (広義には反射電子とも言う )、 或いは基板を透過する透過電子等でもよい。 特に、 ミラー電子を用いた場合には 、 電子が試料に直接衝突しないので、 チャージアップの影響が極めて小さいという利 点がある。
ミラ一電子を利用する場合には、 試料に、 加速電圧よりも低い負の電位を印加し、 試料付近に逆電界を形成する。 この負の電位は、 基板の表面付近で殆どの電子線が戻 される程度の値に設定するのがよい。 具体的には、 電子銃の加速電圧よりも 0. 5〜 1. 0 V以上低い電位に設定すればよい。 例えば、 本発明の場合、 加速電圧が一 4 k Vの場合、 試料への印加電圧は一 4. O O O kV〜一 4. 0 5 0 kVに設定するのが 好ましい。 更に望ましくは、 一 4. 00 0 5 k V~-4. 02 0 kVがよく、 更に好 ましくは一 4. 0 0 0 5 kV〜一 4. 0 1 0 k Vに設定するのが好適である。
また、 透過電子を利用する場合には、 加速電圧を一 4 kVに設定したとき、 試料へ の印加電圧は 0〜一 4 k V、 好ましくは 0〜一 3. 9 kV、 更に好ましくは 0〜― 3 . 5 k Vに設定するのが好適である。
他に、 電子線ではないが、 X線の利用してよい。 本発明の二次系やダイ比較等は十 分に適用可能である。
ミラー電子、 透過電子のいずれを用いる場合でも、 既に説明した電子銃、 一次光学 系、 一次電子線と検出電子線を分離するための偏向器、 C CD又は C CD— TD Iを 用いた検出器、 画像処理装置、 ダイ比較のための演算器等を用いる。 電子線は、 楕円 等の一定の領域を有するものを用いるが、 勿論、 S E M型に用いる細く絞った電子線 を用いてもよい。 電子線は単数でも複数でもよいことは勿論である。 一次電子線と検 出電子線を分離するための偏向器は、 電界と磁界の双方を形成するウィーンフィルタ でもよいし、 磁界のみの偏向器を用いてもよい。 検出器は、 撮像領域を検出器上に結 像して、 迅速な検査を行える C C D又は C C D— T D I を用いるが、 S E M型の電子 銃を用いた場合には、 これに対応する半導体検出器等'を用いることは当然である。 基 板表面の画像を取得し、 ダイの比較検査を行う場合には、 ダイのパターンに応じて、 周期性のあるパターンに適用するセル検査と、 ランダムなパターンに適用するダイ同 士の比較検査を適宜利用する。 勿論、 全てダイ同士の比較検査で処理してもよい。 ま た、 ダイ同士の比較検査の場合には、 同一基板上のダイ同士で比較してもよいし、 異 なる基板上のダイ同士を比較してもよいし、 ダイと C A Dデータを比較してもよい。 いずれか好適なものを任意に用いればよい。 更に、 検査の前には、 基板の位置あわせ を行う。 基板の位置ずれを測定し、 回転角のずれを補正する。 その際、 フォーカスマ ップを作成し、 検查時にそれらを考慮して平面上の基板の位置やフォーカスのずれを 補正しながら検査を行ってもよい。
また、 本発明の装置の製造工程での利用にあたっては、 ネッ トワークシステムに接 続し、 生産ラインを制御しているコンピュータから検査対象であるゥェ一八の情報を 入手したり、 検査結果を送信し、 生産ラインの各装置の生産条件に反映させることが 望ましい。
3— 8 ) 二次電子と反射電子を用いる実施の形態
この実施の形態は、 面ビームで検査対象.を照射することができ、 検査対象に応じて 二次電子と反射電子とを切り換えて使用することが可能な高分解能且つ高スループッ トの写像投影方式電子線装置に関するものである。 このように、 試料上の 1点ではな く少なく とも一次元方向に広がった視野に電子ビームを照射してその視野の像を形成 する方式は 「写像投影方式」 と呼ばれている。 この写像投影方式電子線装置は、 空間 電荷効果を回避でき、 信号対雑音比が高く、 並列処理による画像処理速度を向上させ た高分解能且つ高スループッ トな装置である。
以下、 この実施の形態の写像投影方式電子線装置を、 欠陥検査装置として具体化し た場合について、 図 1 7 2〜図 1 8 1を参照しながら詳述す ¾。 なお、 これらの図に おいて、 同一の参照数字又は参照符号は同じ又は対応する構成要素を指すものとする 図 1 7 2の (A ) 及び (B ) において、 欠陥検査装置 E B I の電子銃 E Gは大電流 で動作可能な熱電子放出型の L a B 6製カソ一ド 1 を有し、 電子銃 E Gから第 1の方 向へ発射された一次電子は数段の四極子レンズ.2を含む一次光学系を通過してビーム 形状を調整されてからウイ一ンフィルタ 1 7 2 · 1を通過する。 ウィーンフィルタ 1 7 2 . 1によって一次電子の進行方向は、 検査対象であるゥエーハ Wに対して入力す るよう第 2の方向へ変更される。 ウイーンフィルタ 1 7 2 · 1 を出て第 2の方向へ進 む一次電子は、 N A開口板 1 7 2 · 2によってビ一ム径が制限され、 対物レンズ 1 7 2 · 3を通過してゥエーハ Wを照射する。 対物レンズ 1 7 2 · 3は高精度の静電レン ズである。
このように、 一次光学系においては、 電子銃 E Gとして LaB 6製の高輝度のもの を使用しているので、 従来の走査型の欠陥検査装置に比較して低ェネルギで大電流且 っ大面積の一次ビ一ムを得ることができる。
ゥェ一ハ Wは一次光学系によって断面が例えば 2 0 0 mX 5 0 imの矩形に形成 された面ビームによって照射されるので、. ゥェ一ハ W上の所定の広さの小さな領域を 照射することができるようになる。 この面ビームでゥエーハ Wを走査するために、 ゥ エーハ Wは例えば 3 0 0 mm対応の高精度の XYステージ (図示せず) 上に載置され 、 面ビームを固定した状態で XYステージを二次元的に移動させる。 また、 一次電子 をピ一ムスポッ トに絞り込む必要がないので面ビームは低電流密度であり、 ゥエー八 wのダメージが少ない。 例えば、 従来のビーム走査方式の欠陥検査装置においてはピ —ムスポッ トの電流密度は 1 03A/ c m2であるが、 図の欠陥検査装置 E B I にお いては面ビームの電流密度は 0. l AZc m2~ 0. 0 1 AZ c m2でしかない。 一 方、 ドーズは、 従来のビーム走査方式では 1 X 1 0—5 CZ c m2であるのに対して、 本方式では 1 X 1 0— 4 C/cm2〜3 X l 0- 5 C/cm2であり、 本方式の方が高感 度になっている。
面ビーム状の一次電子によって照射されたゥヱ一ハ Wの領域からは二次電子と反射 電子が出てくる。 反射電子については後述するとして、 まず二次電子の検出について 説明すると、 ゥエーハ Wから放出された二次電子は、 前記第 2の逆の方向へ進むよう 、 対物レンズ 1 7 2 · 3によって拡大されて N A開口板 1 7 2 · 2及びウイ一ンフィ ル夕 1 7 2 · 1を通過してから、 中間レンズ 1 7 2 · 4によって再び拡大され、 投影 レンズ 1 7 2 · 5によって更に拡大されて二次電子検出系 Dに入射する。 二次電子を 導く二次光学系においては、 対物レンズ 1 7 2 · 3、 中間レンズ 1 7 2 · 4及び投影 レンズ 1 Ί 2 · 5はいずれも高精度の静電レンズであり、 二次'光学系の倍率は可変で あるよう構成される。' 一次電子をゥエーハ Wにほぼ垂直に入射し、 二次電子をほぼ垂 直に取り出すので、 ゥェ一ハ Wの表面の凹凸による陰影が生じない。
投影レンズ 1 7 2 · 5からの二次電子を受け取る二次電子検出系 Dは、 入射された 二次電子を増殖するマイクロチャンネルプレート 1 7 2 · 6と、 マイクロチャンネル プレート 1 72。 6から出た電子を光に変換する蛍光スクリーン 1 9 2 · 7と、 蛍光 スクリーン 1 72 · 6から出た光を電気信号へ変換するセンサュニッ ト 1 7 2 . 8と を備える。 センサユニッ ト 1 7 2 · 8は、 二次元に配列された多数の固体撮像素子か らなる高感度のラインセンサ 1 72 · 9を有しており、 蛍光スクリーン 1 72 · 7か ら発せられた蛍光はラインセンサ 1 72 · 9によって電気信号へ変換されて画像処理 部 1 7 2 · 1 0に送られ、 並列、 多段且つ高速に処理される。
ゥエーハ Wを移動させてゥェ一ハ W上の個々の領域'を順に面ビームで照射して走査 していく間に、 画像処理部 1 7 2 · 1 0は、 欠陥を含む領域の XY座標と画像とに関 するデータを順次蓄積していき、 一つのゥエーハについて欠陥を含む検査対象の全て の領域の座標と画像とを含む検査結果ファイルを生成する。 こうして、 検査結果を一 括して管理することができる。 この検査結果ファイルを読み出すと、 画像処理部 1 7 2 - 1 0のディスプレイ上には当該ゥエーハの欠陥分布と欠陥詳細リストとが表示さ れる。
実際には、 欠陥検査装置 EB Iの各種の構成要素のうち、 センサュニッ 卜 1 7 2 · 8は大気中に配置されるが、 その他の構成要素は真空に保たれた鏡筒内に配置される ため、 この実施の形態においては、 鏡筒の適宜の壁面にライ トガイ ドを設け、 蛍光ス クリーン 1 7 2 · 7から出た光をライ トガイ ドを介して大気中に取り出してラインセ ンサ 1 7 2 · 9に中継する。
図 1 7 3は、 図 1 7 2の欠陥検査装置 E B Iにおける二次電子検出系 Dの具体的な 構成例を示している。 投影レンズ 1 7 2 · 5によってマイクロチャンネルプレート 1 7 2 ■ 6の入射面に二次電子像又は反射電子像 1 7 3 · 1が形成される。 マイクロチ ャンネルプレート 1 72 · 6は例えば分解能が 1 6 m、 ゲインが 1 03〜 1 04、 実効画素が 2 1 0 0 X 52 0であり、 形成された電子像 1 7 3 · 1に対応して電子を 増殖して蛍光スクリーン 1 7 2 · 7を照射する。 これによつて蛍光スクリーン 1 72 · 7の電子で照射された部分から蛍光が発せられ、 発せられた蛍光は低歪み (歪みが 例えば 0. 4 %) のライ トガイ ド 1 7 3 · 2を介して大気中へ放出される。 放出され た蛍光は光学リレーレンズ 1 73 · 3を介してラインセンサ 1 72 · 9に入射される 。 例えば、 光学リレーレンズ 1 7 3 · 3は倍率が 1Z2、 透過率が 2. 3 %, 歪みが 0. 4 %であり、 ラインセンサ 1 7 2 · 9は 2 048 X 5 1 2個の画素を有している 。 光学リレーレンズ 1 7 3 · 3はラインセンサ 1 72 · 9の入射面に電子像 1 7 3 · 1に対応した光学像 1 7 3 · 4を形成する。 ライ トガイ ド 1 73 · 2及びリレーレン ズ 1 7 3 · 3の代わりに F 0 P (フアイノ ' · ォプティック · プレート) を使うことも でき、 この場合の倍率は 1倍である。
図 1 7 2に示す欠陥検査装置 E B Iは、 電子銃 E Gの加速電圧及びゥエーハ Wに印 加されるゥエーハ電圧を調整するとともに電子検出系 Dを使用することにより、 二次 電子の場合、 正帯電モードと負帯電モードのいずれかで動作可能である。 更に、 電子 銃 E Gの加速電圧、 ゥェ一ハ Wに印加されるゥエーハ電圧及び対物レンズ条件を調整 することにより、 欠陥検査装置 EB Iを、 一次電子の照射によってゥエーハ Wから発 せられる高工ネルギの反射電子を検出する反射電子撮像モ一ドで動作させることがで きる。 反射電子は、 一次電子がゥェ一ハ W等の試料に入射するときのエネルギと同じ エネルギを持っており、 二次電子に比べてエネルギが高いので、 試料表面の帯電等に よる電位の影響を受けずらいという特徴がある。 電子検出系は、 二次電子又は反射電 子の強度に対応した電気信号を出力する電子衝擊型 C CD、 電子衝撃型 TD I等の電 子衝搫型検出器を使用することもできる。 この場合は、 マイクロチャンネルプレート 1 72 - 6, 蛍光スクリーン 1 72 · 7、 リ レーレンズ 1 73 . 3 (又は ΕΟΡ) を 使用せずに、 結像位置に電子衝撃型検出器を設置して使用する。 このように構成する ことにより、 欠陥検査装置 Ε Β Iは検査対象に適したモードで動作することが可能に なる。 例えば、 メタル配線の欠陥、 GC配線の欠陥、 レジストパターンの欠陥を検出 するには、 負帯電モード又は反射電子撮像モードを利用すればよいし、 ビアの導通不 良やエッチング後のビア底の残渣を検出するには反射電子撮像モードを利用すればよ い。
図 1 74の (Α) は図 1の欠陥検査装置 Ε Β Iを上記の 3つのモードで動作させる ための要件を説明する図である。 電子銃 E Gの加速電圧を VA、 ゥエー に印加さ れるゥエーハ電圧を Vw、 ゥェ一ハ Wを照射するときの一次電子の照射エネルギを E 1 N、 電子検出系 Dに入射する二次電子の信号エネルギを Εουτとする。 電子銃 E G は加速電圧 VAを変えることができるよう構成され、 ゥエーハ Wには適宜の電源 (図 示せず) から可変のゥェ一ハ電圧 Vwが印加される。 そこで、 加速電圧 VA及ぴゥェ 一八電圧 Vwを調整し且つ電子検出系 Dを使用すると、 欠陥検査装置 EB Iは、 図 1 74の (B) に示すように、 二次電子ィールドが 1よりも大きい範囲では正帯電モー ド、 1よりも小さい範囲では負帯電モードで動作することができる。 また、 加速電圧 VA、 ゥエーハ電圧 Vw及び対物レンズ条件を調整することにより、 欠陥検査装置 E B Iは二次電子と反射電子とのエネルギ差を利用して反射電子撮像モードで動作する ことができる。 なお、 図 1 74の (B) において、 正帯電領域と負帯電領域との境界 における電子照射エネルギー E I Nの値は、 実際には試料によって異なる。
欠陥検査装置 EB Γを反射電子撮像モード、 負帯電モード及び正帯電モードで動作 させるための VA、 Vw、 E I N及び Εουτの値の一例を挙げると、
反射電子撮像モードでは
VA= - . 0 k V Vw= - 2. 5 k V
E I N= 1. 5 k e V
Figure imgf000180_0001
負帯電モードでは
VA= - 7. 0 k V
Vw= - 4. 0 k V
E J N= 3. 0 k e V
E0 UT= 4 k e V + a ( a =二次電子のエネルギ幅)
正帯電モ一ドでは
VA= - 4. 5 k V
Vw=- 4. 0 k V .
E J N= 0. 5 k e V
E0UT= 4 k e V+ a ( a =二次電子のエネルギ幅)
となる。
実際、 二次電子と反射電子の検出量は、 ゥェ一ハ W上の被検査領域の表面組成、 パ ターン形状及び表面電位によって変わってくる。 即ち、 ゥエーハ W上の被検査対象の 表面組成によって二次電子収率及び反射電子量は異なり、 パターンの尖つた個所や角 では二次電子収率及び反射電子量は平面に比べて大きい。 また、 ゥエーハ W上の被検 査対象の表面電位が高いと、 二次電子放出量が減少する。 こうして、 検出系 Dによつ て検出された二次電子及び反射電子から得られる電子信号強度は材料、 パターン形状 及ぴ表面電位によって変動する。
図 1 7 5は、 図 1 7 2に示す欠陥検査装置 E B I の電子光学系に使用される静電レ ンズの各電極の断面形状を示している。 図 1 7 5に示すように、 ゥエーハ Wからマイ ク口チャンネルプレート 1 7 2 · 6までの距離は例えば 8 0 0 mmであり、 対物レン ズ 1 7 2 · 3、 中間レンズ 1 7 2 · 4及び投影レンズ 1 7 2 · 5は特殊形状をした複 数枚の電極を有する静電レンズである。 いま、 ゥェ一ハ Wに一 4 k Vを印加したとす ると、 対物レンズ 1 7 2 · 3のゥェ一ハ Wに最も近い電極には + 2 0 k Vが印加され 、 残りの電極には— 1 4 7 6 Vが印加される。 同時に、 中間レンズ 1 7 2 . 4には一 2 4 5 0 Vが、 投影レンズ 1 7 2 · 5には一 4 1 2 0 Vが印加される。 この結果、 二 次光学系で得られる倍率は、 対物レンズ 1 7 2 · 5によって 2'. 4倍、 中間レンズ 1 7 2 · 4によって 2. · 8倍、 投影レンズ 1 7 2 · 5によって 3 7倍となり、 合計では 2 6 0倍となる。 なお、 図 1 7 5における参照数字 1 7 5 . 1、 1 7 5 - 2はビーム 径を制限するためのフィールド · アパーチャであり、 参照数字 1 7 5 · 3は偏光器で ある。 図 1 7 6の (A) は、 写像投影方式電子線装置の他の実施の形態であるマルチビー ム · マルチピクセル型の欠陥検査装置 E B Iの構成を概略的に示す図である。 この欠 陥検査装置 E B Iにおける電子銃 E Gmは L a B 6製の力ソードを有し、 複数本の一 次電子ビーム 1 7 6 · 1を発射することのできるマルチビーム型の電子銃である。 電 子銃 E Gmから発せられた複数本の一次電子ビーム 1 7 6 · 1は、 各一次電子ビーム に対応した位置に小孔が形成された開口板 1 7 6 · 2によってピーム径を調整された 後、 2段の軸対称レンズ 1 7 6 · 3、 1 7 6 · 4によって各ビームの位置を調整され て第 1の方向へ進み、 ウイーンフィルタ 1 72 · 1を通過して進行方向を第 1の方向 から第 2の方向へ変えてゥエーハ Wに入射するよう進行する。 その後、 それぞれの一 次電子ビーム 1 7 6 . 1は N A開口板 1 7 2 · 2、 対物レンズ 1 72 · 3を通過して ゥヱーハ Wの所定の領域を照射する。
複数本の一次電子ビーム 1 7 6 · 1の照射によってゥヱーハ Wから放出された二次 電子及び反射電子 1 7 6 · 5は、 図 1 72の (A) について既に説明したと同様に、 第 2の方向とは逆の方向へ進行して対物レンズ 1 7 2 · 3、 NA開口板 1 7 2 · 2、 ウイーンフィルタ 1 72 · 1、 中間レンズ 1 7 2 ■ 4、 投影レンズ 1 72 · 5を通つ て検出系 Dに入射し、 センサュニッ ト 1 7 2 · 8によって電気信号化される。
電子銃 E Gmから見て下流側の軸対称レンズ 1 7 6 · 4とウィーンフィルタ 1 7 2 - 1との間には、 複数本の一次電子ビーム 1 7 6 · 1を偏向するための偏向器 1 7 6 - 6が配置される。 そこで、 複数本の一次電子ビーム 1 7 6 · 1によってゥエーハ W 上の或る領域 Rを走查するため、 図 1 7 6の (B) に示すように、 ゥエーハ Wを Ϋ軸 方向に移動させながら、 偏向器 1 7 6 · 6によって、 複数本の一次電子ビーム 1 7 6 • 1を Y軸に垂直な X軸方向に同時に偏向させる。 これにより、 複数本の一次電子ビ ーム 1 7 6 · 1によって、 領域 Rがラスタ走査されることになる。
図 1 7 7の (A) は、 写像投影方式電子線装置の更に他の実施の形態であるマルチ · ビニム.' モノピクセル型の欠陥検査装置 E B Iの概略的な構成を示している。 同図に おいて、 電子銃 E Gmは複数本の一次電子ビーム 1 76 · 1を発射することができ、 発射された複数本の一次電子ビーム 1 7 6 · 1は、 図 1 7 6の (A) について説明し たと同様に、 第 1の方向へ進行するよう、 開口板 1 7 6 · 2、 軸対称レンズ 1 Ί 6 · 3、 1 7 6 - 4, 偏向器 1 7 6 · 6、 ウィーンフィルタ 1 7 2 · 1、 対物レンズ 1 7 2 . 3によって導かれてゥエーハ Wを照射する。 '
複数本の一次電子ビーム 1 7 6 · 1によって照射されてゥエーハ Wから放出された 二次電子又は反射電子 1 7 6 · 5は、 対物レンズ 1 72 · 3を通過した後、 ウィーン フィル夕 1 7 2 · 1によって所定の角度だけ進行方向を変えられた後、 中間レンズ 1 7 2 · 4、 投影レンズ 1 72 · 5を通過してマルチ検出系 D ' に入射する。 図のマル チ検出系 D 'は二次電子検出系であって、 開口電極 1 7 6 · 2に形成された n個の小 孔と同数の孔が形成されたマルチ開口板 1 7 7 · 1 と、 開口板 1 7 7 · 1の n個の孔 を通過した二次電子を捕捉して該二次電子の強度を表す電気信号へ変換するようマル チ開口板 1 7 7 · 1の各孔に対応して設けられた n個の検出器 1 7 7 · 2と、 各検出 器 1 7 7 · 2から出力された電気信号を増幅する n個の増幅器 1 7 7 · .3 と、 それぞ れの増幅器 1 7 7 · 3によって増幅された電気信号をディジタル信号へ変換してゥェ ーハ W上の被走査領域 Rの画像信号を記憶、 表示、 比較等を行う画像処理部 1 7 2 · 1 0 ' とを備える。
図 1 7 7の (A ) に示す欠陥検査装置 E B I においては、 複数本の一次電子ビーム 1 7 6 - 1 による領域 Rの走査は、 図 1 7 7の (B ) に示すように行われる。 即ち、 図 1 7 7の (B ) に示すように、 領域 Rを一次電子ビーム 1 7 6 * 1の数だけ Y軸方 向に分割して小領域 r l 、 r 2 、 r 3、 r 4を想定し、 それぞれの一次電子ビーム 1 7 6 - 1をこれらの小領域 r 1 ~ r 4のそれぞれに割り当てる。 そこで、 ゥェ一ハ W を Y軸方向に移動させながら、 偏向器 1 7 6 · 6によって、 それぞれの一次電子ビ一 ム 1 7 6 · 1を X軸方向に同時に偏向させ、 各一次電子ビーム 1 7 6 · 1にその割り 当てられた小領域 r 1 〜 r 4を走査させる。 これにより、 複数本の一次電子ビーム 1 7 6 · 1によって領域 Rが走査されることになる。
なお、 マルチビームの一次光学系は、 図 1 7 6に限定されるものではなく、 試料上 に照射される時点でマルチビームであればよく、 例えば、 単一の電子銃であってもよ い。 ' ' これまで説明してきた欠陥検查装置 E B I においては、 ゥェ一ハ Wをステージ上に 載置し、 該ステ一ジを真空チヤンパ内で精度良く位置決めすることができる機構を使 用することが好ましい。 かかるステージの高精度の位置決めために、 例えば、 ステ一 ジを静圧軸受けによって非接触支持する構造が採用される。 この場合、 静圧軸受けか ら供給される高圧ガスが真空チャンバに排気されないよう、 高圧ガスを排気する差動 排気機構を静圧軸受けの範囲に形成して真空チャンパの真空度を維持することが望ま しい。
図 1 7 8は、 ゥエーハ Wを載置したステージを真空チヤンバ内で精度良く位置決め するための機構の構成の一例と不活性ガスの循環配管系を示す図である。 図 1 7 8に おいて、 一次電子をゥエーハ Wに向かって照射する鏡筒 1 7 8 ·· 1の先端部すなわち 一次電子照射部 1 7 8 . · 2が真空チャンパ Cを画成するハウジング 1 7 8 · 3に取り 付けられる。 鏡筒 1 7 8 · 1の直下には、'高精度な X Yステージ 1 7 8 · 4の; 方向 (図 1 7 8において左右方向) の可動テーブル上に載置されたゥェ一ハ Wが配置され る。 X Yステージ 1 7 8 · 4を X方向及び Y方向 (図 1 7 8において紙面に垂直の方 向) に移動させることにより、 ゥエーハ Wの面上の任意の位置に対して正確に一次電 子を照射することができる。
XYステージ 1 78 · 4の台座 1 78 · 5はハウジング 1 7 8 · 3の底壁に固定さ れ、 Y方向に移動する Yテ一プル 1 78 · 6が台座 1 7 8 · 5の上に載っている。 Y テーブル 1 7 8 · 6の両側面 (図 1 78において左右側面) には突部が形成され、 こ れら突部は台座 1 78 · 5に設けられた一対の Y方向ガイ ド 1 7 8 · 7 a及び 1 78 - 7 bに形成された凹溝とそれぞれ嵌合する。 各凹溝は Y方向ガイ ド 1 7.8 · 7 a、 1 7 8 - 7 bのほぼ全長に亘つて Y方向に伸びている。 凹溝内に突出する突部の上、 下面及び側面には公知の構造の静圧軸受け (図示せず) がそれぞれ設けられる。 これ らの静圧軸受けを介して高圧且つ高純度の不活性ガス (N 2ガス、 A rガス等) を吹 き出すことにより、 Yテーブル 1 7 8 · 6は Y方向ガイ ド 1 7 8 · 7 a、 1 7 8 - 7 bに対して非接触で支持され、 Y方向に円滑に往復運動できる。 また、 台座 1 78 · 5と Yテーブル 1 78 · 6との間には、 Yテーブル 1 7 8 · 6を Y方向に駆動するた めに、 公知の構造のリニアモータ 1 78 · 8が配置される。
Yテーブル 1 7 8 · 6の上側には Xテーブル 1 7 8 ' 9が X方向に移動可能に載置 されている。 Xテーブル 1 7 8 · 9を挟むように、 Yテーブル 1 7 8 · 6のための Y 方向ガイ ド 1 78 ' 7 a、 1 78 · 7 bと同じ構造の一対の X方向ガ.ィド 3 1 78 · 1 0 a、 1 7 8 · 1 0 b (図 1 78には 1 78 · 1 0 aのみ図示されている) が設け られる。 これら X方向ガイ ドの Xテーブル 1 7 8 · 9に面した側にも凹溝が形成され 、 Xテーブル 1 7 8 · 9の X方向ガイ ドに面した側部には、 上記凹溝内に突出する突 部が形成されている。 これらの凹溝は X方向ガイ ドのほぼ全長に亘つて伸びている。 凹溝内に突出する X方向テーブル 1 78 · 9の突部の上、 下面及び側面には、 Yテー ブル 1 7 8 · 6の非接触支持のための静圧軸受けと同様の静圧軸受け (図示せず) が 設けられる。 これらの静圧軸受けに高圧且つ高純度の不活性ガスを供給して静圧軸受 けから X方向ガイ ド 1 7 8 : 1 0 a, 1 78 - 1 0 bの案内面に対して噴出させるこ とにより、 Xテーブル 1 7 8 · 9は X方向ガイ ド 1 7 8 · 1 0 a、 1 78 . 1 0 bに 対して高精度に非接触で支持される。 Yテーブル 1 7 8 · 6には、 Xテーブル 1 7 8 • 9を X方向に駆動するために公知の構造のリニァモータ 1 7 8 . 1 1が配置される XYステージ 1 7 8 · 4として、 大気中で用いられる静圧軸受け付きステージ機構 をほぼそのまま使用することが可能なので、 露光装置等で用いられる大気用の高精度 のステージと同等の精度を有する ΧΥステージを、 ほぽ同等のコスト及ぴ大きさで欠 陥検査装置用の ΧΥステージとして実現することができる。 なお、 ゥェ一ハ Wは Xテ 一プル 1 7 8 · 9上に直接載置されるのではなく、 ゥエーハ Wを取り外し可能に保持 し且つ XYステージ 1 78 · 4に対して微少な位置変更を行なう機能を有する試料台 の上に載置されるのが普通である。
上記不活性ガスはフレキシブル配管 1 78 . 1 2、 1 78 - 1 3及び ΧΥステージ 1 7 8 · 4内に形成されたガス通路 (図示せず) を介して上記静圧軸受けに供給され る。 静圧軸受けに供給された高圧の不活性ガスは、 Υ方向ガイ ド 1 7 8 · 7 a、 1 8
78 · 7 b及び X方向ガイ ド 1 7 8 ' 1 0 a、 1 7 8 · 1 0 bの対向する案内面との 間に形成された数ミクロンから数十ミクロンの隙間に ¾出して Yテーブル 1 7 8 - 6 及び Xテーブル 1 78 · 9を案内面に対して; X方向、 Y方向及び Z方向 (図 1 7 8に おいて上下—方向) に正確に位置決めする。 静圧軸受けから噴出した不活性ガスのガス 分子は真空チャンバ C内に拡散し、 排気口 1 7 8 · 14、 1 78 · 1 5 a, 1 7 8 · 1 5 b及び真空配管 1 7 8 · 1 6、 17 8 · 1 7を通してドライ真空ポンプ 1 78 · 1 8によって排気される。 排気口 1 78 ·.1 5 a、 1 7 8 - 1 5 bの吸い込み口は台 座 1 7 8 · 5を貫通してその上面に設けられる。 これにより、 吸い込み口は XYステ ージ 1 78 ■ 4から高庄ガスが排出される位置の近くに開口するので、 静圧軸受けか ら噴出される高圧ガスにより真空チャンパ C内の圧力が上昇するのが防止される。 ドライ真空ポンプ 1 7 8 · 18の排気口は、 配管 1 78 · 1 9を介して圧縮機 1 7
8 · 2 0に接続され、 圧縮機 1 7 8 · 2 0の排気口は配管 1 7 8 · 2 1、 1 7 8 . 2 2、 1 78 · 2 3及びレギユレ一夕 178 · 24、 1 7 8 · 2 5を介してフレキシブ ル配管 1 7 8 · 1 2、 1 78 - 1 3に接続される。 このため、 ドライ真空ポンプ 1 7 8 · 1 8から排出された不活性ガスは、 圧縮機 1 7 8 * 2 0によって再び加圧されレ ギユレ一タ 1 7 8 . 24、 1 78 - 25で適正な圧力に調整された後、 再び X Υテー ブルの静圧軸受けに供給される。 こうすることによって、 高純度の不活性ガスを循環 させて再利用できるので、 不活性ガスを節約でき、 また、 欠陥検査装置 ΕΒ Ιから不 活性ガスが放出されないので、 不活性ガスによる窒息等の事故の発生を防止すること ができる。 なお、 圧縮機 1 7 8 · 2 0の排出側の配管 1 7 8 · 2 1の途中にコールド トラップやフィル夕等の除去手段 1 78 · 2 6を設け、 循環するガス中に混入した水 分や油分等の不純物質をトラップして静圧軸受けに供給されないようにすることが好 ましい。
鏡筒 1 7 8 · 1の先端部すなわち一次電子照射部 1 78 · 2の周囲には、 差動排気 機構 1 78 · 2 7が設けられる。 これは、 真空チャンバ C内の庄力が高くても一次電 子照射空間 1 78 · 2.8の圧力が十分低くなるようにするためである。 一次電子照射 部 1 7 8 · 2の周囲に取り付けられた差動排気機構 1 78 · 2 7の環状部材 1 7 8 . 29は、 その下面 (ゥエー 、Wと対向する面) とゥエーハ Wとの間に数ミクロンから 数百ミクロンの微少な隙間が形成されるようにハウジング 1 7 8 · 3に対して位置決 めされる。
環状部材 1 78 ■ 2 9の下面には環状溝 1 78 · 3 0が形成され、 環状溝 1 7 8 . 3 0は排気口 1 7 8 . 3 1に接続される。 排気口 1 78 · 3 1は真空配管 1 7 8 · 3 2を介して超高真空ポンプであるターボ分子ポンプ 1 78 · 3 3に接続される。 また 、 鏡筒 1 7 8 · 1の適所には排気口 1 78 · 34が設けられ、 排気口 1 7 8 . 34は 真空配管 1 78 · 3 5を介してタ一ポ分子ポンプ 1 78 · 3 6に接続される。 これら のタ一ポ分子ポンプ 1 78 · 3 3、 1 78 - 3 6は真空配管 1 78 · 3 7.、 1 7 8 · 3 8によってドライ真空ポンプ 1 7 8 · 1 8に接続される。 したがって、 差動排気機 構 1 7 8 · 2 7や荷電ビーム照射空間 1 7 8 · 26に侵入した不活性ガスのガス分子 は環状溝 1 7 8 * 3 0、 排気口 1 7 8 · 3 1及び真空配管 1 7 8 · 3 2を介してター ポ分子ポンプ 1 7 8 · 33によって排気されるので、 真空チャンパ Cから環状部材 1 7 8 - 2 9によって囲まれた空間 1 78 28内に侵入したガス分子は排気されてし まう。 これにより、 一次電子照射空間 1 7 8 · 28内の圧力を低く保つことができ、 一次電子を問題なく照射す ¾ことができる。 また、 鏡筒 1 78 · 1の先端部から吸引 されたガス分子は排気口 1 78 · 34、 真空配管 1 78 * 3 5を通ってターボ分子ポ ンプ 1 7 8 · 36によって排気される。 夕一ポ分子ポンプ 1 7 8 · 3 3、 1 7 8 - 3 6から排出されたガス分子はドライ真空ポンプ 1 7 8 · 1 8によって収集されて圧縮 機 1 7 8 · 2 0に供給される。
なお、 環状溝 1 7 8 · 3 0は、 真空チヤンパ C内の圧力や一次電子照射空間 1 7 8 · 28内の圧力によっては、 二重或いは三重の構造にしてもよい。 また、 図 1 7 8に 示す検査装置では、 ターボ分子ポンプの粗引きポンプと真空チャンバの真空排気用ポ ンプを 1台のドライ真空ポンプで兼用するようにしているが、 ΧΥステージの静圧軸 受けに供給する高圧ガスの流量、 真空チャンパの容積や内表面積、 真空配管の内径や 長さ等に応じて、 別系統のドライ真空ポンプで排気することも可能である。
: ΧΥステージ 1 7 8 · 4の静圧軸受けに供給する高圧ガスとして、 一般にドライ窒 素が使用される。 し力 しながら、 可能ならば、 更に髙純度の不活性ガスを用いること が好ましい。 これは、 水分や油分等の不純物がガス中に含まれると、 これらの不純物 分子が真空チャンバ Cを画成するハウジング 1 1 8 · 3の内面やステージ構成部品の 表面に付着して真空度を悪化させたり、 ゥェ一八 Wの表面に付着して一次電子照射空 間 1 7 8 · 2 8の真空度を悪化させてしまうからである。 また、 水分や油分が極力含 まれないようにする必要があるため、 ターボ分子ポンプ 1 7 8 · 33、 1 78 - 3 6 、 ドライ真空ポンプ 1 78 · 1 8及び圧縮機 1 7 8 · 20は、 ガス流路に水分や油分 が混入しないような構造であることが求められる。
なお、 図 1 78に示すように、 不活性ガスの循環配管系には高純度不活性ガス供給 系 1 7 8 · 1 9が接続されており、 ガスの循環を始める際に、 真空チャンパ Cや真空 配管 1 7 8 . 1 6、 1 7 8 · 1 5, 1 7 8 - 32 , 1 78 - 3 5 , 1 78 - 3 7及び 加圧側配管 1 7 8 . 1 9、 1 7 8 . 2 1、 1 78 . 22、 1 78 - 2 3, 1 7 8 - 3 9を含む全ての循環系に高純度不活性ガスを満たす役割と、 何らかの原因で循環する ガスの流量が減少した際に不足分を供給する役割とを担っている。 また、 ドライ真空 ポンプ 1 7 8 · 1 8に大気圧以上まで圧縮する機能を持たせることによって、 ドライ ' 真空ポンプ 1 7 8 · 1 8に圧縮機 1 78 · 2 0の機能を兼用させることも可能である 。 更に、 鏡筒 1 78 · 1の排気に用いる超高真空ポンプとして、 ターボ分子ポンプ 1 7 8 - 3 6の代わりにイオンポンプやゲッタポンプ等のポンプを使用することも可能 である。 ただし、 これらの溜込み式ポンプを用いた場合には、 循環配管系を構築する ことができなくなる。 ドライ真空ポンプ 1 78 · 1 8の代わりに、 ダイヤフラム式ド ライポンプ等、 他方式のドライポンプを使用することも可能である。
図 1 7 9は、 差動排気機構 1 78 · 2 7の環状部材 1 7 8 · 2 9及びそれに形成さ れた環状溝 1 78 · 3 0の大きさの数値の例を示している。 ここでは、 半径方向に隔 てられた二重構造の環状溝が用いられている。 静圧軸受けに供給される高圧ガスの流 量は、 通常おおよそ 2 0 L/m i n (大気圧換算) 程度である。 真空チヤンパ Cを、 内径 5 0 mmで長さ 2 mの真空配管を介して 2 0 0 0 0 L/m i nの排気速度を有す るドライポンプで排気すると仮定すると、 真空チャンバ内の圧力は、 約 1 6 0 P a ( 約 1. 2 T o.r r ) となる。 この時、 差動排気機構 1 7 8 · 27、 環状部材 1 7 8 · ' 2 9及び環状溝 1 78 · 30等の寸法を図 1 7 9に示すように設定すると、 一次電子 照射空間 5 6内の圧力を 1 0— 4P a (1 0— 6To r r) にすることができる。
図 1 8 0は、 これまで図 1 7 2〜図 1 7 9によって説明してきた欠陥検査装置 E B Iを搭載した検査システムの全体的な構成を概略的に示している。 図示のとおり、 欠 陥検査装置 E B Iの一次光学系からゥェ一ハ W、 二次光学系を経て検出系 Dに至る経 路の構成要素は、 磁気シールド機能を奏する鏡筒 1 7 8 · 1の内部に収容され、 鏡筒 1 7 8 · 1は、 外部からの振動が伝わるのを防止するようにアクティブ除振ユニッ ト により支持された除振台 1 8 0 · 1の上面に設置される。 鏡筒 1 78 · 1の内部は真 空排気系 1 8 0 · 2によって真空に保たれる。 鏡筒 1 7 8 · 1の内部の一次光学系及 び二次光学系の各構成要素に対しては、 制御電源 1 8 0 · 3から高圧ケ一プル 1 8 0 · 4を介して所要の電圧が供給される。 ·
鏡筒 1 7 8 · 1の適宜の個所に、 光学顕微鏡とオートフォーカス手段とを備えたァ ライメント機構 1 8 0 · 5が設けられ、 次光学系及び二次光学系を構成する各要素 を所定の光軸上に適正に配置するとともに電子銃から発射された一次電子がゥエーハ W上に焦点を自動的に結ぶよう調整される。 . 除振台 1 8 0 · 1の上面には、 ゥェ一ハ Wを載置して固定するためのチャック (図 示せず) を備えた XYステージ 1 7 8 · 4が設置され、 走査期間における XYステ一 ジ 1 7 8 · 4の位置は所定間隔でレーザ一干渉計によって検出される。 更に、 除振台 1 80 · 1の上面には、 検査対象である複数枚のゥエーハ Wを蓄積するためのローダ — 1 8 0 . 6と、 ローダ一 1 80 . 6内のゥエーハ Wを把持して鏡筒 1 7 8 . 1内の X Yステージ 1 7 8 . 4に載置し、 検査終了後にゥエーハ Wを鏡筒 1 78 · 1内から 取り出すための搬送ロポッ ト 1 8 0 · 7とが設置される。
システム全体の動作は、 所要のプログラムがィンストールされたメインコントロ一 ラ 1 8 0 · 8によって制御される。 メインコントローラ 1 80 · 8はディスプレイ 1 8 0 · 9を備えており、 また、 ケーブル 1 8 0 · 1 0を介して検出系 Dと接続される 。 これにより、 メインコントローラ 1 8 0 · 8は検出系 Dからケーブル 1 8 0 · 1 0 を介してディジタル画像信号を受け取って画像処理部 1 7 2 · 1 0によって処理し、 ゥエーハ Wの走査によって得られた検査結果ファイルの内容ゃゥエーハ Wの欠陥分布 等をディスプレイ 1 8 0 · 9に表示させることができる。 また、 メインコントローラ 1 80 · 8はシステム全体の動作を制御するためにシステムの動作状態をディスプレ ィ 1 8 0 · 9に表示する。
なお、 ゥェ一ハ Wを載置するステージは XY平面内で移動可能であるとして説明し てきたが、 これに加えて、 ステージは、 XY平面に垂直な又は XY平面を通る任意の 軸の回りに回転可能であってもよい。 また、 検査対象はゥエーハに限られるものでは なく、 マスク等の電子線によって検査可能な試料を含むものとする。 更に、 この 施 の形態における写像投影型電子線装置と従来のビーム走査方式の欠陥レビュー装置と サーバとメインコントローラとを L ANで相互に結合することにより、 分散型の欠陥 検査網を構築することができる。
以上の説明から理解されるように、 この実施の形態は、
(1) 面ビームによって試料を照射するので、 スループッ トを向上させることができ
、 例えばゥェ一ハ 1 枚あたりの欠陥検査時間を従来のビーム走査方式の検査装置に 比べてほぼ 1Z 7に短縮することができる、
(2) 一次電子をビームスポッ トに絞る必要が無いので、 空間電荷効果を回避するこ とができるうえ、 試料を低電流密度で照射するので、 試料のダメージが小さい、 (3) 面ビームによって試料を照射するので、 1ピクセルよりも小さいサイズまで検 査することができる、 - (4) 電子銃の加速電圧及び試料に印加きれる電圧を選定するとともに、 対物レンズ を調整することにより、 正帯電モード、 負帯電モード及び反射電子撮像モードのうち のいずれかの動作モードで動作することができるので、 試料における検査部位に応じ て適正な検査を実施することができる、
( 5 ) 静電レンズを使用することにより、 一次光学系及び/又は二次光学系を小型で 高精度にすることができる、
等の格別の効果を奏する。

Claims

請求の範囲
1 . 電子ビームを試料に向けて照射する手段と、
'該電子ビームの前記試料へ向けた照射によって前記試料の表面の情報を得た電子を 検出器へ導く手段と、
該検出器に導かれた前記電子を画像として合成する手段と、
を備える電子線装置であって、
前記電子ビームが前記試料上を照射する領域の前記電子ビームの照度が均一である 電子線装置。
2 . 前記試料の表面の情報を得た前記電子は、 前記試料より発生する二次電子、 反 射電子、 後方散乱電子のうちの少なくとも 1つである、 請求項 1に記載の電子線装置
3 . 前記試料の表面の情報を得た前記電子は、 前記試料の表面付近で反射されたミ ラ一電子である、 請求項 1に記載の電子線装置。
4 . 前記領域の形状が、 前記電子ビームの光軸に対して直交する二つの軸に関して それぞれ概ね対称である、 請求項 1に記載の電子線装置。
5 . 前記領域が前記検出器の画素に対応した画素で構成される、 請求項 1 に記載の 電子線装置。
6 . 前記電子ビームが複数である、 請求項 1に記載の電子線装置。 7 . 前記電子ビームを走査する、 請求項 1に記載の電子線装置。
8 . 発生された前記の二次電子、 反射電子、 後方散乱電子及びミラー電子のうちの 少なくとも一つを前記検出器上で集束する、 請求項 2又は 3に記載の電子線装置。
9 . 発生された前記の二次電子、 反射電子、 後方散乱電子及びミラ一電子のうちの 少なくとも一つを前記検出器上で結像させる、 請求項 2又は 3に記載の電子線装置。
1 0 . 発生された前記の二次電子、 反射電子、 後方散乱電子及びミラー電子のうち の少なく とも一つを前記検出器上で拡大して結像させる、 請求項 2又は 3に記載の電 子線装置。
1 1. 電子ビームを試料へ照射する手段と、
該電子ビームの前記試料への照射によって前記試料の表面の情報を得た電子を検出 器に結像させる手段と、
• 該検出器に結像された前記電子を画像として合成する手段と、
を備える電子線装置であって、
前記電子ビームの電流密度及び 又はエネルギーを、 前記電子ビームの照射によつ て前記試料にダメージを与えない値に設定した電子線装置。
1 2. 前記電子は、 前記試料より発生する二次電子、 反射電子、 後方散乱電子のう ちの少なくとも 1つである、 請求項 1 1に記載の電子線装置。 1 3. 前記電子は、 前記試料の表面付近で反射されたミラー電子である、 請求項 1 1に記載の電子線装置。
14. 前記電流密度を 1 AZc m2以下に設定した、 請求項 1 1に記載の電子線装 置。
1 5. 前記エネルギーを 1 e V以上 1 5 k e V以下に設定した、 請求項 14に記載 の電子線装置。
1 6. 前記電子ビームの前記試料への照射量を 1 E— 7以上 3 E— l C/cm2以 下 fc設定した、 請求項 1 1に記載の電子線装置。
1 7. 電子ビームを試料に照射する前記手段と、 検出器に結像させる前記手段とが 、 それぞれ、 静電レンズで構成された光学系である、 請求項 1 2に記載の電子線装置
1 8. 前記電子ビ ムが照射する照射領域の形状が、 前記電子ゼームの光軸に対し て直交する二つの軸に関してそれぞれ概ね対称である、 請求項 1 7に記載の電子線装
1 9 . 前記試料に照射される前記電子ビームと、 前記電子とを分離する手段を備え 、 該分離する手段は、 電界と磁界とを生成する手段を含む、 請求項 1 1に記載の電子
2 0 . 電子ビームを試料に向けて照射する手段と、
該電子ビー の前記試料へ向けた照射によって前記試料の表面の情報を得た電子を 検出器に拡大投影して結像させる手段と、
該検出器に拡大投影されて結像された前記電子を画像として合成する手段と、 を備える電子線装置であって、
任意の拡大投影倍率において電流密度を一定にするために前記照射領域の面積を変 える手段を有するする電子線装置。
2 1 . 前記電子は、 前記試料より発生する二次電子、 反射電子、 後方散乱電子のう ちの少なくとも 1つである'、 請求項 2 0に記載の電子線装置。
2 2 . 前記電子は、 前記試料の表面付近で反射されたミラー電子である、 請求項 2 0に記載の電子線装置。
2 3 . 前記拡大投影倍率に連動して、 前記照射領域の形状を相似形に維持しながら 変える手段を有する、 請求項 2 0に記載の電子線装置。
2 4 . 前記照射領域の面積が、 前記電子を画像として合成する領域と概ね同一の大 きさ、 又は前記検出器に拡大投影されて結像された前記電子を画像として合成する頜 域を含む大きさである、 請求項 2 0に記載の電子線装置。
2 5 . 前記拡大投影倍率を連続的に変えるための手段を更に備え、 該手段に連動し て、 前記照射領域の面積を制御し、 もって概ね同一の信号対雑音比を得る、 請求項 2 0に記載の電子線装置。 2 6 . 電子ビームを試料に向けて照射する手段と、
該電子ビームの前記試料へ向けた照射によって前記試料の表面の情報を得た電子を 検出器に導く手段と、
該検出器に導かれた前記電子を画像として合成する手段と、
前記試料を載置し、 前記電子ビームの光軸に対して直交する 2つの軸の方向に連続 的に移動可能なステージとを備える電子線装置であって、
前記検出器及び合成する前記手段が、
前記電子を増倍させる手段と、
増倍させる前記手段によって増倍された前記電子を画像用電気信号に変換する手段 と、
を備え、
• 前記ステージの移動速度が、 画像用電気信号に変換する前記手段によって変換され た信号の信号対雑音比を一定にするように制御される
電子線装置。
2 7. 前記電子は、 前記試料より発生する二次電子、 反射電子、 後方散乱電子のう ちの少なく とも 1つである、 請求項 2 6に記載の電子線装置。
2 8. 前記電子は、 前記試料の表面付近で反射されたミラ一電子である、 請求項 2 6に記載の電子線装置。
2 9. 光を画像用電気信号に変換する前記手段が、 有効画素数 1 024以上 409 6以下、 積算段数が 144以上 40 96以下、 タップ数が 4以上 1 2 8以下である検 出素子を備える、 請求項 2 6に記載の電子線装置。
3 0. 前記半導体素子のライン周波数が 20 kH z以上 3 0 0 kH z以下である、 請求項 2 9に記載の電子線装置。
3 1. 前記検出器及び合成する前記手段が、
if記の二次電子、 反射電子及び後方散乱電子のうちの少なくとも一つを増倍させる 手段と、
増倍させる前記手段によって増倍された前記の二次電子、 反射電子及び後方散乱電 子のうちの少なく とも一つを光に変換する手段と、
光に変換する前記手段からの光を画像用電気信号に変換する手段と、
を備える
、 請求項 2 6に記載の電子線装置。
3 2. 画像用電気信号に変換する前記手段が TD I — C CDを備える、 請求項 3 1 に記載の電子線装置。
3 3. 電子ビームを試料に向けて照射する手段と、
該電子ビームの前記試料へ向けた照射によって前記試料の表面の情報を得た電子を 検出器に導く手段と、
該検出器に導かれた前記電子を画像として合成する手段と、
を備える電子線装置であって、
最大輝度の画像を得るのに必要な入射電子の数が 1 0 0 0個/画素以下である電子 線装置。
34. 前記試料の表面の情報を得た電子は、 前記試料より発生する二次電子、 反射 電子、 後方散乱電子のうちの少なくとも 1つである、 請求項 3 3に記載の電子線装置
3 5. 前記試料の表面の情報を得た電子は、 前記試料の表面付近で反射されたミラ —電子である、 請求項 3 3に記載の電子線装置。
3 6. 前記電子ビームが L a B 6からの熱電子である、 請求項 33に記載の電子線
37. 画像として合成する前記手段が、
前記の二次電子、 反射電子及び後方散乱電子のうちの少なくとも一つを増倍させる 手段と、
増倍させる前記手段によって増倍された前記の二次電子、 反射電子及び後方散乱電 子のうちの少なく とも一つを画像用電気信号に変換する手段と、
を'備える
、 請求項 3 6に記載の電子線装置。
38. 前記検出器のライン周波数が 2 0 kH z以上 40 0 kHz以下である、 請求 項 3 6に記載の電子線装置。
3 9. 画像用電気信号に変換する前記手段が、 充分な感度を有する TD I — C CD を備える、 請求項 3 8に記載の電子線装置。
40. 前記検出器のライン周波数が 3 0 0 :《 2以上 1 50 01 112以下であり、 前記 TD I —CCDの画素数が 2 048以上 409 6以下であり、 タップ数が 32 以上 1 2 8以下であり、 感度が 1 0 0 0 DNZ (n J / cm2) 以上 40 0 0 0 DN / (n J / cm2) 以下である
、 請求項 3 9に記載の電子線装置。
4 1. 2 0 0 mmゥエーハの 1枚当たりの検査時間が 0. l m分解能のときに 2 時間以下である、 請求項 40に記載の電子線装置。
42. 前記検出器のライン周波数が 20 kH z以上 1 0 0MHz以下であり、 前記 TD I — C C Dの画素数が 2 048以上 40 9 6以下であり、 タップ数が 32 以上 1 2 8以下であり、 感度が 1 0 0 0 DN/ (n J /cm2) 以上 40 0 0 0 DN / (n J / cm2) 以下である
、 請求項 3 9に記載の電子線装置。
43. 2 0 0 mmゥエー八の 1枚当たりの検査時間が 0. tim分解能のときに 1 ノ 8時間以下であるある、 請求項 42に記載の電子線装置。
44. 電子ビームを試料に向けて照射する手段と、
該電子ビームの前記試料へ向けた照射によって前記試料の表面の情報を得た電子を 検出器に拡大投影して結像させる手段と、 ' 該検出器に結像された前記電子を画像として合成する手段と、
を備える電子線装置であって、
前記電子ビームが前記試料を照射する照射領域の形状が、 前記電子ビームの光軸に 対して直交する二つの軸に関してそれぞれ概ね対称であり、
前記照射領域での前記電子ビームの照度が均一であり、
照射する前記手段と結像させる前記手段とが、 静電レンズで構成された光学系であ り、
合成する前記手段は、 前記電子を増倍させる手段と、 増倍させる前記手段によって 増倍された前記電子を光に変換する手段と、 光に変換する前記手段からの光を画像用 電気信号に変換する TD I— CCDとを備え、 '
前記 TD I 一 C CDの画素サイズに基づく所望の拡大投影倍率を得るために、 前記 静電レンズの電圧を調整して、 前記試料 ¾面での画素サイズを定める電子線装置。
45. 前記電子は、 前記試料より発生する二次電子、 反射電子、 後方散乱電子のう ちの少なく とも 1つである、 請求項 4 4に記載の電子線装置。
4 6 . 前記電子は、 前記試料の表面付近で反射されたミラー電子である、 請求項 4 4に記載の電子線装置。
4 7 . 前記 T D I— C C Dの画素サイズが、 前記試料上に存在する検査対象物を発 見し得るよう、 該検査対象物の寸法の 2倍以下である、 請求項 4 4に記載の電子線装
4 8 . 前記 T D I— C C Dの画素サイズが、 前記試料上に存在する線を認識し得る よう、 該線幅の 2倍以下である、 請求項 4 4に記載の電子線装置。
4 9 . 前記 T D I— C C Dの画素サイズが、 デザインルールに倍率をかけた値以下 の画素サイズである、 請求項 4 4に記載の電子線装置。
5 0 . 前記デザインルールが、 前記試料がメモリである場合には配線のハーフピッ チであり、 前記試料がロジックである場合にはゲート長を示す、 請求項 4 9に記載の 電子線装置。 5 1 . 前記光学系が、 二組の対物レンズと二組の中間レンズと二組の投影レンズと からなり、 結像的に発生する色収差、 球面収差、 コマ収差のうちの少なく とも一つの 収差を低減する、 請求項 4 2に記載の電子線装置。
5 2 . 電子ビームを試料に向けて照射する手段と、
'該電子ビームの前記試料へ向けた照射によって前記試料の表面の情報を得た電子を 検出器に拡大投影して結像させる手段と、
該検出器に結像された前記電子を画像として合成する手段と、
を備える電子線装置であって、
前記照射領域での前記電子ビームの照度が均一であり、
結像させる前記手段が、 静電レンズで構成された光学系であ'り、
前記電子ビームと前記電子とを分離する手段が、 電界と磁界とを利用した偏向器で あり、 '
前記検出器に拡大投影して結像された画像の中心と前記静電レンズの中心とは共通 の軸上にあり、 前記偏向器と前記試料との間の区間では、 前記電子ビームが前記共通の軸を光軸と し、 前記電子ビームの前記光軸が前記試料に対してほぽ垂直である
電子線装置。 5 3 . 前記電子は、 前記試料より発生する二次電子、 反射電子、 後方散乱電子のう ちの少なくとも 1つである、 請求項 5 2に記載の電子線装置。
5 4 . 前記電子は、 前記試料の表面付近で反射されたミラ一電子である、 請求項 5 2に記載の電子線装置。
5 5 . 前記光学系が、 二組の対物レンズと二組の中間レンズと二組の投影レンズと からなり、 結像的に発生する色収差、 球面収差、 コマ収差のうちの少なく とも一つの 収差を低減する、 請求項 5 2に記載の電子線装置。 5 6 . 前記電子が、 前記偏向器によって偏向されずに前記検出器へ導かれる、 請求 項 5 2に記載の電子線装置。
5 7 . 前記電子ビームが、 前記共通の軸に対して 1 0〜 6 0度で前記偏向器に入射 し、 前記偏向器により前記試料の方向へ向けられる、 請求項 5 2に記載の電子線装置
5 8 . 前記電子が、 前記対物レンズにより前記偏向器の中心に結像される、 請求項
5 2に記載の電子線装置。 5 9 . 前記対物レンズは前記試料と前記偏向器との間に配される、 請求項 5 2に記 載の電子線装置。
6 0 . 結像させる前記手段が、 軸ずれを補正する手段を有する、 請求項 5 2に記載 の電子線装置。
6 1 . 軸ずれを捕正する前記手段が、 複数の電極から構成される、 請求項 6 0に記 載の電子線装置。 '
6 2 . 前記試料に任意の値の電圧を印加するための機構を更に備える、 請求項 5 2 に記載の電子線装置。
6 3 . 前記電子ビームが前記試料に照射されるときのエネルギーを制御する、 請求 項 5 2に記載の電子線装置。
6 4 . 前記試料の表面の状態又は材質により、 前記電子ビームのエネルギー及ぴ電 流密度を制御して前記電子ビームの照射条件を変えて前記電子ビームを前記試料に照 射することにより、 前記電子の照射条件を選択することができる、 請求項 5 2に記載 の電子線装置。
6 5 . 前記電子による画像のコントラストの最適値において、 M T Fが最大になる よう前記照射条件を選定する、 請求項 6 に記載の電子線装置。
6 6 . 電子ビームを試料に向けて照射する段階と、
該電子ビームの前記試料へ向けた照射によって前記試料の表面の情報を得た電子を 検出器に導く段階と、
該検出器に導かれた前記電子を画像として合成する段階と、
を備える電子線検査方法であって、
前記電子ビームを発生させる段階と、
前記電子ピ一ムを前記試料に照射する照射エネルギ及び電流密度を決める段階 、 前記電子ビームが前記試料を照射する照射領域の面積を決める段階と、.
前記電子ビームが前記試料を照射する照射領域の形状を決める段階と、
前記照射領域での前記電子ビームの照度を均一に維持する段階と、
前記電子を分離してから前記検出器に導く段階と、
記検出器に導かれた前記電子を選択する段階と、
を備える検査方法。
6 7 . 前記電子は、 前記試料より発生する二次電子、 反射電子、 後方散乱電子のう ちの少なくとも 1つである、 請求項 6 6に記載の電子線装置。
6 8 . 前記電子は、 前記試料の表面付近で反射されたミラー電子である、 請求項 6 6に記載の電子線装置。 '
6 9 . 前記試料が、 前記電子ビームと連動して連続的に移動しながら前記電子ピー ムの照射によって検査される段階を更に備える、 請求項 6 6に記載の検査方法。
7 0 . 電子線を用いて被検査基板を検査する電子線検査装置であって、
前記被検査基板の検査対象に応じて、 予め定められた検査条件に基づいて、 前記被 検査基板を照射する前記電子線のエネルギ及び電流密度、 並びに、 前記電子線が前記 被検査基板を照射する面積及び形状が得られるように、 レンズ電圧、 ステージ移動速 - 度等の装置運転条件を自動的に演算し、 設定する電子線検査装置。
7 1 . 写像投影方式と S E M方式とのうちのいずれか一方の方式である、 請求項 7 0に記載の電子線検査装置。
7 2 . 電子ビームを試料に向けて照射する手段と、
該電子ビームの前記試料へ向けた照射によって前記試料の表面の情報を得た電子を 拡大投影して検出器に結像させる手段と、
前記検出器に結像された前記電子を画像として合成する手段と、
を備える電子線装置であって、
前記電子ビームを試料に照射する前記手段と、 検出器に結像させる前記手段とが、 静電レンズで構成された光学系を備え、
更に、
前記電子ビームと前記電子とを、 電界と磁界とを用いる偏向器によって分離す 手 段と、
前記試料上の帯状部分を順次走査する手段であって、 前記帯状部分を走査した後に 前記試料をステップ移動させて次の前記帯状部分を走査する手段と、
を備え、 隣り合う前記帯状部分の重なり幅を調整可能とした電子線装置。
7 3 . 前記電子は、 前記試料より発生する二次電子、 反射電子、 後方散乱電子のう ちの少なくとも 1つである、 請求項 7 2に記載の電子線装置。
7 4 . 前記電子は、 前記試料の表面付近で反射されたミラ一電子である、 請求項 7 2に記載の電子線装置。 '
7 5 . 走査する前記手段が、 一つの方向に走査した後に、 該方向とは逆の向に走査 することが可能である、 請求項 7 2に記載の電子線装置。
7 6. 走査する前記手段の走査速度が画素サイズの整数倍である、 請求項 7 2に記 載の電子線装置。
7 7. 走査する前記手段の走査速度が、 画素サイズと TD Iのライン周波数との積 である、 請求項 7 2に記載の電子線装置。
■ 78. 走査する前記手段の走査速度が画素サイズに応じて変えることができる、 請 求項 7 7に記載の電子線装置。 7 9. 電子ビームを試料に向けて照射する手段と、
該電子ビームの前記試料へ向けた照射によって前記試料の表面の情報を得た電子を 拡大投影して検出器に結像させる手段と、.
前記検出器に結像された前記電子を画像として合成する手段と、
を備える電子線装置であって、
前記電子ビームと前記電子とが、 電界と磁界とを用いる偏向器によって分離され、 前記電子が前記検出器に到達する率が 4 ~40 %である電子線装置。
80. 前記試料の表面の情報を得た電子は、 前記試料より発生する二次電子、 反射 電子、 後方散乱電子のうちの少なく とも 1つである、 請求項 7 9に記載の電子線装置
8 1. 前記電子.は、 前記試料の表面付近で反射されたミラー電子である、 請求項 7 9に記載の電子線装置。 8 '2. 前記電子の数が 1画素当たり 2 0 0個以上 2 00 0 0以下である、 請求項 7 9に記載の電子線装置。
83. 合成する前記手段が TD I— C C Dを備え、 該 TD Iの画素数が 2048以 上 40 9 6以下であり、 積算段数が 5 1 2以上 40 9 6以下であり、 タップ数が 3 2 以上 1 2 8以下であり、 ライン周波数が 3 00 k H z以上 1. '2 MH z以下である、 請求項 7 9に記載の電子線装置。
84. 2 0 0 mmゥエーハ 1枚当たりの検査時間が 1 /4時間以下である、 請求項 7 9に記載の電子線装置。
8 5 . 前記 T D I— C C Dにおける 1画素当たりの電子ビーム照射時間が、 前記 T D I の積算段数と前記 T D I のライン周波数とによって決められる、 請求項 8 3 に記 載の電子線装置。
8 6 . 電子線を用いて基板を検査する電子線検査装置において、
前記基板の被検査領域を照射する電子銃と、
前記基板から放出された電子を検出する検出器と、
(ィ) 前記電子線を照射する前に、 前記検査領域を含む領域に前記電子線を照射す る手段、 (口) 前記電子線を照射する前に、 前記検査領域を含む領域に導電性膜を塗 布する手段、 (八) 検査中に前記基板の表面にアルゴン、 酸素等の負性ガスを吹き付 ける手段、 (二) 照射エネルギ 3〜 5 k e .Vの負帯電モードに調整する手段、 (ホ) 照 射エネルギ 0 . 5〜 3 k e Vで反射電子を検査するよう調整する手段のうちの少なく とも 1つのチャージアップ抑制手段と、
を具備する電子線検査装置。
8 7 . 露光工程において、 露光後の試料を電子線検査装置により検査し、 該検査の 結果に基づいて露光条件のマージンを決定する露光条件決定方法。 8 8 . 前記電子線検査装置は、 検出器上に前記試料の面の像を結像する写像型 子 線検査装置である、 請求項 8 7に記載の露光条件決定方法。
8 9 . 前記電子線検査装置は、 導電性膜を.1〜 1 0 n m塗布した後に検査する前記 手段、 照射エネルギー 3〜 5 k e Vの負帯電モードで検査する前記手段、 前記試料の 表面にアルゴン、 酸素等の負性ガスを吹き付けながら検査する前記手段、 及び照射ェ ネルギ一が 0 . 5〜 3 k e Vで反射電子を検査する前記手段のうちの少なく とも 1つ のチャージアップ抑制手段を備える、 請求項 8 7に記載の露光条件決定方法。
9 0 . 基準ゥエー八との比較検査によって前記照射量と前記マ一ジンとを決める、 請求項 8 7に記載の露光条件決定方法。
9 1 . 予め記憶された、 最適露光条件のパターンとの比較を行って前記検査を行う 、 請求項 8 7に記載の露光条件決定方法。
9 2 . C A Dデータとの比較によって前記検査を行う、 請求項 8 7に記載の露光条 件決定方法。
9 3 . 前記検査の結果得られたデータから欠陥の原因を導出して前記手段のうちの 所定のものへフィードパックし、 もって歩留まりを向上させる、 請求項 3 7に記載の 露光条件決定方法。
9 4 . 電子ビームを試料に向けて照射する手段と、
該電子ビームの前記試料へ向けた照射によって前記試料の表面の情報を得た電子を 拡大投影して検出器に結像させる手段と、
前記検出器に結像された前記電子を画像として合成する手段と、
を備える電子線装置であって、
前記電子ビームが前記試料を照射する照射領域の形状が前記電子ビームの光軸に対 して直交する 2つの軸に関して概ね対称であり、
前記電子ビームと前記電子とを、 電界と磁界とを用いて分離する偏向器によって分 離し、
前記光軸が前記試料にほぼ垂直であり、
更に、 ノイズを低減する手段を備える
9 5 . 前記電子は、 前記試料より発生する二次電子、 反射電子、 後方散乱電子のう ちの少なくとも 1つである、 請求項 9 4に記載の電子線装置。
9 6 . 前記電子は、 前記試料の表面付近で反射されたミラー電子である、 請求項 9 4 記載の電子線装置。
9 7 . ノイズを低減する前記手段が 1点においてアースされ、 且つ、 アースライン と A C電源ラインとの間に配置されたノイズキラ一を備える、 請求項 9 4に記載の電 子線装置。
9 8 . ノイズを低減する前記手段が、 真空用粗引き配管と粗引きポンプとの間に配 置された絶縁部材を備える、 請求項 9 4 ίこ記載の電子線装置。
9 9 . ノイズを低減する前記手段が、 前記電子線装置をアースする位置を変えなが ら前記試料の画像を評価して最適なアース位置を求める手段を備える、 請求項 94に 記載の電子線装置。
1 0 0. 電子ビームを試料に向けて照射する電子銃と、
該電子ビームの前記試料へ向けた照射によって前記試料の表面の情報を得た電子を 拡大投影して検出器に結像させる手段と、
前記検出器に結像された前記電子を画像として合成する手段と、
を備える電子線装置であって、
前記電子銃が L a B6製の力ソ一ドを有しており、 前記電子銃の引き出し電圧が 4 k V以上 7 k V以下であり、 l X 1 0 4AZcm2 s r以上 1 X 1 0 6 A/ c m2 s r 以下の輝度の熱電子を引き出す電子線装置。
1 0 1 . 前記電子は、 前記試料より発生する二次電子、 反射電子、 後方散乱電子の うちの少なく とも 1つである、 請求項 1 0 0に記載の電子線装置。
1 0 2. 前記電子は、 前記試料の表面付近で反射されたミラー電子である、 請求項 1 00に記載の電子線装置。
1 0 3. 前記電子銃の前記 L a B 6製の力ソードの形状が円錐台であり、 該円錐台 の直径が 5 0 / m以上である、 請求項 1 00に記載の電子線装置。 '
1 04. 電子ビームを試料に向けて照射する電子銃と、
該電子ビームの前記試料へ向けた照射によって前記試料の表面の情報を得た電子を 拡大投影して検出器に結像させる手段と、
前記検出器に結像された前記電子を画像として合成する手段と、
を備える電子線装置であって、
前記電子銃がショッ トキ一型の力ソードを有しており、 前記電子銃の引き出し電圧 が 4 k V以上 7 kV以下であり、 1 X 1 0 6 A/ c m2 s r以上 2 X 1 0 9 A/ c m2 s r以下の輝度の電子を引き出す電子線装置。
1 0 5. 前記電子は、 前記試料より発生する二次電子、 反射電子、 後方散乱電子の うちの少なく とも 1つである、 請求項 1 04に記載の電子線装置。
1 0 6. 前記電子は、 前記試料の表面付近で反射されたミラー電子である、 請求項 1 04に記載の電子線装置。
1 0 7. 前記力ソードが Z r O製のショッ トキ一型カソードである、 請求項 1 04 に記載の電子線装置。
1 08. 電子ビームを試料に向けて照射する手段と、
' 該電子ビームの前記試料へ向けた照射によって前記試料の表面の情報を得た電子を 拡大投影して検出器に結像させる手段と、
前記検出器に結像された前記電子を画像として合成する手段と、
を備える電子線装置であって、
地磁気その他の環境に残留する磁気が前記電子ビーム及び前記電子の軌道に与える 影響を低減する磁気低減手段を備える電子線装置。
1 0 9. 前記電子は、 前記試料より発生する二次電子、 反射電子、 後方散乱電子の うちの少なく とも 1つである、 請求項 1 08に記載の電子線装置。
1 1 0. 前記電子は、 前記試料の表面付近で反射されたミラー電子である、 請求項 1 08に記載の電子線装置。 1 1 1. 前記磁気低減手段が、 強磁性体からなる鏡筒を備える、 請求項 1 08に記 載の電子線装置。
1 1 2. 前記磁気低減手段が、 強磁性体からなる真空容器を備える、 請求項 1 08 に記載の電子線装置。
1 1 3. 電子ビームを試料に向けて照射する手段と、
該電子ビームの前記試料への照射によって前記試料の表面の情報を得た電子を拡大 投影して検出器に結像させる手段と、
前記検出器に結像された前記電子を画像として合成する手段と、
前記電子ビームと前記電子とを、 電界と磁界とのうちの少なくとも一方を用いて分 離する偏向器とを備える電子線装置であって、
画像として合成する前記手段は、 '
前記電子を増倍させる手段と、
増倍させる前記手段によって増倍された前記電子を画像用電気信号に変換する、 複 数の画素からなる半導体撮像素子と、
を備え、
前記偏向器が前記の電子束を偏向させる方向と前記半導体撮像素子の信号積分方向 とを一致させた電子線装置。
5
1 1 4 . 前記電子は、 前記試料より発生する二次電子、 反射電子、 後方散乱電子の うちの少なくとも 1つである、 請求項 1 1 3に記載の電子線装置。
1 1 5 . 前記電子は、 前記試料の表面付近で反射されたミラ一電子である、 請求項 10 1 1 3に記載の電子線装置。
1 1 6 . 前記試料を載置することができ、 試料面上の少なく とも 1つの軸に沿って 連続的に移動可能なステージを更に備え、 前記ステージの移動方向を前記半導体撮像 素子の信号積分方向と一致させた、 請求項 1 1 3に記載の電子線装置。
15
1 1 7 . 基板上に形成されたパターンを検査する方法であって、
一つのダイ内のパターンが周期構造で構成されている部分については該周期構造内 のパターンどうしの比較を行い、 前記パターンが周期構造で構成されていない部分に ついては前記ダイと別のダイとの比較を行う
0 パターン検査方法。 '
1 1 8 . パターンが形成されたダイを有する基板を検査する検査方法であって、 荷電粒子源により発生された電子を前記基板に向けて照射する工程と、
前記基板に照射された前記電子と前記基板を保持するステージとのうちの少なく と 5 も一方を移動させて、 前記基板上の被電子照射領域を相対移動させる工程と、
前記基板の表面の情報を得た電子を結像させて検出器により検出する工程と、 前記電子に基づいて、 被検査ダイの検出画像を取得する工程と、
前記被検査ダイの検出画像の比較基準となる参照用ダイの画像を取得する工程と、 検査されている前記ダイ内のパターンが周期構造で構成されている部分については
¾0 該周期構造内で比較を行い、 周期構造で構成されていない部分については前記参照用 ダイの画像との比較を行う工程と、
を備える検査方法。
1 1 9 . 前記電子は、 前記試料より発生する二次電子、 反射電子、 後方散乱電子の うちの少なくとも 1つである、 請求項 1 1 8に記載の電子線装置。
1 2 0 . 前記電子は、 前記試料の表面付近で反射されたミラー電子である、 請求項 1 1 8に記載の電子線装置。
5
1 2 1 . 前記参照用ダイの画像は、 C A Dデータに基づいて作成された画像である 、 請求項 1 1 8に記載の検査方法。
1 2 2 . 前記参照用ダイの画像は、 前記被検査ダイが形成された前記基板と同一又 0 は異なる基板上のダイの検出画像に基づいて作成された画像である、 請求項 1 0 8に 記載の電子線装置。
1 2 3 . 前記ダイ内のパターンが周期構造で構成されている部分についての比較と 、 周期構図で構成されていない部分の比較とを同時に行う、 請求項 1 1 8に記載の検 5 査方法。
1 4 . 基板上に形成されたパターンを検査する検査装置であって、
一つのダイ内のパターンが周期構造で構成されている部分については該周期構造内 のパターンどうしの比較を行い、 前記パターンが周期構造で構成されていない部分に 0 ついては前記ダイと別のダイとの比較を行う手段
備えるパターン検査装置。
1 2 5 . パターンが形成されたダイを有する基板を検査する検査装置であって、 電子を発生させて前記基板に照射する電子源と、
5 ίίί記基板に照射される前記電子と前記基板を保持するステージとのうちの少なくと も一方を移動させて、 前記基板上の被荷電粒子照射領域を相対移動させる手段と、 前記基板の表面の情報を得た電子を検出する検出器と、
前記の検出された基板表面の情報に基づいて、 前記被検査ダイの検出画像を取得す る手段と、
!0 前記被検査ダイの検出画像の比較基準となる参照用ダイの画像を取得する手段と、 検査されている前記ダイ内のパターンが周期構造で構成されている部分については 該周期構造内のパターンどうしの比較を行い、 前記ダイ内のパターンが周期構造で構 成されていない部分については前記参照用ダイの画像との比較を行う手段と、 を備える検査装置。
1 2 6 . 前記電子は、 前記試料より発生する二次電子、 反射電子、 後方散乱電子の うちの少なく とも 1つである、 請求項 1 2 5に記載の電子線装置。 1 2 7 . 前記電子は、 前記試料の表面付近で反射されたミラー電子である、 請求項 1 2 5に記載の電子線装置。
1 2 8 . 前記検出器は、 前記基板から放出された電子を結像させて検出する、 請求 項 1 2 5に記載の検査装置。
1 2 9 . ゥェ一ハを検査する電子線装置において、
電子ビームをゥェーハに照射する手段と、
該電子ビームの照射によって前記ゥエーハの表面の情報を得た電子を検出する検出 器と、
該検出器により検出された前記電子を画像として合成する手段と、
サイズの異なるゥエーハをチヤキングすることができる静電チヤックと、 を備え、 複数のサイズのゥエー八の検査を可能とした電子線装置。
1 3 0 . 前記電子は、 前記試料より発生する二次電子、 反射電子、 後方散乱電子の うちの少なくとも 1つである、 請求項 1 2 9に記載の電子線装置。 '
1 3 1 . 前記電子は、 前記試料の表面付近で反射されたミラー電子である、 請求項 1 2 9に記載の電子線装置。 1 3 2 . 試料を検査する電子線装置において、
電子ビームを試料に向けて照射する手段と、
該電子ビームの前記試料へ向けた照射によって前記試料の表面の情報を得た電子を 検出する検出器と、
該検出器により検出された前記電子を画像として合成する手段と、
を具備し、
前記電子ビームが、 ·撮像領域の縦横比にほぼ等しい長軸対短軸比を有する楕円形ビ ームであり、 該楕円形ビームの照射により前記試料へのダメージを最小限にし且つ面 ビームでの高速検査を可能とした電子線装置。
1 3 3 . 前記電子は、 前記試料より発生する二次電子、 反射電子、 後方散乱電子の うちの少なく とも 1つである、 請求項 1 3 2に記載の電子線装置。
1 3 4 . 前記電子は、 前記試料の表面付近で反射されたミラ一電子である、 請求項 1 3 2に記載の電子線装置。
• 1 3 5 . 試料を検査する電子線装置において、
電子ビームを試料に向けて照射する照射手段と、
該電子ビームの前記試料へ向けた照射によって前記試料の表面の情報を得た電子を 検出する検出器と、
該検出器により検出された前記電子を画像として合成する合成手段と、
光電子を放出して前記試料を照明して前記試料を電気的に中和する中和手段と、 を備える電子線装置。 1 3 6 . 前記電子は、 前記試料より発生する二次電子、 反射電子、 後方散乱電子の うちの少なく とも 1つである、 請求項 1 3 5に記載の電子線装置。
1 3 7 . 前記電子は、 前記試料の表面付近で反射されたミラ一電子である、 請求項 1 3 5に記載の電子線装置。
1 3 8 . 前記中和手段が、 紫外光を含む波長域の光線を発生するランプと、 該光線 により光電子を放出する部材とを備える、 請求項 1 3 5に記載の電子線装置。
1 3 9 . 行列を成したダイ内にパターンが形成された基板を、 電子を用いて検査す る方法であって、
前記基板をステージ上に方向を指定して載置するステツプと、
位置決めの基準となる基準ダイを選択し、 該基準ダイの特徴点の座標を含んだパ夕 —ンマッチ用テンプレート画像を取得するステツプと、
前記基準ダイを含む行又は列にある任意のダイに、 前記テンプレート画像を用いて パターンマッチを実行し、 前記任意のダイの特徴点座標を取得するステップと、 前記任意のダイと前記基準ダイとの特徴点座標に基づき、 前記基準ダイを含む行又 は列と前記電子が前記基板をスキャンする方向とが成すすれ角を算出するステップと 前記ずれ角を補正するように前記ステージを移動させて前記基板の位置合わせを行 うステップと、
電子線を前記基板に向けて照射するステップと、
前記電子線で照射された前記基板の表面の情報を得た電子を検出器により検出する ステップと、
前記の検出された電子に基づいて前記パターンの画像を取得するステップと、 前記パターンの画像を検査するステップと、
を含む検査方法。
1 0 . 前記任意のダイの特徴点座標を取得する前記ステップは、
前記基準ダイの特徴点座標と、 既に前記テンプレート画像によるパターンマッチを 実行して得た前記任意のダイの正確な特徴点座標との位置関係に基づいて、 次の任意 のダイの特徴点座標を推定するステツプと、
前記の推定した特徴点座標付近で前記テンプレート画像を用いたパターンマッチを 実行するステップと、
前記の次の任意のダイの正確な特徴点座標を取得するステップと、
を繰り返すステツプを含む、 請求項 1 3 9に記載の検査方法。
1 4 1 . 前記の繰り返すステップは、 前記基準ダイの特徴点座標と、 直前のステツ プで求めたダイの特徴点座標との位置関係に基づいて、 前記次の任意のダイの特徴点 座標を推定し、 パターンマッチにより前記次の任意のダイの正確な特徵点座標を取得 するステップの繰り返しを含む、 請求項 1 4 0に記載の検査方法。
1 4 2 . 前記ずれ角を求めた行又は列と直交する方向のサイズを求めるステップと 前記の求めたサイズに基づいてダイマツプを作成するステツプと、
を更に含む、 請求項 1 3 9に記載の検査方法。
1 4 3 . 前記ずれ角を求めた行又は列と直交する方向のサイズを求める前記ステツ プは、
位置決めの基準となる基準ダイを選択し、 該基準ダイの特徴'点座標を含むパターン マッチ用テンプレート画像を取得するステップと、
前記基準ダイを含み、 前記ずれ角を求めた行又は列と直交する方向の行又は列にあ る任意のダイに、 前記テンプレート画像を用いてパタンマッチを実行し、 該任意のダ ィの特徴点座標を取得するステツプと、 前記基準ダイの特徴点座標と、 前記任意のダイの特徴点座標との間の距離及ぴ該距 離に含まれているダイの個数を求め、 これに基づいて前記ずれ角を求めた行又は列と 直交する方向のダイのサイズを求めるステップと、
を含む、 請求項 1 4 2に記載の検査方法。
1 4 4 . 電子線を用いて基板の表面を検査する基板検査装置であって、
■ 基板を載置するカセッ トと、
複数の大きさの基板を搬送可能なロポッ トハンドを有する搬送口ポッ トと、 複数の大きさの基板を吸着固定可能な静電チヤックを具備するステージ装置と、 前記基板の表面に向けて電子線を照射する電子銃と、
前記基板の表面の情報を得た電子を検出する検出器と、
前記の検出した電子から前記基板の表面の画像を得る画像処理装置と、
前記'基板の表面の比較検査を行う演算装置と、
を備える基板検査装置。
1 4 5 . 前記静電チャックは、 前記基板の大きさに応じた複数の補正リングを有す る、 請求項 1 4 4に記載の基板検査装置。
1 6 . 前記補正リングは、 前記基板の大きさに応じた落とし込み機構を有する、 ' 請求項 1 4 5に記載の基板検査装置。
1 4 7 . ' 前記口ポッ トハンドは、 前記基板の大きさに応じた基板落とし込み部を有 する、 請求項 1 4 4に記載の基板検査装置。 1 4 8 . 前記搬送口ポッ トが前記基板を補正リングに載置するためのロードロック 室と、 該補正リングに対する前記基板のァライメン卜を補正するため前記搬送ロポッ トの作動範囲内に設けられたブリアライナとを更に備える、 請求項 1 2 2に記載の基 板検査装置。 1 4 9 . 前記ロードロック室が、 検査対象の前記ゥエーハを'前記ステ一ジ装置へ搬 入し、 検査済みの前記基板を前記ステージ装置から搬出するための複数段のエレべ一 タ機構を備える、 請求項 1 4 8に記載の ¾板検査装置。
1 5 0 . 前記搬送口ポッ トの作動範囲内に、 前記補正リングの交換場所を設けた、 請求項 1 4 8に記載の基板検査装置。
1 5 1 . 基板を載置するステージ装置と、 前記基板の表面に向けて電子線を照射す る電子銃と、 前記基板の表面の情報を得た電子を検出する検出器と、 前記の検出した 電子から前記基板の表面の画像を得る画像処理装置と、 前記検出器の前記ステージ装 置に対する相対位置を決める位置決め機構とを具備する基板検査装置における検出器 位置決め方法であって、
前記検出器を前記ステージ装置に対して微小角度だけ回転させ、 回転の都度、 前記 検出器による走査撮像を行って、 前記画像の像質を定量的に評価するステップと、 前記検出器の回転位置と前記像質との関係を表す関数を演算するステップと、 前記関数より前記検出器の回転位置の最適値を求め、 前記検出器を前記最適値に対 応した位置に合わせるステップと、
を備える検出器位置決め方法。
1 5 2 . 前記ステージ装置、 前記電子銃及び前記検出器が鏡筒内に収容され、 前記鏡筒が上鏡筒と下鏡筒とに分割されており、 前記検出器が前記上鏡筒に取り付 けられ、
前記位置決め機構が、 前記上鏡筒を前記下鏡筒に対して回転させるためのァクチュ ェ一タを備える、 請求項 1 5 1に記載の位置決め方法。
1 5 3 . 前記上鏡筒と前記下鏡筒との間に、 前記鏡筒の内部を真空に保っためのシ —ル部が設けられている、 請求項 1 5 2に記載の位置決め方法。
1 5 4 . 前記シール部を収容する空間が排気路に接続されている、 請求項 1 5 3に 記載の位置決め方法
PCT/JP2004/006010 2003-05-09 2004-04-26 荷電粒子線による検査装置及びその検査装置を用いたデバイス製造方法 WO2004100206A1 (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
EP04729530A EP1635374A4 (en) 2003-05-09 2004-04-26 ELECTRON BEAM DEVICE, ELECTRON BEAM INSPECTION METHOD, ELECTRON BEAM INSPECTION DEVICE, CONFIGURATION INSPECTION METHOD AND METHOD OF DETERMINING EXPOSURE CONDITIONS
KR1020057021353A KR101052335B1 (ko) 2003-05-09 2004-04-26 전자선장치, 전자선 검사방법, 전자선 검사장치, 노광조건 결정방법, 패턴검사방법, 기판검사방법, 패턴검사장치, 기판검사장치 및 검출기 위치결정방법
CN200480019519.9A CN1820346B (zh) 2003-05-09 2004-04-26 基于带电粒子束的检查装置及采用了该检查装置的器件制造方法

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2003132304 2003-05-09
JP2003-132304 2003-05-09
JP2004031749A JP2004363085A (ja) 2003-05-09 2004-02-09 荷電粒子線による検査装置及びその検査装置を用いたデバイス製造方法
JP2004-031749 2004-02-09

Publications (1)

Publication Number Publication Date
WO2004100206A1 true WO2004100206A1 (ja) 2004-11-18

Family

ID=33436434

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2004/006010 WO2004100206A1 (ja) 2003-05-09 2004-04-26 荷電粒子線による検査装置及びその検査装置を用いたデバイス製造方法

Country Status (5)

Country Link
EP (2) EP2522992A3 (ja)
JP (1) JP2004363085A (ja)
KR (1) KR101052335B1 (ja)
TW (3) TWI491873B (ja)
WO (1) WO2004100206A1 (ja)

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2008026559A1 (fr) * 2006-08-28 2008-03-06 I-Pulse Kabushiki Kaisha Appareil d'inspection d'un substrat
CN101493425B (zh) * 2008-10-31 2011-07-20 东莞康视达自动化科技有限公司 微观表面缺陷全自动紫外光学检测方法及其系统
US8309921B2 (en) 2006-06-07 2012-11-13 Fei Company Compact scanning electron microscope
CN109659273A (zh) * 2017-10-12 2019-04-19 株式会社迪思科 晶片的加工方法
CN110018616A (zh) * 2017-12-15 2019-07-16 东京毅力科创株式会社 基片处理装置、基片处理方法和存储介质
CN111837028A (zh) * 2018-03-09 2020-10-27 浜松光子学株式会社 图像取得系统和图像取得方法
TWI734413B (zh) * 2020-03-13 2021-07-21 國立成功大學 試片檢測設備
CN114062322A (zh) * 2021-10-19 2022-02-18 天津大学 一种提高THz-ATR成像分辨率及性能的装置及方法
RU210024U1 (ru) * 2021-11-22 2022-03-24 Федеральное государственное бюджетное учреждение "Национальный исследовательский центр "Курчатовский институт" Диодный узел для исследования прочностных свойств материалов облицовки плазменных установок при мощном импульсном энерговыделении
US11328914B1 (en) 2020-11-10 2022-05-10 Baker Hughes Oilfield Operations Llc Discharge reduction in sealed components
US11676793B2 (en) 2018-11-16 2023-06-13 Asml Netherlands B.V. Apparatus of plural charged particle beams
US11893668B2 (en) 2021-03-31 2024-02-06 Leica Camera Ag Imaging system and method for generating a final digital image via applying a profile to image information
US11993495B1 (en) * 2023-10-16 2024-05-28 Altec Industries, Inc. Aerial device

Families Citing this family (114)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101630623B (zh) * 2003-05-09 2012-02-22 株式会社荏原制作所 基于带电粒子束的检查装置及采用了该检查装置的器件制造方法
WO2006093268A1 (ja) * 2005-03-03 2006-09-08 Ebara Corporation 写像投影型電子線装置及び該装置を用いた欠陥検査システム
JP4980574B2 (ja) * 2005-03-28 2012-07-18 株式会社荏原製作所 電子線装置
JP4828162B2 (ja) 2005-05-31 2011-11-30 株式会社日立ハイテクノロジーズ 電子顕微鏡応用装置および試料検査方法
JP5180428B2 (ja) * 2005-06-21 2013-04-10 株式会社日立ハイテクノロジーズ 走査型電子顕微鏡用撮像レシピ作成装置及びその方法並びに半導体パターンの形状評価装置
JP2007035386A (ja) * 2005-07-26 2007-02-08 Ebara Corp 電子線装置及び該装置を用いたデバイス製造方法
JP5403852B2 (ja) * 2005-08-12 2014-01-29 株式会社荏原製作所 検出装置及び検査装置
JP4606969B2 (ja) * 2005-08-17 2011-01-05 株式会社日立ハイテクノロジーズ 写像投影型電子線式検査装置及びその方法
JP4914604B2 (ja) * 2005-12-07 2012-04-11 株式会社日立ハイテクノロジーズ 電子線検査装置を用いたパターン欠陥検査方法及びそのシステム、並びに写像投影型又はマルチビーム型電子線検査装置
TWI397096B (zh) 2006-01-25 2013-05-21 Ebara Corp 試料表面檢查方法及檢查裝置
KR100759952B1 (ko) * 2006-05-18 2007-09-19 주식회사 이디디 히터부가 분리가능한 정전척
KR100782373B1 (ko) * 2006-07-19 2007-12-07 삼성전자주식회사 중성빔을 이용한 플라즈마 처리설비
KR101364672B1 (ko) * 2006-09-12 2014-02-19 가부시키가이샤 에바라 세이사꾸쇼 하전입자선장치, 그 장치를 이용한 비점수차 조정방법 및그 장치를 이용한 디바이스제조방법
KR100833647B1 (ko) * 2006-12-19 2008-05-30 한국표준과학연구원 고에너지 하전입자 스펙트로미터
KR100807254B1 (ko) * 2007-02-28 2008-02-28 삼성전자주식회사 결함 검사 장치
JP2008311351A (ja) * 2007-06-13 2008-12-25 Hitachi High-Technologies Corp 荷電粒子線装置
TWI473140B (zh) 2008-04-11 2015-02-11 Ebara Corp 試料觀察方法與裝置,及使用該方法與裝置之檢查方法與裝置
EP2344864B1 (en) * 2008-10-24 2020-05-06 Leica Biosystems Imaging, Inc. Whole slide fluorescence scanner
US7919760B2 (en) * 2008-12-09 2011-04-05 Hermes-Microvision, Inc. Operation stage for wafer edge inspection and review
CN102272586B (zh) * 2009-01-09 2013-07-31 株式会社岛津制作所 液晶阵列检查装置以及液晶阵列检查装置的信号处理方法
CN102272587B (zh) * 2009-01-09 2013-07-31 株式会社岛津制作所 液晶阵列检查装置以及液晶阵列检查装置的信号处理方法
JP5374167B2 (ja) * 2009-01-20 2013-12-25 株式会社日立製作所 荷電粒子線装置
JP5662039B2 (ja) * 2009-03-12 2015-01-28 株式会社荏原製作所 試料観察方法、試料検査方法、および試料観察装置
JP2010276901A (ja) * 2009-05-29 2010-12-09 Hitachi High-Technologies Corp 露光装置、露光装置のチャック位置検出方法、及び表示用パネル基板の製造方法
KR101105658B1 (ko) * 2010-03-23 2012-01-18 (주)로고스바이오시스템스 현미경 모듈
EP2402976A1 (en) * 2010-06-30 2012-01-04 Fei Company Method of electron diffraction tomography
US9025143B2 (en) 2010-11-12 2015-05-05 Industry-Academic Cooperation Foundation Yonsei University Device for preventing intensity reduction of optical signal, optical emission spectrometer, optical instrument, and mass spectrometer including the same
KR101176742B1 (ko) 2010-11-12 2012-08-23 연세대학교 산학협력단 광학 신호 또는 분석 기체의 오염 방지 장치
KR101151588B1 (ko) * 2010-12-22 2012-05-31 세종대학교산학협력단 플라즈마 입자 촬영을 위한 디지털 홀로그램 센서 시스템
TWI447385B (zh) * 2011-09-16 2014-08-01 Inotera Memories Inc 一種使用聚焦離子束系統進行晶片平面成像的方法
JP5929139B2 (ja) * 2011-12-06 2016-06-01 セイコーエプソン株式会社 アクチュエーター、ロボットハンド、ロボット、電子部品搬送装置、電子部品検査装置およびプリンター
JP6031238B2 (ja) * 2012-03-09 2016-11-24 東京エレクトロン株式会社 ウエハ検査用インターフェース及びウエハ検査装置
JP2013200182A (ja) * 2012-03-23 2013-10-03 Toshiba Corp 欠陥検査装置および欠陥検査方法
JP6017902B2 (ja) * 2012-09-14 2016-11-02 株式会社荏原製作所 検査装置
JP5967538B2 (ja) * 2012-09-25 2016-08-10 株式会社日立ハイテクノロジーズ 電子顕微鏡および電子線検出器
TW201432253A (zh) 2012-12-28 2014-08-16 Hitachi High Tech Corp 帶電粒子束裝置及其缺陷分析方法
KR102009173B1 (ko) * 2013-04-12 2019-08-09 삼성전자 주식회사 기판의 결함 검출 방법
JP6137536B2 (ja) * 2013-04-26 2017-05-31 日本電産リード株式会社 基板検査装置、及び基板検査方法
JP6229183B2 (ja) * 2013-07-11 2017-11-15 国立研究開発法人物質・材料研究機構 電子線後方散乱回折測定装置
TWI653659B (zh) 2013-08-09 2019-03-11 日商荏原製作所股份有限公司 檢查裝置及檢查用圖像資料之製作方法
JP6182016B2 (ja) * 2013-08-09 2017-08-16 株式会社荏原製作所 検査装置および検査用画像データの生成方法
TW201517192A (zh) * 2013-10-23 2015-05-01 Macronix Int Co Ltd 晶片對資料庫的影像檢測方法
JP6490938B2 (ja) * 2013-10-24 2019-03-27 株式会社日立ハイテクサイエンス 断面加工方法、断面加工装置
KR102279765B1 (ko) * 2014-08-04 2021-07-22 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
US20160110859A1 (en) * 2014-10-17 2016-04-21 Macronix International Co., Ltd. Inspection method for contact by die to database
CN105588844A (zh) * 2014-10-24 2016-05-18 旺宏电子股份有限公司 芯片对数据库的接触窗检测方法
KR102526842B1 (ko) * 2015-03-06 2023-05-03 한국전자통신연구원 전자 빔 생성기, 그를 포함하는 이미지 장치, 및 광학 장치
JP2016207925A (ja) * 2015-04-27 2016-12-08 株式会社アドバンテスト 素子、製造方法、および露光装置
JP6555002B2 (ja) * 2015-08-19 2019-08-07 三星ダイヤモンド工業株式会社 スクライブラインの検査方法
JP6640497B2 (ja) * 2015-09-01 2020-02-05 株式会社日立ハイテクサイエンス 試料ホルダ及び試料ホルダ群
EP3360153A4 (en) * 2015-10-05 2019-10-02 Shenzhen Genorivision Technology Co. Ltd. PHOTOVERVIEWER TUBES AND METHOD FOR THE PRODUCTION THEREOF
TWI582428B (zh) * 2015-10-05 2017-05-11 Pre - scan based track correction focusing leveling device and method
JP6690216B2 (ja) * 2015-12-10 2020-04-28 株式会社ニューフレアテクノロジー データ処理方法、データ処理プログラム、荷電粒子ビーム描画方法、及び荷電粒子ビーム描画装置
TWI574022B (zh) * 2016-01-21 2017-03-11 旺矽科技股份有限公司 晶粒檢測裝置及晶粒傳送方法
TWI564680B (zh) * 2016-03-23 2017-01-01 The control method of the scanning light source of the exposure machine and the computer program product
WO2017186483A1 (en) * 2016-04-29 2017-11-02 Asml Netherlands B.V. Method and apparatus for determining the property of a structure, device manufacturing method
KR101773861B1 (ko) * 2016-05-20 2017-09-01 한국표준과학연구원 모노크로미터를 구비한 전자선장치
KR101798473B1 (ko) 2016-05-30 2017-11-17 (주)코셈 고분해능 주사전자현미경
JP6745152B2 (ja) * 2016-07-01 2020-08-26 株式会社ニューフレアテクノロジー 合焦装置、合焦方法、及びパターン検査方法
WO2018025849A1 (ja) * 2016-08-02 2018-02-08 松定プレシジョン株式会社 荷電粒子線装置及び走査電子顕微鏡
JP6689539B2 (ja) * 2016-08-12 2020-04-28 株式会社ディスコ 判定装置
US10528898B2 (en) * 2016-08-18 2020-01-07 i Smart Technologies Corporation Production management system, production management apparatus and production management method for manufacturing line
CN106404022B (zh) * 2016-08-20 2019-01-04 南京理工大学 一种用于大面积mcp清刷测试的接电装置
KR102608701B1 (ko) * 2016-09-06 2023-11-30 가부시키가이샤 아타고 비파괴 측정 장치
JP6127191B1 (ja) * 2016-10-03 2017-05-10 株式会社メルビル 試料ホルダー
US11202033B2 (en) 2017-03-08 2021-12-14 Sony Semiconductor Solutions Corporation Image sensor and transmission system with collision detection based on state of collision detection line
JP6951123B2 (ja) 2017-05-23 2021-10-20 株式会社ニューフレアテクノロジー 荷電粒子ビーム描画方法及び荷電粒子ビーム描画装置
US10249472B2 (en) * 2017-07-13 2019-04-02 ICT Integrated Circuit Testing Gesellschaft für Halbleiterprüftechnik mbH Charged particle beam device, charged particle beam influencing device, and method of operating a charged particle beam device
KR20240097977A (ko) * 2017-09-29 2024-06-27 에이에스엠엘 네델란즈 비.브이. 하전 입자 빔 검사를 위한 샘플 사전-충전 방법들 및 장치들
JP6884082B2 (ja) * 2017-10-11 2021-06-09 株式会社Screenホールディングス 膜厚測定装置、基板検査装置、膜厚測定方法および基板検査方法
JP6413006B1 (ja) * 2017-11-28 2018-10-24 リオン株式会社 パーティクルカウンタ
JP7023121B2 (ja) * 2018-01-15 2022-02-21 倉敷化工株式会社 アクティブ除振装置
JP7046621B2 (ja) * 2018-01-29 2022-04-04 株式会社荏原製作所 偏向感度算出方法および偏向感度算出システム
KR102511029B1 (ko) 2018-03-09 2023-03-16 에이에스엠엘 네델란즈 비.브이. 신호 전자들의 개선된 검출 성능을 갖는 멀티-빔 검사 장치
US10840055B2 (en) * 2018-03-20 2020-11-17 Kla Corporation System and method for photocathode illumination inspection
JP2019204618A (ja) * 2018-05-22 2019-11-28 株式会社日立ハイテクノロジーズ 走査型電子顕微鏡
CN112165996B (zh) * 2018-05-22 2022-07-29 东芝三菱电机产业系统株式会社 产业成套设备监视控制系统
US11087950B2 (en) 2018-05-29 2021-08-10 Kla-Tencor Corporation Charge control device for a system with multiple electron beams
DE102018115012A1 (de) * 2018-06-21 2019-12-24 Carl Zeiss Microscopy Gmbh Teilchenstrahlsystem
JP6922860B2 (ja) * 2018-07-09 2021-08-18 株式会社Sumco シリコンウェーハの検査方法、検査装置、製造方法
WO2020083612A1 (en) * 2018-10-23 2020-04-30 Asml Netherlands B.V. Method and apparatus for adaptive alignment
US20210407074A1 (en) * 2018-11-01 2021-12-30 Tokyo Electron Limited Image processing method and image processing device
US12001521B2 (en) 2018-11-08 2024-06-04 Hitachi High-Tech Corporation Adjusting method of charged particle beam device and charged particle beam device system
CN113242989A (zh) * 2018-12-17 2021-08-10 应用材料公司 使用离子束源的光学设备制造方法
KR102596926B1 (ko) * 2018-12-31 2023-11-01 에이에스엠엘 네델란즈 비.브이. 다중 빔 검사 장치
WO2020166076A1 (ja) * 2019-02-15 2020-08-20 株式会社日立ハイテク 構造推定システム、構造推定プログラム
DE112019006807T5 (de) * 2019-03-27 2021-11-18 Hitachi High-Tech Corporation Ladungsteilchenstrahlvorrichtung
CN113711330A (zh) * 2019-04-19 2021-11-26 Asml荷兰有限公司 电流源装置和方法
CN110213512B (zh) * 2019-04-30 2021-09-07 中国电子科技集团公司第四十四研究所 一种多抽头电子倍增电荷耦合器件倍增区的弧形设计结构
CN118226177A (zh) * 2019-06-19 2024-06-21 泰克元有限公司 测试腔室
JP7303052B2 (ja) * 2019-07-16 2023-07-04 株式会社ニューフレアテクノロジー 多極子収差補正器の導通検査方法及び多極子収差補正器の導通検査装置
KR102147170B1 (ko) * 2019-07-17 2020-08-25 한국과학기술연구원 극소각 중성자 산란 장치를 이용한 선형 패턴 측정 방법
JP7216212B2 (ja) * 2019-08-07 2023-01-31 株式会社日立ハイテク 荷電粒子ビーム装置
US11476084B2 (en) 2019-09-10 2022-10-18 Applied Materials, Inc. Apparatus and techniques for ion energy measurement in pulsed ion beams
TWI712788B (zh) * 2019-11-14 2020-12-11 勝麗國際股份有限公司 感測器封裝結構的缺陷檢測方法
JP2021131985A (ja) 2020-02-20 2021-09-09 株式会社日立ハイテク 荷電粒子線装置、荷電粒子線装置の試料アライメント方法
TWI791197B (zh) * 2020-03-12 2023-02-01 荷蘭商Asml荷蘭公司 帶電粒子系統中之高產能缺陷檢測之系統和方法
WO2021213870A1 (en) * 2020-04-20 2021-10-28 Asml Netherlands B.V. An inspection tool, inspection tool operating method
JP7547082B2 (ja) * 2020-05-22 2024-09-09 株式会社ニューフレアテクノロジー パターン検査装置及びパターン検査方法
US20230238211A1 (en) * 2020-07-06 2023-07-27 Asml Netherlands B.V. A detector substrate for use in a charged particle multi-beam assessment tool
DE102020208992A1 (de) * 2020-07-17 2022-01-20 TechnoTeam Holding GmbH Verfahren zur räumlichen Charakterisierung des optischen Abstrahlverhaltens von Licht- und Strahlungsquellen
JP7502108B2 (ja) * 2020-07-31 2024-06-18 ファスフォードテクノロジ株式会社 ダイボンディング装置および半導体装置の製造方法
KR20230066104A (ko) * 2020-10-26 2023-05-12 주식회사 히타치하이테크 하전 입자선 장치
TWI808357B (zh) * 2020-11-19 2023-07-11 鏵友益科技股份有限公司 半導體元件的檢測方法
CN114678246A (zh) * 2020-12-24 2022-06-28 中微半导体设备(上海)股份有限公司 用于电容耦合等离子处理器阻抗特性测量的测量装置和方法
KR102425048B1 (ko) * 2020-12-24 2022-07-27 큐알티 주식회사 반도체 소자 테스트용 빔 검사 장치, 및 빔 검사 방법
JP7356605B2 (ja) * 2020-12-30 2023-10-04 株式会社ニューフレアテクノロジー 荷電粒子ビーム描画装置及び荷電粒子ビーム描画方法
US12044638B2 (en) * 2021-02-18 2024-07-23 Carl Zeiss Smt Gmbh System to inspect, modify or analyze a region of interest of a sample by charged particles, set of systems to inspect, modify or analyze a region of interest of a sample and method to inspect, modify or analyze a region of interest of a sample by charged particles
US20220365010A1 (en) * 2021-05-11 2022-11-17 Nuflare Technology, Inc. Multiple secondary electron beam alignment method, multiple secondary electron beam alignment apparatus, and electron beam inspection apparatus
TWI771105B (zh) * 2021-07-15 2022-07-11 大陸商集創北方(珠海)科技有限公司 Oled顯示面板之檢測方法及電路
US11823939B2 (en) 2021-09-21 2023-11-21 Applied Materials, Inc. Apparatus and methods for processing chamber lid concentricity alignment
WO2023060091A1 (en) * 2021-10-04 2023-04-13 Ultima Genomics, Inc. Enhanced resolution imaging
WO2023074942A1 (ko) * 2021-10-28 2023-05-04 한국생산기술연구원 멀티 프로버용 카트리지 이송장치
JP2023104051A (ja) * 2022-01-17 2023-07-28 株式会社日立ハイテク ステージ装置、荷電粒子線装置及び真空装置

Citations (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03232250A (ja) * 1989-12-20 1991-10-16 Hitachi Ltd パターン検査方法および装置
JPH06188294A (ja) * 1992-05-27 1994-07-08 Kla Instr Corp 荷電粒子ビームを用いた自動基板検査の装置及び方法
JPH06215714A (ja) * 1992-06-05 1994-08-05 Hitachi Ltd 電界放出型透過電子顕微鏡
JPH07297266A (ja) * 1994-04-28 1995-11-10 Fujitsu Ltd 静電チャックとウェハ吸着方法
JPH1173905A (ja) * 1997-08-29 1999-03-16 Nikon Corp パターン検査装置
JPH11108864A (ja) * 1997-10-02 1999-04-23 Hitachi Ltd パターン欠陥検査方法および検査装置
JPH11242943A (ja) * 1997-12-18 1999-09-07 Nikon Corp 検査装置
JP2000228430A (ja) * 1998-11-30 2000-08-15 Hitachi Ltd 回路パターンの検査装置、検査システム、および検査方法
JP2000314710A (ja) * 1999-04-28 2000-11-14 Hitachi Ltd 回路パターンの検査方法及び検査装置
JP2001022935A (ja) * 1999-07-07 2001-01-26 Hitachi Ltd 画像比較によるパターン検査方法およびその装置
JP2001256915A (ja) * 2000-03-13 2001-09-21 Nikon Corp 荷電粒子ビーム装置
WO2002001596A1 (en) * 2000-06-27 2002-01-03 Ebara Corporation Charged particle beam inspection apparatus and method for fabricating device using that inspection apparatus
JP2002139465A (ja) * 2000-11-02 2002-05-17 Nikon Corp 欠陥検査装置および該欠陥検査装置を用いたデバイス製造方法
JP2002184674A (ja) * 2000-12-12 2002-06-28 Hitachi Ltd 電子ビーム描画装置
WO2002056332A1 (fr) * 2001-01-10 2002-07-18 Ebara Corporation Appareil et procede d'inspection a faisceau d'electrons, et procede de fabrication de dispositif comportant l'appareil d'inspection
JP2002208370A (ja) * 2001-01-12 2002-07-26 Nikon Corp 電子線装置及びデバイス製造方法
JP2002289130A (ja) * 2001-03-27 2002-10-04 Ebara Corp パターン検査装置、パターン検査方法及びデバイス製造方法
JP2003022775A (ja) * 2001-04-24 2003-01-24 Advantest Corp 走査型粒子反射顕微鏡
JP2003115274A (ja) * 2001-10-03 2003-04-18 Hitachi High-Technologies Corp 試料保持機と試料の保持方法、並びに、それを用いた半導体製造装置

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2901627A (en) * 1953-02-19 1959-08-25 Leitz Ernst Gmbh Method of and apparatus for the electronic magnification of objects
NL7100609A (ja) * 1970-02-07 1971-08-10
JP3148353B2 (ja) * 1991-05-30 2001-03-19 ケーエルエー・インストルメンツ・コーポレーション 電子ビーム検査方法とそのシステム
JPH10134757A (ja) * 1996-10-31 1998-05-22 Nikon Corp マルチビーム検査装置
JPH10223512A (ja) * 1997-02-10 1998-08-21 Nikon Corp 電子ビーム投影露光装置
WO1999009582A1 (fr) * 1997-08-19 1999-02-25 Nikon Corporation Dispositif et procede servant a observer un objet
JPH11144667A (ja) * 1997-11-06 1999-05-28 Hitachi Ltd ミラー型電子顕微鏡
JP4002655B2 (ja) * 1998-01-06 2007-11-07 株式会社日立製作所 パターン検査方法およびその装置
US5982190A (en) * 1998-02-04 1999-11-09 Toro-Lira; Guillermo L. Method to determine pixel condition on flat panel displays using an electron beam
JP4106755B2 (ja) * 1998-08-21 2008-06-25 株式会社ニコン 写像型観察方法及び写像型荷電粒子線顕微鏡
JP3666267B2 (ja) * 1998-09-18 2005-06-29 株式会社日立製作所 荷電粒子ビーム走査式自動検査装置
JP4175748B2 (ja) * 1999-09-20 2008-11-05 大日本スクリーン製造株式会社 パターン検査装置
JP2002015992A (ja) * 2000-04-25 2002-01-18 Nikon Corp リソグラフィ・プロセス及びリソグラフィ・システムの評価方法、基板処理装置の調整方法、リソグラフィ・システム、露光方法及び装置、並びに感光材料の状態の測定方法
JP3993094B2 (ja) * 2000-07-27 2007-10-17 株式会社荏原製作所 シートビーム式検査装置
US6593152B2 (en) * 2000-11-02 2003-07-15 Ebara Corporation Electron beam apparatus and method of manufacturing semiconductor device using the apparatus
WO2002040980A1 (fr) * 2000-11-17 2002-05-23 Ebara Corporation Procede et instrument d'inspection de tranches, et appareil a faisceau electronique
EP1339100A1 (en) * 2000-12-01 2003-08-27 Ebara Corporation Inspection method and apparatus using electron beam, and device production method using it
US7095022B2 (en) * 2000-12-12 2006-08-22 Ebara Corporation Electron beam apparatus and method of manufacturing semiconductor device using the apparatus
JP3943832B2 (ja) * 2000-12-28 2007-07-11 株式会社東芝 基板検査装置およびその制御方法
JP2002208369A (ja) * 2001-01-12 2002-07-26 Nikon Corp 表面状態観察装置
JP2002237270A (ja) * 2001-02-09 2002-08-23 Ebara Corp 荷電粒子ビーム偏向装置及びそれを用いた荷電粒子ビーム欠陥検査装置及び方法
JP2003031173A (ja) * 2001-07-11 2003-01-31 Ebara Corp 荷電粒子ビーム制御装置及びそれを用いた荷電粒子ビーム光学装置、ならびに荷電粒子ビーム欠陥検査装置
US7361600B2 (en) * 2001-11-02 2008-04-22 Ebara Corporation Semiconductor manufacturing apparatus having a built-in inspection apparatus and a device manufacturing method using said manufacturing apparatus

Patent Citations (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03232250A (ja) * 1989-12-20 1991-10-16 Hitachi Ltd パターン検査方法および装置
JPH06188294A (ja) * 1992-05-27 1994-07-08 Kla Instr Corp 荷電粒子ビームを用いた自動基板検査の装置及び方法
JPH06215714A (ja) * 1992-06-05 1994-08-05 Hitachi Ltd 電界放出型透過電子顕微鏡
JPH07297266A (ja) * 1994-04-28 1995-11-10 Fujitsu Ltd 静電チャックとウェハ吸着方法
JPH1173905A (ja) * 1997-08-29 1999-03-16 Nikon Corp パターン検査装置
JPH11108864A (ja) * 1997-10-02 1999-04-23 Hitachi Ltd パターン欠陥検査方法および検査装置
JPH11242943A (ja) * 1997-12-18 1999-09-07 Nikon Corp 検査装置
JP2000228430A (ja) * 1998-11-30 2000-08-15 Hitachi Ltd 回路パターンの検査装置、検査システム、および検査方法
JP2000314710A (ja) * 1999-04-28 2000-11-14 Hitachi Ltd 回路パターンの検査方法及び検査装置
JP2001022935A (ja) * 1999-07-07 2001-01-26 Hitachi Ltd 画像比較によるパターン検査方法およびその装置
JP2001256915A (ja) * 2000-03-13 2001-09-21 Nikon Corp 荷電粒子ビーム装置
WO2002001596A1 (en) * 2000-06-27 2002-01-03 Ebara Corporation Charged particle beam inspection apparatus and method for fabricating device using that inspection apparatus
JP2002139465A (ja) * 2000-11-02 2002-05-17 Nikon Corp 欠陥検査装置および該欠陥検査装置を用いたデバイス製造方法
JP2002184674A (ja) * 2000-12-12 2002-06-28 Hitachi Ltd 電子ビーム描画装置
WO2002056332A1 (fr) * 2001-01-10 2002-07-18 Ebara Corporation Appareil et procede d'inspection a faisceau d'electrons, et procede de fabrication de dispositif comportant l'appareil d'inspection
JP2002208370A (ja) * 2001-01-12 2002-07-26 Nikon Corp 電子線装置及びデバイス製造方法
JP2002289130A (ja) * 2001-03-27 2002-10-04 Ebara Corp パターン検査装置、パターン検査方法及びデバイス製造方法
JP2003022775A (ja) * 2001-04-24 2003-01-24 Advantest Corp 走査型粒子反射顕微鏡
JP2003115274A (ja) * 2001-10-03 2003-04-18 Hitachi High-Technologies Corp 試料保持機と試料の保持方法、並びに、それを用いた半導体製造装置

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
See also references of EP1635374A4 *

Cited By (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8309921B2 (en) 2006-06-07 2012-11-13 Fei Company Compact scanning electron microscope
US9025018B2 (en) 2006-06-07 2015-05-05 Fei Company User interface for an electron microscope
US9865427B2 (en) 2006-06-07 2018-01-09 Fei Company User interface for an electron microscope
WO2008026559A1 (fr) * 2006-08-28 2008-03-06 I-Pulse Kabushiki Kaisha Appareil d'inspection d'un substrat
CN101493425B (zh) * 2008-10-31 2011-07-20 东莞康视达自动化科技有限公司 微观表面缺陷全自动紫外光学检测方法及其系统
CN109659273B (zh) * 2017-10-12 2023-04-07 株式会社迪思科 晶片的加工方法
CN109659273A (zh) * 2017-10-12 2019-04-19 株式会社迪思科 晶片的加工方法
CN110018616A (zh) * 2017-12-15 2019-07-16 东京毅力科创株式会社 基片处理装置、基片处理方法和存储介质
CN110018616B (zh) * 2017-12-15 2023-07-28 东京毅力科创株式会社 基片处理装置、基片处理方法和存储介质
US11698350B2 (en) 2018-03-09 2023-07-11 Hamamatsu Photonics K.K. Image acquisition system and image acquisition method
CN111837028B (zh) * 2018-03-09 2023-07-04 浜松光子学株式会社 图像取得系统和图像取得方法
CN111837028A (zh) * 2018-03-09 2020-10-27 浜松光子学株式会社 图像取得系统和图像取得方法
US12013353B2 (en) 2018-03-09 2024-06-18 Hamamatsu Photonics K.K. Image acquisition system and image acquisition method
US11676793B2 (en) 2018-11-16 2023-06-13 Asml Netherlands B.V. Apparatus of plural charged particle beams
TWI734413B (zh) * 2020-03-13 2021-07-21 國立成功大學 試片檢測設備
US11328914B1 (en) 2020-11-10 2022-05-10 Baker Hughes Oilfield Operations Llc Discharge reduction in sealed components
US11893668B2 (en) 2021-03-31 2024-02-06 Leica Camera Ag Imaging system and method for generating a final digital image via applying a profile to image information
CN114062322A (zh) * 2021-10-19 2022-02-18 天津大学 一种提高THz-ATR成像分辨率及性能的装置及方法
RU210024U1 (ru) * 2021-11-22 2022-03-24 Федеральное государственное бюджетное учреждение "Национальный исследовательский центр "Курчатовский институт" Диодный узел для исследования прочностных свойств материалов облицовки плазменных установок при мощном импульсном энерговыделении
US11993495B1 (en) * 2023-10-16 2024-05-28 Altec Industries, Inc. Aerial device

Also Published As

Publication number Publication date
TWI417928B (zh) 2013-12-01
KR101052335B1 (ko) 2011-07-27
TW200504355A (en) 2005-02-01
JP2004363085A (ja) 2004-12-24
TWI491873B (zh) 2015-07-11
TWI349775B (en) 2011-10-01
EP1635374A1 (en) 2006-03-15
EP2522992A2 (en) 2012-11-14
TW201130010A (en) 2011-09-01
KR20060032137A (ko) 2006-04-14
EP1635374A4 (en) 2010-02-24
EP2522992A3 (en) 2013-12-04
TW201129795A (en) 2011-09-01

Similar Documents

Publication Publication Date Title
JP5647327B2 (ja) 荷電粒子線による検査装置及びその検査装置を用いたデバイス製造方法
US10157722B2 (en) Inspection device
WO2004100206A1 (ja) 荷電粒子線による検査装置及びその検査装置を用いたデバイス製造方法
US9406480B2 (en) Testing apparatus using charged particles and device manufacturing method using the testing apparatus
JP5302423B2 (ja) シートビーム式検査装置
KR100875230B1 (ko) 하전입자선에 의한 검사장치 및 그 검사장치를 사용한장치제조방법
US6593152B2 (en) Electron beam apparatus and method of manufacturing semiconductor device using the apparatus
US9390886B2 (en) Electro-optical inspection apparatus using electron beam
JP4939235B2 (ja) シートビーム式検査装置
JP4224089B2 (ja) 撮像装置、欠陥検査装置、欠陥検査方法及び電子線検査装置
JP3890015B2 (ja) 荷電粒子線による検査装置及びその検査装置を用いたデバイス製造方法

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 200480019519.9

Country of ref document: CN

AK Designated states

Kind code of ref document: A1

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BW BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE EG ES FI GB GD GE GH GM HR HU ID IL IN IS KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NA NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SY TJ TM TN TR TT TZ UA UG UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): GM KE LS MW MZ NA SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 1020057021353

Country of ref document: KR

WWE Wipo information: entry into national phase

Ref document number: 2004729530

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 2004729530

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 1020057021353

Country of ref document: KR