TWI459464B - 選擇性抑制含有矽及氮兩者之材料的乾蝕刻率之方法 - Google Patents

選擇性抑制含有矽及氮兩者之材料的乾蝕刻率之方法 Download PDF

Info

Publication number
TWI459464B
TWI459464B TW101131796A TW101131796A TWI459464B TW I459464 B TWI459464 B TW I459464B TW 101131796 A TW101131796 A TW 101131796A TW 101131796 A TW101131796 A TW 101131796A TW I459464 B TWI459464 B TW I459464B
Authority
TW
Taiwan
Prior art keywords
plasma
region
etching
patterned substrate
nitrogen
Prior art date
Application number
TW101131796A
Other languages
English (en)
Other versions
TW201320186A (zh
Inventor
Yunyu Wang
Anchuan Wang
Jingchun Zhang
Nitin K Ingle
Young S Lee
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW201320186A publication Critical patent/TW201320186A/zh
Application granted granted Critical
Publication of TWI459464B publication Critical patent/TWI459464B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)

Description

選擇性抑制含有矽及氮兩者之材料的乾蝕刻率之方法 【相關申請案之交互參照】
此申請案主張美國臨時申請案61/530,302之權益,該申請案於2011年9月1日提出,發明名稱為「SELECTIVE SUPPRESSION OF DRY-ETCH RATE OF MATERIALS CONTAINING BOTH SILICON AND NITROGEN」,該申請案以全文形式在此併入,以供所有目的之用。
本發明是關於半導體處理技術。
藉由在基板表面上產生錯綜複雜圖案化的材料層之製程,可製做積體電路。在基板上產生圖案化材料需要受控的方法以移除暴露的材料。化學蝕刻被用於各種目的,包括將光阻中的圖案轉移進入下方層中、薄化層或薄化已經存在於表面上的特徵結構之側向尺寸。通常,期望具有蝕刻一種材料比另一種快的蝕刻製程,以助於例如圖案轉移製程進行。此類蝕刻製程可說是對第一材料有選擇性。材料、電路與製程多樣化的結果是,蝕刻製程已被開發成具有對多種材料的選擇性。然而,僅有少數選項能選擇地以比蝕刻氮化矽更快的速度來蝕刻矽。
就選擇地移除半導體基板上的材料而言,通常期望使用乾式蝕刻製程。乾式蝕刻製程受到期望的原因是源自於在最小化物理干擾的情況下,從微型結構溫和地移除材料的能力。藉由移除氣相試劑,乾式蝕刻製程也容許蝕刻速率突然停止。某些乾式蝕刻製程會使基板暴露於遠端電漿副產物,該遠端電漿副產物在進入基板處理區域之前已經被離子過濾。具有暴露的矽及氮化矽之圖案化基板可藉由自三氟化氮所形成的經離子過濾的電漿流出物來蝕刻。以此方式,暴露的矽顯示出比氮化矽更迅速地被蝕刻。
因此,需要就使用乾式蝕刻製程相對於氮化矽及含矽與氮的其他物質來改良矽的蝕刻選擇性的方法。
茲描述一種在圖案化異質結構上抑制對暴露的含矽與氮材料之蝕刻速率的方法,且該方法包括兩階段遠端電漿蝕刻。相對於氮化矽及含矽與氮的其他物質,使用本方法可增加矽的蝕刻選擇性。遠端電漿蝕刻的第一階段將電漿流出物與圖案化異質結構反應,以在含矽與氮材料上形成保護性固態副產物。第一階段的電漿流出物由前驅物之組合(包括三氟化氮及氫氣(H2 ))的遠端電漿形成。遠端電漿蝕刻的第二階段亦將電漿流出物與圖案化異質結構反應,以選擇性地移除缺乏保護性固態副產 物的材料。第二階段的電漿流出物由含氟前驅物的遠端電漿形成。
本發明的實施例包括一種在基板處理腔室的基板處理區域中蝕刻圖案化基板的方法。圖案化基板具有暴露的含矽與氮區域及矽的暴露的區域。蝕刻圖案化基板的方法包含以下步驟序列:(1)第一乾式蝕刻階段,包含以下步驟:將三氧化氮及氫分子流入遠端電漿區域,遠端電漿區域流體耦合至基板處理區域,同時在遠端電漿區域中形成第一電漿,以產生第一電漿流出物,及在暴露的含矽與氮區域上形成保護性固態副產物,以形成受保護的含矽與氮區域;(2)第二乾式蝕刻階段,包含以下步驟:將第二含氟前驅物流入遠端電漿區域,同時在遠端電漿區域中形成第二電漿,以產生第二電漿流出物,及藉由將第二電漿流出物通過噴頭中的通孔,流入基板處理區域,而相較於受保護的含矽與氮區域,更快速地蝕刻矽的暴露的區域;及(3)藉由提昇圖案化基板的溫度,自受保護的含矽與氮區域昇華保護性固態副產物。
部分額外實施例與特徵在隨後的說明書中提出,而對於此技術領域中具有通常知識者而言在詳閱此說明書後可易於瞭解部分額外實施例與特徵,或者此技術領域中熟習技藝者可透過操作本文揭露的實施例而瞭解部分額外實施例與特徵。透過在說明書中描述的設備、結合物與方法,可實現與獲得本文揭露的實施例之特徵與優點。
茲描述一種在圖案化異質結構上抑制對暴露的含矽與氮材料之蝕刻速率的方法,且該方法包括兩階段遠端電漿蝕刻。相對於氮化矽及含矽與氮的其他物質,使用本方法可增加矽的蝕刻選擇性。遠端電漿蝕刻的第一階段將電漿流出物與圖案化異質結構反應,以在含矽與氮材料上形成保護性固態副產物。第一階段的電漿流出物由前驅物之組合(包括三氧化氮及氫氣(H2 ))的遠端電漿形成。遠端電漿蝕刻的第二階段亦將電漿流出物與圖案化異質結構反應,以選擇性地移除缺乏保護性固態副產物的材料。第二階段的電漿流出物由含氟前驅物的遠端電漿形成。
為了較佳地瞭解與認識本發明,現在請參考第1圖,第1圖為根據本文揭露的實施例之矽選擇性蝕刻製程的流程圖。使用本文所呈現的方法可增加矽蝕刻選擇性。在第一個操作之前,於經圖案化基板中形成一結構。該結構擁有個別的氮化矽及矽的暴露區域。接著傳遞基板進入處理區域(操作110)。氫氣(H2 )及三氟化氮之流體開始進入與基板處理腔室分開的電漿區域中(操作113)。分離的電漿區域可指本文的遠端電漿區域,且可能為與處理腔室有所區別的模組,或為處理腔室內的隔間。遠端電漿流出物(即,來自遠端電漿的產物)流入處理區域中,且允許與基板表面互動(操作115)。保護性固 態副產物選擇性地形成在暴露的氮化矽上,但不形成在矽上(操作118)。保護性固態副產物的形成消耗氮化矽的頂層,且保護性固態副產物具有來自電漿流出物之材料與來自氮化矽之材料。不論事實上在製程期間消耗非常少的氮化矽(且直到操作135為止更少量離開表面),操作113-118可共同指本文的第一乾式蝕刻階段。
從三氟化氮及氫氣(H2 )所產生的電漿流出物包括各種分子、分子片段及離子物種。目前持有的保護性固態副產物形成的理論機制可能或並非完全正確,但電漿流出物被考慮為包括NH4 F及NH4 F.HF,此等易於與本文所述的暴露於低溫之含矽與氮的區域反應。舉例而言,電漿流出物可與氮化矽表面反應,以形成(NH4 )2 SiF6 之保護層,以及數種揮發性副產物。揮發性副產物可藉由真空泵從基板處理區域移除。一層薄的(NH4 )2 SiF6 固態副產物被遺留在圖案化基板表面的氮化矽部分上。一般而言,矽(Si)成分源自暴露的氮化矽,且來自(NH4 )2 SiF6 的剩餘物之氮、氫及氟源自電漿流出物。可使用進入遠端電漿區域的各種氫對三氟化氮之比率,然而,介於1:1及6:1之間或約3:1的氫對三氟化氮可使用於本發明之實施例。
發明者已發現(保護性)固態副產物是針對以下乾式蝕刻階段的有效屏障。在此第二乾式蝕刻階段期間,三氟化氮之流體被引導進入遠端電漿區域(操作120)。於本發明的實施例中,少量或並無氫共同引導進入遠端電漿區 域。可添加少量的氫(例如,少於1:5或1:10的H:F原子流量比率),而不破壞暴露的矽區域之高度選擇性蝕刻速率。
接著使在遠端電漿區域中形成的電漿流出物流入基板處理區域(操作125)。選擇地蝕刻經圖案化基板(操作130),部分歸因於保護性固態副產物的覆蓋,使得暴露的矽以顯著大於氮化矽的蝕刻速率之速率而被移除。操作120-130共同指本文的第二乾式蝕刻階段。在揭露的實施例中,蝕刻選擇性可大於或約3:1、大於或約5:1、大於或約10:1、大於或約20:1、大於或約30:1、大於或約50:1或大於或約80:1。此等蝕刻選擇性範圍不僅應用至(矽):(氮化矽),但更一般性地應用至(矽):(含矽與氮材料)。反應性化學物種藉由加熱圖案化基板自基板處理區域移除(操作135)。接著自處理區域移除基板(操作145)。
在第二乾式蝕刻階段,可使用較廣泛的氟的來源。含氟前驅物可包含至少一個選自以下構成之群組的前驅物:原子氟、雙原子氟、三氟化溴、三氟化氯、三氟化氮、氟化氫、六氟化硫及二氟化氙、四氟化碳、三氟甲烷、二氟甲烷、氟甲烷及類似者。在第二乾式蝕刻階段期間,使用含碳前驅物大體可從含氧前驅物的共同流獲得益處,以在碳可併入基板之前使含氧前驅物與碳反應。一般而言,氫原子將可取代氫氣而對此處所討論的所有材料作用,但氫分子在此等情況下將為總是存在的。
已發現氫分子以類似於含矽與氮材料之方式,而亦在含矽與氧材料上成長保護性固態副產物。亦已發現本文所呈現的遠端電漿蝕刻製程,相對於氧化矽(以及其他含矽與氧層),幫助矽的選擇蝕刻。先前的乾式蝕刻已達成高達約10:1之(矽):(氧化矽)的選擇性。使用本文所呈現的方法,對於(暴露的矽):(暴露的含矽與氧區域)的乾式蝕刻選擇性,在本發明的實施例中可大於或約20:1、50:1或100:1。蝕刻率選擇性係藉由在暴露的含矽與氧區域上形成保護性固態副產物而增進,以形成受保護的含矽與氧區域。受保護的含矽與氧區域維持受到保護,直到第二乾式蝕刻階段之後。本文所回報關於暴露的矽相對於暴露的含矽與氧材料或暴露的含矽與氮材料任一者,基本上受限於待移除的較高蝕刻速率材料的量。在實施例中,暴露的矽區域基本上缺乏氧及氮。
在本發明的實施例中,於第一乾式蝕刻階段期間圖案化基板的溫度可低於75℃、60℃、50℃、40℃或35℃之一者。於第一乾式蝕刻階段期間所形成的保護性固態副產物,於第二乾式蝕刻階段期間殘留在圖案化基板上,且於接續的昇華步驟期間被移除。一般而言,於第二乾式蝕刻階段期間基板的溫度可介於約-30℃及約80℃間,以確保保護性固態副產物並非過早地被移除。有益地,已發現在此範圍之中較低的溫度具有較高的蝕刻速率。在實施例中,於第一或第二乾式蝕刻階段期間基板的溫度可為約-20℃或更高、約-10℃或更高、0℃ 或更高、約5℃或更高或約10℃或更高。在所揭露的實施例中,於第二乾式蝕刻階段期間基板溫度亦可少於或約75℃、少於或約50℃、少於或約30℃、少於或約20℃、少於或約15℃或少於或約10℃。上限及下限可結合,以形成根據額外的實施例之範圍。在本發明的實施例中,完全昇華期間的固態副產物及圖案化基板的溫度可提昇高於90℃、100℃、120℃或140℃之一者。
第一乾式蝕刻階段可維持約3秒、5秒或10秒或更久。在本發明的實施例中,第一乾式蝕刻階段可維持約30秒、20秒或10秒或更少。在實施例中,第二乾式蝕刻階段可維持約15秒或約30秒或更久。在本發明的實施例中,第二乾式蝕刻階段可維持約2分鐘或約1分鐘或更少。任何上限可與任何下限結合,以提供額外的揭露實施例所呈現的額外的範圍。在所揭露的實施例中,昇華的期限可高於45秒、60秒、75秒、90秒或120秒之一者。
在第一乾式蝕刻階段期間,三氟化氮及/或氫可與一或多種相對的惰性氣體結合,如He、N2 、Ar等等。可用惰性氣體來增進電漿穩定性。在一實施例中,三氟化氮NF3 係以介於約5 sccm(每分鐘標準立方公分)及500 sccm之間的流速提供;H2 係以介於約10 sccm與5 slm(每分鐘標準公升)之間的流速提供;He係以介於約0 sccm與3 slm之間的流速提供;且Ar係以介於約0 sccm與5 slm之間的流速提供。
在第二乾式蝕刻階段期間僅需要含氟前驅物。含氟前驅物可進一步包括一或更多相對惰性的氣體,例如He、N2 、Ar或類似者。惰性氣體可用於改善電漿穩定性。在一實施例中,含氟氣體包括:流速介於約5 sccm(每分鐘標準立方公分)及500 sccm之間的NF3 ;流速介於約0 sccm與5 slm(每分鐘標準公升)之間的He;以及流速介於約0 sccm與3 slm之間的Ar。在本發明的實施例中,於第二乾式蝕刻階段期間少量或基本上沒有氫氣(H2 )之流動。第二含氟前驅物及第二電漿流出物亦可以任何形式而基本上缺乏氫。為了確保,可在第二乾式蝕刻階段期間利用某些含氫前驅物。含氫前驅物可結合其它前驅物後流入電漿區域或個別流入電漿區域,然而,應保持低濃度。於上述範例中,氫可與電漿中的含氟前驅物反應,以形成可移除額外氮化矽(及/或氧化矽)的前驅物,所述前驅物藉由在介電質表面上形成固態副產物來移除氮化矽。通常,相較於暴露的含矽與氮區域及/或暴露的含矽與氧區域,此反應降低了暴露的矽之淨選擇性。儘管在某些實施例中導入一些氫可能是有利的,但在其它實施例中,於蝕刻製程期間也可能沒有或基本上沒有氫流被導入電漿區域。本案所屬技術領域中之一般技藝人士可體認到可依據數個因素來使用其它氣體及/或流,該等因素包括處理腔室配置、基板尺寸、待蝕刻特徵結構的表面形貌及布局等等。
在第一乾式蝕刻階段期間,本發明之方法包括下列步 驟:當含氟前驅物及氫氣處在遠端電漿區域中的同時,施加能量至含氟前驅物及含氫前驅物,以產生電漿流出物。在第二乾式蝕刻階段期間,本發明之方法包括下列步驟:當含氟前驅物處在遠端電漿區域中的同時,施加能量至含氟前驅物,以產生電漿流出物。如本案所屬技術領域中的一般技藝者可認知的,在任一者階段期間的電漿可包括若干帶電荷物種及中性物種,包括自由基及離子。可使用已知技術(如,RF技術、電容耦合技術、感應耦合技術等等)來產生電漿。在一實施例中,可使用電容耦合式電漿單元在介於約10 W與15000 W之間的源功率及介於約0.2 Torr與30 Torr之間的壓力下施加能量。電容耦合式電漿單元可經設置而遠離處理腔室的氣體反應區域。舉例而言,可藉由噴頭及/或離子抑制器將電容耦合式電漿單元及電漿產生區域與氣體反應區域隔離。在某些實施例中,於第二乾式蝕刻階段期間,在基板處理區域之中基本上無離子化物種及自由電子的濃度。
在第一乾式蝕刻階段及/或第二乾式蝕刻階段期間,於基板處理區域之中的壓力低於或約50 Torr、低於或約30 Torr、低於或約20 Torr、低於或約10 Torr或低於或約5 Torr。在本發明的實施例中,在此等階段期間之壓力可高於或約0.1 Torr、高於或約0.2 Torr、高於或約0.5 Torr或高於或約1 Torr。溫度或壓力的任何上限可與任何下限結合,以形成額外的實施例。在第一乾式蝕刻階段期 間的壓力可高於第二乾式蝕刻階段期間,因為對前驅物組合的依賴,以形成建立保護性固態副產物的前驅物。
一般而言,本文所述的製程可使用於抑制含矽及氮(且非僅僅氮化矽)之薄膜的乾式蝕刻速率。在本發明的實施例中,遠端電漿蝕刻製程可保護含矽與氮材料,該含矽與氮材料包括約30%或更多矽的原子濃度,及約30%或更多氮的原子濃度。含矽與氮材料亦可基本上由矽及氮組成,允許少量的摻雜濃度及其他非所欲或所欲的少數添加物。當然,在本發明的實施例中,含矽與氮材料可為氮化矽。
如上所述,相較於蝕刻含矽與氧材料,遠端電漿蝕刻製程亦可更快速地蝕刻矽。第一乾式蝕刻階段亦將在氧化矽及其他含矽與氧材料上建立保護層。在本發明的實施例中,含矽與氧材料可包括約30%或更多矽的原子濃度,及約30%或更多氧的原子濃度。含矽與氧材料亦可基本上由矽及氧組成,允許少量的其他非所欲或所欲的少數添加物之濃度。當然,在本發明的實施例中,含矽與氧材料可為氧化矽。
額外的製程參數在描述範理處理腔室與系統期間揭示。
範例處理系統
可實施本發明之實施例的處理腔室可被納入諸如可購自美國加州聖大克勞拉市的Applied Materials,Inc.的 CENTURA®及PRODUCER®系統處理平台內。可與本發明之範例方法一併使用的基板處理腔室的範例可包括顯示並描述於共同讓渡給Lubomirsky等人的美國臨時專利申請案第60/803,499號中的該等腔室,該案於2006年5月30日提出申請,且標題為「PROCESS CHAMBER FOR DIELECTRIC GAPFILL」,該案全文在此併入作為參考。額外的範例系統可包括顯示並描述於美國專利第6,387,207號與第6,830,624號中的系統,該等專利之全文亦在此併入作為參考。
第2A圖為根據本文揭露的實施例之基板處理腔室200。遠端電漿系統210可處理含氟前驅物,含氟前驅物接著行進穿過氣體入口組件211。在氣體入口組件211內可見兩個個別的氣體供應通道。第一通道212裝載穿過遠端電漿系統210(RPS)的氣體,而第二通道213繞過遠端電漿系統210。在實施例中,任一通道皆可供含氟前驅物所用。另一方面,第一通道212可供製程氣體所用,且第二通道213可供處理氣體(treatment gas)所用。圖所示之蓋體(或導電的頂部分)221及穿孔的隔件或噴頭253之間有絕緣環224,絕緣環使得AC電位得以相對於噴頭253施加到蓋體221。AC電位在腔室電漿區域220中點燃電漿。製程氣體可行進穿過第一通道212進入腔室電漿區域220,且可單獨受到腔室電漿區域220中(或者與遠端電漿系統210結合)之電漿的激發。若製程氣體(含氟前驅物)流經第二通道213,則隨後僅有腔室電漿區 域220用於激發。腔室電漿區域220及/或遠端電漿系統210的結合可指本文中的遠端電漿系統。穿孔的隔件(亦指噴頭)253將噴頭253下方的腔室電漿區域220與基板處理區域270分隔。噴頭253使電漿得以存在於腔室電漿區域220中,以避免直接於基板處理區域270中激發氣體,同時依然使受激發物種得以從腔室電漿區域220行進至基板處理區域270。
噴頭253位於腔室電漿區域220與基板處理區域270之間,且噴頭253容許在遠端電漿系統210及/或腔室電漿區域220內產生的電漿流出物(前驅物或其它氣體的受激發衍生物)通過複數個通孔256,通孔256橫切板的厚度。噴頭253也具有一或多個中空容積251,蒸氣或氣體形式的前驅物可填充中空容積251,並通過小通孔255進入基板處理區域270但不直接進入腔室電漿區域220。此揭露之實施例中的噴頭253比通孔256的最小直徑250的長度還厚。為了維持從腔室電漿區域220穿透至基板處理區域270的受激發物種之顯著濃度,可透過形成通孔256之較大的直徑部分使該較大的直徑部分穿過噴頭253達某一程度(part way),而限制通孔的最小直徑250的長度226。在本文揭露的實施例中,通孔256的最小直徑250之長度可與通孔256的最小直徑相同數量級,或者為較小的數量級。
離子抑制器可用於控制通過進入基板處理區域的離子密度。此可供以在受保護的含矽與氮材料及矽之間進一 步增加蝕刻速率差異。離子抑制元件的功能為減少或消滅自電漿產生區域行進至基板的帶離子電荷物種。不帶電的中性或自由基物種可通過離子抑制器中的開口而於基板處發生反應。應注意的是,完全消滅圍繞基板的反應區域中之帶離子電荷物種並不總是期望的目標。在許多實例中,需要離子物種抵達基板,以進行蝕刻及/或沉積製程。在這些實例中,離子抑制器有助於將反應區域中之離子物種的濃度控制在能協助製程的水平。
根據本發明的某些實施例,在本文所述之離子抑制器可用來提供自由基及/或中性物種,用於選擇地蝕刻基板。在一個實施例中,例如,離子抑制器可用來提供含氟之電漿流出物,以更加選擇性地蝕刻矽或氮化矽。除了保護性固態副產物之外,使用經離子過濾的電漿流出物,例如矽相對於氮化矽及/或氧化矽的蝕刻速率選擇性可進一步增加至本文所述的值。離子抑制器可用於提供反應性氣體,所述反應性氣體所具有的自由基濃度高於離子濃度。因為電漿的大部分帶電顆粒被離子抑制器過濾或移除,在蝕刻製程期間基板不一定需要偏壓。相較於包括濺鍍及轟擊的習用電漿蝕刻製程而言,此等使用自由基及其它中性物種的製程可減少電漿傷害。
如第2A圖所示,噴頭253可經配置以符合離子抑制器的目的。或者,可包括隔離處理腔室元件(未繪示)來抑制離子濃度行進至基板處理區域270。蓋體221與噴頭253可分別具有第一電極與第二電極的功能,使得蓋體 221與噴頭253可接收不同的電壓。在這些配置中,可將電功率(如,RF功率)施加至蓋體221、噴頭253或二者。舉例而言,可將電功率施加至蓋體221,同時使噴頭253(作為離子抑制器)接地。基板處理系統可包括RF產生器,RF產生器將電功率供應至蓋體及/或噴頭253。施加至蓋體221的電壓可促進腔室電漿區域220內之電漿的均勻分布(即,減少局部化的電漿)。為了能在腔室電漿區域220中形成電漿,絕緣環224可使蓋體221與噴頭253電性絕緣。絕緣環224可由陶瓷製作,且可具有高崩潰電壓以避免產生火花。接近剛才所述之電容耦合式電漿部件的基板處理腔室200部分可進一步包括冷卻單元(未繪示),冷卻單元包括一或多個冷卻流體通道,以用循環冷卻劑(如,水)冷卻暴露於電漿的表面。
在所顯示的實施例中,一旦製程氣體受到腔室電漿區域220中的電漿激發而形成電漿流出物,噴頭253可(透過通孔256)分配電漿流出物。在某些實施例中,導入遠端電漿系統210及/或腔室電漿區域220的製程氣體可含有氟(如,F2 、NF3 或XeF2 )。製程氣體也可包括諸如氦、氬、氮(N2 )等的載氣。電漿流出物可包括製程氣體的離子化或中性的衍生物,且在此亦可指是自由基氟,該前驅物即為所導入的製程氣體之原子的組分。
通孔256經配置以阻止帶離子電荷物種遷移離開腔室電漿區域220,同時容許不帶電的中性或自由基物種通過噴頭253進入基板處理區域270。這些不帶電的物種 可包括高度反應性物種,高度反應性物種可與較不具反應性之載氣一起藉由通孔256輸送。如上文所提及,可減少離子物種藉由通孔256進行遷移,且在某些實例中,可完全阻止離子物種藉由通孔256進行遷移。控制離子物種通過噴頭253的數量可增進對與下方晶圓基板接觸之氣體混合物的控制,從而增進對氣體混合物之沉積及/或蝕刻特性的控制。舉例而言,調整氣體混合物的離子濃度可顯著改變該氣體混合物選擇性(如,矽:氮化矽之蝕刻比例,以及矽:氧化矽之蝕刻比例)。
在某些實施例中,通孔256的數目可介於約60個與約2000個之間。通孔256可具有各種形狀,但最容易被製成圓形。在本文揭露的實施例中,通孔256的最小直徑250可介於約0.5 mm與約20 mm之間,或介於約1 mm與約6 mm之間。在選擇通孔的截面形狀上,亦有範圍,截面可做成錐形、圓柱形或該二種形狀的組合。在本文所揭露的實施例中,用於將未激發的前驅物導入基板處理區域270的小通孔255數目可介於約100與約5000之間,或介於約500與約2000之間。小通孔255的直徑可介於約0.1 mm與約2 mm之間。
通孔256可經配置以控制電漿活化之氣體(即,離子、自由基及/或中性物種)通過噴頭253。舉例而言,通孔的縱橫比(即,孔徑對長度)及/或通孔的表面形貌可受到控制,藉以減少通過噴頭253的經活化氣體中的帶離子電荷物種流。噴頭253中的通孔256可包括面對腔室電漿 區域220的錐形部分,以及面對基板處理區域270的圓柱形部分。可訂定圓柱形部分的比例及尺寸以控制通過進入基板處理區域270的離子物種流。可調整的電偏壓也可被施加至噴頭253作為控制穿過噴頭253的離子物種流之額外手段。
或者,通孔256朝向噴頭253的頂表面可具有較小的內徑(inner diameter;ID),且朝向噴頭253的底表面可具有較大的ID。此外,可將通孔256的底緣切角,以在電漿流出物離開噴頭時,促進將電漿流出物均勻地分布於基板處理區域270中,並因而增進電漿流出物及前驅物氣體的均勻分布。較小的ID可沿著通孔256設置於多個位置,並仍可容許噴頭253可降低基板處理區域270內的離子密度。離子密度的降低起因於離子在進入基板處理區域270之前與孔壁碰撞次數的增加。每次碰撞增加了藉由從孔壁獲得或失去電子而使離子中和的可能性。一般而言,通孔256的較小的ID可介於約0.2 mm與約20 mm之間。在其它實施例中,較小的ID可介於約1 mm與約6 mm之間,或介於約0.2 mm與約5 mm之間。進一步,通孔256的縱橫比(即,較小的ID對通孔長度)可為將近1至20。通孔的較小的ID可為沿著通孔的長度可見之最小ID。通孔256的剖面形狀一般可為圓柱形、圓錐形或該等形狀的任何組合。
第2B圖為根據本文揭露的實施例與處理腔室一起使用之噴頭253的底視圖。噴頭253對應第2A圖所示之噴 頭。通孔256被描繪成在噴頭253底部具有較大內徑(ID),且在頂部具有較小ID。小通孔255實質上平均分布在噴頭的表面上,甚至分佈在通孔256之間,相較於本文所述的其它實施例,這種分佈方式有助於提供更均勻的混合。
當含氟電漿流出物穿過噴頭253中的通孔256抵達基板處理區域270時,範例經圖案化基板可在基板處理區域270內由基座(未繪示)支撐。儘管可將基板處理區域270裝配成支援電漿以供諸如固化等其它製程所用,然而在本發明的實施例中,蝕刻經圖案化基板期間無電漿存在。
可在噴頭253上方的腔室電漿區域220中,或在噴頭253下方的基板處理區域270中點燃電漿。電漿存在腔室電漿區域220中,以自流入的含氟前驅物製造自由基氟。典型處在無線射頻(RF)範圍中的AC電壓可被施加在處理腔室的導電頂部分(蓋體221)與噴頭253之間,以於沉積期間在腔室電漿區域220中點燃電漿。RF功率供應器可產生13.56 MHz的高RF頻率,但也可單獨或結合13.56 MHz頻率產生其它頻率。
當基板處理區域270中的底部電漿啟動時,可使頂部電漿處在低功率或無功率下,以硬化膜或清潔形成基板處理區域270邊界的內表面。可藉由在噴頭253與基座之間或在噴頭253與腔室的底部之間施加AC電壓,來點燃基板處理區域270中的電漿。可在電漿存在的同 時,引導清潔氣體進入基板處理區域270。
基座可具有熱交換通道,熱交換流體流過熱交換通道以控制基板的溫度。此配置方式容許冷卻或加熱基板溫度,以維持相對低的溫度(從室溫直到約120℃)。熱交換流體可包含乙二醇與水。可使用埋入式單迴圈埋入式加熱器元件,來電阻式加熱基座的晶圓支撐淺盤(較佳為鋁、陶瓷或前述材料之組合)達到相對高的溫度(從約120℃直到約1100℃),該加熱器元件經配置以造成平行的同心圓形式的兩個完整迴轉。加熱器元件的外部分可繞於鄰接支撐淺盤的周邊處,同時加熱器元件的內部分繞於具有較小半徑的同心圓的路徑上。連接至加熱器元件的配線穿過基座的主幹。
腔室電漿區域或遠端電漿系統中的區域可稱為遠端電漿區域。在某些實施例中,自由基前驅物(即,自由基氟)形成於遠端電漿區域中,並行進進入矽或含矽與氮材料優先被蝕刻的基板處理區域中。在某些實施例中,電漿功率可基本上僅被施加至遠端電漿區域,以確保電漿流出物不會在基板處理區域中進一步被激發。
在利用腔室電漿區域的實施例中,被激發的電漿流出物是在與沉積區域分隔的基板處理區域的區段中產生。該沉積區域(在本文中亦稱作基板處理區域)是電漿流出物混合並反應以蝕刻經圖案化基板(如,半導體晶圓)之處。被激發的電漿流出物也可伴隨著惰性氣體(在範例實例中,惰性氣體為氬氣)。在蝕刻經圖案化基板期間,本 文中的基板處理區域可被描述為「無電漿(plasma-free)」。「無電漿」不必然意味著該區域缺乏電漿。因通孔256的形狀及尺寸之故,在電漿區域內所產生之相對低濃度的離子化物種及自由電子會行進穿過隔件(噴頭/離子抑制器)中的孔洞(口孔)。在某些實施例中,基板處理區域內基本上沒有離子化物種及自由電子的濃度。腔室電漿區域中電漿的邊界是難以界定的,且可能透過噴頭中的口孔侵入基板處理區域上。在感應耦合電漿的實例中,可直接在基板處理區域內執行少量的離子化。再者,低強度的電漿可在基板處理區域中生成,而不至於消滅形成的膜之期望特徵。激發的電漿流出物生成期間造成電漿的強度離子密度遠低於腔室電漿區域(就此而言,或者是遠低於遠端電漿區域)的所有原因不悖離本文所用的「無電漿」之範疇。
三氟化氮(及若存在的氫氣)進入腔室的結合流速可佔總氣體混合物的體積的0.05%至約20%;剩餘的部分是載氣。在一些實施例中,三氟化氮及氫氣流入遠端電漿區域,但電漿流出物具有相同的體積流量比率。在三氟化氮的實例中,可在含氟氣體之前先啟動淨化氣體或載氣進入遠端電漿區域,以穩定遠端電漿區域內的壓力。
施加至遠端電漿區域的電漿功率可為多種頻率或為多重頻率的組合。在範例處理系統中,可藉由蓋體221與噴頭253之間所傳遞的RF功率來提供電漿。在本文所揭露的實施例中,RF功率可介於約10瓦與約15000瓦之 間、介於約20瓦與約1500瓦之間,或介於約50瓦與約500瓦之間。在本文所揭露的實施例中,於範例處理系統中所施加的RF頻率可為小於約200 kHz的低RF頻率、介於約10 MHz與約15 MHz之間的高RF頻率,或大於或等於約1 GHz的微波頻率。此等電漿參數可應用至本文所述的第一乾式蝕刻階段及第二乾式蝕刻階段兩者。
在將載氣與電漿流出物流入基板處理區域270期間,可將基板處理區域270維持在各種壓力下。基板處理區域內的壓力低於或等於約50 Torr、低於或等於約30 Torr、低於或等於約20 Torr、低於或等於約10 Torr或低於或等於約5 Torr。在本發明的實施例中,壓力可高於或等於約0.1 Torr、高於或等於約0.2 Torr、高於或等於約0.5 Torr或高於或等於約1 Torr。壓力的下限可與壓力的上限結合以達成本發明的進一步實施例。
在一或多個實施例中,基板處理腔室200可整合至各種多處理平台,包括可購自美國加州聖大克勞拉市的Applied Materials,Inc.的ProducerTM GT、CenturaTM AP及EnduraTM 平台。此類處理平台能夠進行數種處理操作而不破真空。可實施本發明實施例的處理腔室可包括介電蝕刻腔室或各種化學氣相沉積腔室,還有其它類型的腔室。
沉積系統的實施例可併入較大型的生產積體電路晶片的製造系統。第3圖顯示根據本文揭露的實施例的一個 此類沉積、烘烤及硬化腔室的系統300。於此圖中,一對前開式晶圓盒(front opening unified pod,FOUP)302供應基板,基板(例如,300 mm直徑之晶圓)由機器人手臂304承接,並在置入晶圓處理腔室308a至308f中之一者以前先置入低壓保持區306內。可使用第二機器人手臂310自低壓保持區306傳輸基板晶圓至晶圓處理腔室308a至308f並往回傳輸。晶圓處理腔室308a至308f之各者可被裝備成進行多個基板處理操作,該等操作包括本文所述的乾式蝕刻製程,還可包括循環層沉積(CLD)、原子層沉積(ALD)、化學氣相沉積(CVD)、物理氣相沉積(PVD)、蝕刻、預清潔、脫氣、定向及其它基板製程等額外操作。
晶圓處理腔室308a至308f可包括一或多個系統部件,以在基板晶圓上沉積、退火處理、硬化及/或蝕刻可流動介電膜。在一個配置中,兩對處理腔室(如,308c至308d及308e至308f)可用於沉積介電材料於基板上,而第三對處理腔室(如,308a至308b)可用於蝕刻沉積的介電質。在另一個配置中,所有三對腔室(如,308a至308f)可經配置以在基板上蝕刻介電膜。任一或多個所述的製程可在與本文揭露的實施例中所示的製造系統分開的腔室上執行。
基板處理系統由系統控制器所控制。在示範實施例中,系統控制器包括硬碟機、軟碟機及處理器。處理器含有單板電腦(SBC)、類比及數位輸入/輸出板、介面板 及步進馬達控制板。CVD系統的各種部件符合Versa Modular European(VME)標準,該標準定義板、卡片機架(card cage)以及連接器尺寸及類型。VME標準亦定義具有16位元資料匯流排及24位元位址匯流排之匯流排結構。
系統控制器357可用於控制馬達、閥、流量控制器、電源供應器以及其它執行本文所述製程配方需要的功能。氣體操縱系統355也可由系統控制器357控制,以將氣體引導至晶圓處理腔室308a至308f的其中一個或全部。系統控制器357可仰賴來自光學感測器的反饋,以確定並且調整氣體操縱系統355及/或晶圓處理腔室308a至308f中之可移動的機械組件之位置。機械組件可包括機器人、節流閥及基座,前述部件在系統控制器357的控制下藉由馬達移動。
在示範實施例中,系統控制器357包括硬碟機(記憶體)、USB埠、軟碟機及處理器。系統控制器357包括類比及數位輸入/輸出板、介面板及步進馬達控制板。含有基板處理腔室200的多重腔室處理系統300之各種部件受到系統控制器357之控制。系統控制器執行系統控制軟體,系統控制軟體以電腦程式之形式儲存在諸如硬碟、軟碟或快閃記憶體隨身碟等電腦可讀媒體上。也可使用其它形式的記憶體。電腦程式包括指令集,該等指令集指示時間、氣體混合、腔室壓力、腔室溫度、RF功率位準、基座位置及其它特定製程的參數。
可使用由控制器執行的電腦程式產品來實施用於在基板上沉積或其它方式處理膜的製程,或者實施用於清潔腔室的製程。電腦程式編碼可以習知電腦可讀的程式語言撰寫,例如68000組合語言、C、C++、Pascal、Fortran或其它程式語言。使用習知的文字編輯器將適合的程式編碼輸入單一檔案或多重檔案,並且儲存於電腦可使用媒體(如電腦的記憶體系統)或由電腦可使用媒體實施。倘若輸入的編碼文字是高階語言,則編譯編碼,而所得的編譯程式編碼隨後與預先編譯的Microsoft Windows®函式庫常式之目的碼連結。為了執行該連結、編譯的目的碼,系統使用者援用該目的碼,使電腦系統載入記憶體中的編碼。CPU隨後讀取並且執行該編碼,以進行程式中辨識的任務。
使用者與控制器之間的介面可為透過接觸感應顯示器,亦可包括滑鼠及鍵盤。在使用兩個顯示器的一個實施例中,一個顯示器安裝在清潔室壁以供操作者使用,且另一個顯示器在壁後以供維修技術人員使用。兩個顯示器可同時顯示相同資訊,在這樣的實例中,一次僅有一個顯示器被配置成接受輸入。為了選擇特定的螢幕或功能,操作者以手指或滑鼠接觸顯示螢幕上的指定的區域。被接觸的區域改變該區域的強調色彩,或顯示新的選單或螢幕,確認操作者的選擇。
本文所使用的「基板(substrate)」可為具有或不具有形成在上面的多個層之支撐基板。經圖案化基板可為有各 種摻雜濃度及摻雜輪廓的絕緣體或半導體,可例如為用在積體電路製造上之類型的半導體基板。經圖案化基板的暴露的「矽(silicon)」主要是Si,但也可包括少量濃度的其它基本組成分,如硼、磷、氮、氧、氫、碳等等。術語「矽」可代表單晶矽或多晶矽。經圖案化基板的暴露的「氮化矽(silicon nitride)」主要是Si3 N4 ,但也可包括少量濃度的其它基本組成分,如氧、氫、碳等等。經圖案化基板的暴露的「氧化矽(silicon oxide)」主要是SiO2 ,但也可包括其它基本組成分的濃度,如氮、氫、碳等等。在某些實施例中,使用本文所揭露之方法蝕刻的氧化矽膜基本上由矽與氧構成。術語「前驅物(precursor)」指的是參與反應從表面移除材料或沉積材料在表面上的任何製程氣體。「電漿流出物(plasma effluent)」描述自腔室電漿區域離開並且進入基板處理區域的氣體。電漿流出物處於「激發態(excited state)」,其中至少有一些氣體分子處於振動型式的激發、解離及/或離子化的狀態。「自由基前驅物(radical precursor)」是用於描述參與反應從表面移除材料或沉積材料在表面上的電漿流出物(離開電漿、處於激發態的氣體)。「自由基氟(radical-fluorine)」(或「自由基氫(radical-hydrogen)」)為含有氟(或氫)的自由基前驅物,但該自由基前驅物可能不含有其它基本組成分。「惰性氣體(inert gas)」一詞是指在蝕刻時不形成化學鍵結或被併入膜中的任何氣體。範例惰性氣體包括稀有氣體,但可包括其他氣體, 只要當(一般而言)在膜中補捉到痕量的該氣體時不形成化學鍵結即可。
全文中所用之術語「間隙(gap)」與「溝槽(trench)」毫無暗指意味地是指蝕刻過的幾何形狀具有大的水平縱橫比。從表面上方觀之,溝槽可呈現圓形、卵形、多邊形、矩形或各種其它形狀。溝槽可以呈現材料島狀物周圍的壕溝形狀。術語「介層孔(via)」是指低縱橫比溝槽(由上方觀之),介層孔可或可不被金屬填充而形成垂直的電連接。如本文所用,共形蝕刻製程指的是以與表面相同的形狀大體上均勻地移除表面上的材料,即蝕刻過的層的表面與蝕刻前的表面大體上平行。發明所屬技術領域中具有通常知識者將瞭解蝕刻過的介面可能不會100%共形,因此「大體上(generally)」之用語容許可接受的容忍度。
已在此揭示數個實施例,發明所屬技術領域中具有通常知識者應知可使用多種修飾例、替代架構與等效例而不背離本文揭露的實施例的精神。此外,說明書中不對多種習知製程與元件做說明,以避免不必要地混淆了本發明。因此,上述說明不應被視為對本發明範疇之限制。
當提供一範圍的數值時,除非文本中另外清楚指明,應知亦具體揭示介於該範圍的上下限值之間各個區間值至下限值單位的十分之一。亦涵蓋了所陳述數值或陳述範圍中之區間值以及與陳述範圍中任何另一陳述數值或區間值之間的每個較小範圍。該等較小範圍的上限值與 下限值可獨立包含或排除於該範圍中,且各範圍(其中,在該較小範圍內包含任一個極限值、包含兩個極限值,或不含極限值)皆被本發明內所陳述之範圍涵蓋,除非在該陳述的範圍中有特別排除之限制。在所陳述之範圍包括極限值的一者或兩者之處,該範圍也包括該些排除其中任一者或兩者被包括的極限值的範圍。
在本文與隨附申請專利範圍中所使用之單數形式「一(a、an)」與「該(the)」等用語也包括複數形式,除非文字中另外清楚指明。因此,舉例而言,「一種製程(a process)」所指的製程包括複數個此類製程,而「該介電材料(the dielectric material)」所指的包括一或多種介電材料以及該領域技術人士所熟知的該等材料之等效例等。
同樣,申請人希望此說明書與以下申請專利範圍中所用的「包含(comprise)」與「包括(include)」等用語是指存在所陳述之特徵、整體、部件或步驟,但該等用語不排除存在或增加一或多種其他特徵、整體、部件、步驟、動作或群組。
110~145‧‧‧處理步驟
200‧‧‧基板處理腔室
210‧‧‧遠端電漿系統
211‧‧‧氣體入口組件
212‧‧‧第一通道
213‧‧‧第二通道
220‧‧‧腔室電漿區域
221‧‧‧蓋體
224‧‧‧絕緣環
250‧‧‧通孔的最小直徑
251‧‧‧中空容積
253‧‧‧噴頭
255‧‧‧小孔
256‧‧‧通孔
270‧‧‧基板處理區域
300‧‧‧處理系統
302‧‧‧負載鎖定腔室
304‧‧‧機器人手臂
306‧‧‧保持區
308‧‧‧處理腔室
310‧‧‧第二機器人手臂
355‧‧‧氣體操縱系統
357‧‧‧系統控制器
透過參考說明書的其餘部份及圖式,可進一步瞭解本文揭露之實施例的本質與優點。
第1圖是乾式蝕刻處理的流程圖,根據所揭示的實施例而具有選擇性抑制的氮化矽蝕刻速率。
第2A圖圖示根據本發明實施例的基板處理腔室。
第2B圖圖示根據本發明實施例的基板處理腔室之噴頭。
第3圖圖示根據本發明實施例的基板處理系統。
在附圖中,相似的部件及/或特徵結構可具有相同的元件符號。進一步而言,同類的各部件可透過在元件符號後加上一破折號以及第二符號(該符號區別類似部件)加以區別。倘若在說明書中僅用第一元件符號,該敘述內容可應用至具有相同第一元件符號(無論第二元件符號為何)的類似部件之任一者。
110~145‧‧‧處理步驟

Claims (20)

  1. 一種在一基板處理腔室的一基板處理區域中蝕刻一圖案化基板的方法,其中該圖案化基板具有一暴露的含矽與氮區域及暴露的矽,蝕刻該圖案化基板的該方法包含以下連續步驟:(1)一第一乾式蝕刻階段,包含以下步驟:將三氟化氮及氫氣(H2 )之各者流入一遠端電漿區域,該遠端電漿區域流體耦合至該基板處理區域,同時在該電漿區域中形成一第一電漿,以產生第一電漿流出物,及在該暴露的含矽與氮區域上形成保護性固態副產物,以藉由將該第一電漿流出物流入該基板處理區域,來形成一受保護的含矽與氮區域;(2)一第二乾式蝕刻階段,包含以下步驟:將一含氟前驅物流入該遠端電漿區域,同時在該電漿區域中形成一第二電漿,以產生第二電漿流出物,及藉由將該第二電漿流出物流入該基板處理區域,而相較於該受保護的含矽與氮區域,更快速地蝕刻該暴露的矽,其中在該第二乾式蝕刻階段後,仍保持至少一部分先前形成的固態副產物層;及(3)藉由提昇該圖案化基板的一溫度,自該受保護的含矽與氮區域昇華該保護性固態副產物。
  2. 如請求項第1項所述的蝕刻該圖案化基板之方法,其中該暴露的含矽與氮區域為氮化矽。
  3. 如請求項第1項所述的蝕刻該圖案化基板之方法,其中該暴露的含矽與氮區域基本上由矽及氮組成。
  4. 如請求項第1項所述的蝕刻該圖案化基板之方法,其中該暴露的含矽與氮區域包含約30%或更多的矽之原子濃度,及約30%或更多的氮之原子濃度。
  5. 如請求項第1項所述的蝕刻該圖案化基板之方法,其中在該第一乾式蝕刻階段及該第二乾式蝕刻階段之各者期間,該圖案化基板的一溫度介於約-20℃至約75℃之間。
  6. 如請求項第1項所述的蝕刻該圖案化基板之方法,其中在該第一乾式蝕刻階段及該第二乾式蝕刻階段之各者期間,於該基板處理區域之中的一壓力低於或約50Torr且高於或約0.1Torr。
  7. 如請求項第1項所述的蝕刻該圖案化基板之方法,其中在該電漿區域中形成該第一電漿及在該電漿區域中形成該第二電漿之步驟包含以下步驟:在該第一乾式蝕刻 階段及該第二乾式蝕刻階段之各者期間,施加介於約10瓦及15000瓦之間的RF功率至該電漿區域。
  8. 如請求項第1項所述的蝕刻該圖案化基板之方法,其中該第一電漿及該第二電漿兩者均為電容耦合式電漿。
  9. 如請求項第1項所述的蝕刻該圖案化基板之方法,其中該暴露的矽為單晶矽或多晶矽。
  10. 如請求項第1項所述的蝕刻該圖案化基板之方法,其中蝕刻該圖案化基板之該方法的一選擇性,暴露的矽區域:暴露的含矽與氮區域,為大於或約3:1。
  11. 如請求項第1項所述的蝕刻該圖案化基板之方法,其中蝕刻該圖案化基板之該方法的一選擇性,暴露的矽區域:暴露的含矽與氮區域,為大於或約5:1。
  12. 如請求項第1項所述的蝕刻該圖案化基板之方法,其中在該第一乾式蝕刻階段及該第二乾式蝕刻階段之各者期間,該基板處理區域基本上不含電漿。
  13. 如請求項第1項所述的蝕刻該圖案化基板之方法,其中該圖案化基板亦具有一暴露的含矽與氧區域,形成保護性固態副產物之該操作進一步包含以下步驟:在該 暴露的含矽與氧區域上形成保護性固態副產物,以形成一受保護的含矽與氧區域,且相較於該受保護的含矽與氮區域而更快速地蝕刻該矽之該操作進一步包含以下步驟:相較於該受保護的含矽與氧區域,更快速地蝕刻該矽。
  14. 如請求項第13項所述的蝕刻該圖案化基板之方法,其中蝕刻該圖案化基板之該方法的一選擇性,暴露的矽區域:暴露的含矽與氧區域,為大於或約20:1。
  15. 如請求項第1項所述的蝕刻該圖案化基板之方法,其中該第二含氟前驅物及該第二電漿流出物基本上缺乏氫。
  16. 如請求項第1項所述的蝕刻該圖案化基板之方法,其中在該第二乾式蝕刻階段期間,於該基板處理區域內基本上沒有離子化物種及自由電子的濃度。
  17. 如請求項第1項所述的蝕刻該圖案化基板之方法,其中自該受保護的含矽與氮區域昇華該保護性固態副產物之該操作包含以下步驟:提昇該圖案化基板的一溫度高於90℃。
  18. 如請求項第1項所述的蝕刻該圖案化基板之方法, 其中該暴露的矽基本上缺乏氧及氮。
  19. 如請求項第1項所述的蝕刻該圖案化基板之方法,其中該遠端電漿區域與具有一噴頭的該處理區域分隔。
  20. 如請求項第19項所述的蝕刻該圖案化基板之方法,其中該第一及第二電漿流出物通過該噴頭流入該處理區域。
TW101131796A 2011-09-01 2012-08-31 選擇性抑制含有矽及氮兩者之材料的乾蝕刻率之方法 TWI459464B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201161530302P 2011-09-01 2011-09-01
US13/449,441 US8679983B2 (en) 2011-09-01 2012-04-18 Selective suppression of dry-etch rate of materials containing both silicon and nitrogen

Publications (2)

Publication Number Publication Date
TW201320186A TW201320186A (zh) 2013-05-16
TWI459464B true TWI459464B (zh) 2014-11-01

Family

ID=47753481

Family Applications (1)

Application Number Title Priority Date Filing Date
TW101131796A TWI459464B (zh) 2011-09-01 2012-08-31 選擇性抑制含有矽及氮兩者之材料的乾蝕刻率之方法

Country Status (5)

Country Link
US (2) US8679983B2 (zh)
KR (2) KR101508994B1 (zh)
CN (1) CN103765562B (zh)
TW (1) TWI459464B (zh)
WO (1) WO2013033527A2 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI729502B (zh) * 2015-06-05 2021-06-01 美商應用材料股份有限公司 用於電漿處理處理腔室之方法

Families Citing this family (179)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) * 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8551891B2 (en) * 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US10283615B2 (en) 2012-07-02 2019-05-07 Novellus Systems, Inc. Ultrahigh selective polysilicon etch with high throughput
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8932959B2 (en) * 2012-12-19 2015-01-13 Applied Materials, Inc. Method and system for etching plural layers on a workpiece including a lower layer containing an advanced memory material
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140262028A1 (en) * 2013-03-13 2014-09-18 Intermolecular, Inc. Non-Contact Wet-Process Cell Confining Liquid to a Region of a Solid Surface by Differential Pressure
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9761489B2 (en) 2013-08-20 2017-09-12 Applied Materials, Inc. Self-aligned interconnects formed using substractive techniques
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
JP6231893B2 (ja) * 2014-01-27 2017-11-15 キヤノン株式会社 半導体装置の製造方法および半導体装置の製造装置
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
KR102396247B1 (ko) * 2014-08-12 2022-05-09 도쿄엘렉트론가부시키가이샤 기판 처리 방법
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9558928B2 (en) * 2014-08-29 2017-01-31 Lam Research Corporation Contact clean in high-aspect ratio structures
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9520301B2 (en) 2014-10-21 2016-12-13 Samsung Electronics Co., Ltd. Etching method using plasma, and method of fabricating semiconductor device including the etching method
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US11384432B2 (en) * 2015-04-22 2022-07-12 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
US9449843B1 (en) 2015-06-09 2016-09-20 Applied Materials, Inc. Selectively etching metals and metal nitrides conformally
KR102485541B1 (ko) 2015-06-26 2023-01-05 도쿄엘렉트론가부시키가이샤 다른 필름 또는 마스크에 대한 실리콘-함유 반사 방지 코팅 또는 실리콘 산질화물의 제어 가능한 식각 선택비에 따른 기상 식각
US9659791B2 (en) 2015-07-16 2017-05-23 Applied Materials, Inc. Metal removal with reduced surface roughness
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10861693B2 (en) * 2015-12-18 2020-12-08 Applied Materials, Inc. Cleaning method
KR102496037B1 (ko) 2016-01-20 2023-02-06 삼성전자주식회사 플라즈마 식각 방법 및 장치
KR20180102203A (ko) * 2016-02-05 2018-09-14 어플라이드 머티어리얼스, 인코포레이티드 복수 유형의 챔버들을 갖는 통합형 층 식각 시스템
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) * 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10431470B2 (en) * 2017-02-23 2019-10-01 Tokyo Electron Limited Method of quasi-atomic layer etching of silicon nitride
KR102537742B1 (ko) * 2017-02-23 2023-05-26 도쿄엘렉트론가부시키가이샤 자가 정렬 블록 구조물들의 제조를 위한 실리콘 질화물 맨드렐의 이방성 추출 방법
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
CN112005342A (zh) * 2018-03-28 2020-11-27 应用材料公司 非晶硅的远程电容耦合等离子体沉积
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10910381B2 (en) * 2018-08-01 2021-02-02 Applied Materials, Inc. Multicolor approach to DRAM STI active cut patterning
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11574826B2 (en) 2019-07-12 2023-02-07 Applied Materials, Inc. High-density substrate processing systems and methods
KR20220031700A (ko) 2019-07-12 2022-03-11 어플라이드 머티어리얼스, 인코포레이티드 동시 기판 이송을 위한 로봇
US11117265B2 (en) 2019-07-12 2021-09-14 Applied Materials, Inc. Robot for simultaneous substrate transfer
WO2021011233A1 (en) 2019-07-12 2021-01-21 Applied Materials, Inc. Robot for simultaneous substrate transfer
US11443973B2 (en) 2019-07-12 2022-09-13 Applied Materials, Inc. Robot for simultaneous substrate transfer
US11791181B2 (en) 2019-09-18 2023-10-17 Beijing E-Town Semiconductor Technology Co., Ltd Methods for the treatment of workpieces
WO2021150419A1 (en) * 2020-01-24 2021-07-29 Tokyo Electron Limited High-throughput dry etching of silicon oxide and silicon nitride materials by in-situ autocatalyst formation
KR102559095B1 (ko) * 2020-11-11 2023-07-25 주식회사 테스 기판 처리 방법
KR20220167544A (ko) 2021-06-14 2022-12-21 정지우 이종 약을 수용한 병뚜껑

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4904341A (en) * 1988-08-22 1990-02-27 Westinghouse Electric Corp. Selective silicon dioxide etchant for superconductor integrated circuits
US6235643B1 (en) * 1999-08-10 2001-05-22 Applied Materials, Inc. Method for etching a trench having rounded top and bottom corners in a silicon substrate
US20090255902A1 (en) * 2004-11-15 2009-10-15 Tokyo Electron Limited Focus ring, plasma etching apparatus and plasma etching method
US20100075503A1 (en) * 2008-09-19 2010-03-25 Applied Materials, Inc. Integral patterning of large features along with array using spacer mask patterning process flow
US20110000623A1 (en) * 2009-07-06 2011-01-06 Sdi Corporation Rotatable knob controlled adhesive tape dispenser

Family Cites Families (572)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2369620A (en) 1941-03-07 1945-02-13 Battelle Development Corp Method of coating cupreous metal with tin
US3451840A (en) 1965-10-06 1969-06-24 Us Air Force Wire coated with boron nitride and boron
US4232060A (en) 1979-01-22 1980-11-04 Richardson Chemical Company Method of preparing substrate surface for electroless plating and products produced thereby
US4397812A (en) 1974-05-24 1983-08-09 Richardson Chemical Company Electroless nickel polyalloys
US4632857A (en) 1974-05-24 1986-12-30 Richardson Chemical Company Electrolessly plated product having a polymetallic catalytic film underlayer
US3937857A (en) 1974-07-22 1976-02-10 Amp Incorporated Catalyst for electroless deposition of metals
US4006047A (en) 1974-07-22 1977-02-01 Amp Incorporated Catalysts for electroless deposition of metals on comparatively low-temperature polyolefin and polyester substrates
US4265943A (en) 1978-11-27 1981-05-05 Macdermid Incorporated Method and composition for continuous electroless copper deposition using a hypophosphite reducing agent in the presence of cobalt or nickel ions
US4234628A (en) 1978-11-28 1980-11-18 The Harshaw Chemical Company Two-step preplate system for polymeric surfaces
US4214946A (en) 1979-02-21 1980-07-29 International Business Machines Corporation Selective reactive ion etching of polysilicon against SiO2 utilizing SF6 -Cl2 -inert gas etchant
US4209357A (en) 1979-05-18 1980-06-24 Tegal Corporation Plasma reactor apparatus
IT1130955B (it) 1980-03-11 1986-06-18 Oronzio De Nora Impianti Procedimento per la formazione di elettroci sulle superficie di membrane semipermeabili e sistemi elettrodo-membrana cosi' prodotti
US4368223A (en) 1981-06-01 1983-01-11 Asahi Glass Company, Ltd. Process for preparing nickel layer
DE3205345A1 (de) 1982-02-15 1983-09-01 Philips Patentverwaltung Gmbh, 2000 Hamburg "verfahren zur herstellung von fluordotierten lichtleitfasern"
US4585920A (en) 1982-05-21 1986-04-29 Tegal Corporation Plasma reactor removable insert
JPS6060060A (ja) 1983-09-12 1985-04-06 株式会社日立製作所 鉄道車両の扉開閉装置
US4579618A (en) 1984-01-06 1986-04-01 Tegal Corporation Plasma reactor apparatus
US4656052A (en) 1984-02-13 1987-04-07 Kyocera Corporation Process for production of high-hardness boron nitride film
US4571819A (en) 1984-11-01 1986-02-25 Ncr Corporation Method for forming trench isolation structures
US4807016A (en) 1985-07-15 1989-02-21 Texas Instruments Incorporated Dry etch of phosphosilicate glass with selectivity to undoped oxide
US4714520A (en) 1985-07-25 1987-12-22 Advanced Micro Devices, Inc. Method for filling a trench in an integrated circuit structure without producing voids
US4749440A (en) 1985-08-28 1988-06-07 Fsi Corporation Gaseous process and apparatus for removing films from substrates
US4690746A (en) 1986-02-24 1987-09-01 Genus, Inc. Interlayer dielectric process
US5228501A (en) 1986-12-19 1993-07-20 Applied Materials, Inc. Physical vapor deposition clamping mechanism and heater/cooler
US4951601A (en) 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US4960488A (en) 1986-12-19 1990-10-02 Applied Materials, Inc. Reactor chamber self-cleaning process
US4892753A (en) 1986-12-19 1990-01-09 Applied Materials, Inc. Process for PECVD of silicon oxide using TEOS decomposition
US4872947A (en) 1986-12-19 1989-10-10 Applied Materials, Inc. CVD of silicon oxide using TEOS decomposition and in-situ planarization process
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
JPS63204726A (ja) 1987-02-20 1988-08-24 Anelva Corp 真空処理装置
US5322976A (en) 1987-02-24 1994-06-21 Polyonics Corporation Process for forming polyimide-metal laminates
US4868071A (en) 1987-02-24 1989-09-19 Polyonics Corporation Thermally stable dual metal coated laminate products made from textured polyimide film
EP0283311B1 (en) 1987-03-18 2001-08-01 Kabushiki Kaisha Toshiba Thin film forming method
US5198034A (en) 1987-03-31 1993-03-30 Epsilon Technology, Inc. Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment
DE3884653T2 (de) 1987-04-03 1994-02-03 Fujitsu Ltd Verfahren und Vorrichtung zur Gasphasenabscheidung von Diamant.
US4753898A (en) 1987-07-09 1988-06-28 Motorola, Inc. LDD CMOS process
US4886570A (en) 1987-07-16 1989-12-12 Texas Instruments Incorporated Processing apparatus and method
US4810520A (en) 1987-09-23 1989-03-07 Magnetic Peripherals Inc. Method for controlling electroless magnetic plating
US4981551A (en) 1987-11-03 1991-01-01 North Carolina State University Dry etching of silicon carbide
US4865685A (en) 1987-11-03 1989-09-12 North Carolina State University Dry etching of silicon carbide
US4851370A (en) 1987-12-28 1989-07-25 American Telephone And Telegraph Company, At&T Bell Laboratories Fabricating a semiconductor device with low defect density oxide
US4894352A (en) 1988-10-26 1990-01-16 Texas Instruments Inc. Deposition of silicon-containing films using organosilicon compounds and nitrogen trifluoride
US5030319A (en) 1988-12-27 1991-07-09 Kabushiki Kaisha Toshiba Method of oxide etching with condensed plasma reaction product
US4985372A (en) 1989-02-17 1991-01-15 Tokyo Electron Limited Method of forming conductive layer including removal of native oxide
IT216961Z2 (it) 1989-03-07 1991-10-21 Roltra Spa Dispositivo attuatore per bloccaserratura elettrico
US5186718A (en) 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US5061838A (en) 1989-06-23 1991-10-29 Massachusetts Institute Of Technology Toroidal electron cyclotron resonance reactor
US5270125A (en) 1989-07-11 1993-12-14 Redwood Microsystems, Inc. Boron nutride membrane in wafer structure
US5013691A (en) 1989-07-31 1991-05-07 At&T Bell Laboratories Anisotropic deposition of silicon dioxide
US4994404A (en) 1989-08-28 1991-02-19 Motorola, Inc. Method for forming a lightly-doped drain (LDD) structure in a semiconductor device
DE69111493T2 (de) 1990-03-12 1996-03-21 Ngk Insulators Ltd Wafer-Heizgeräte für Apparate, zur Halbleiterherstellung Heizanlage mit diesen Heizgeräten und Herstellung von Heizgeräten.
JP2960466B2 (ja) 1990-03-19 1999-10-06 株式会社日立製作所 半導体デバイスの配線絶縁膜の形成方法及びその装置
US5089441A (en) 1990-04-16 1992-02-18 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafers
US5147692A (en) 1990-05-08 1992-09-15 Macdermid, Incorporated Electroless plating of nickel onto surfaces such as copper or fused tungston
US5238499A (en) 1990-07-16 1993-08-24 Novellus Systems, Inc. Gas-based substrate protection during processing
JPH04228572A (ja) 1990-08-10 1992-08-18 Sumitomo Electric Ind Ltd 硬質窒化ホウ素合成法
US5235139A (en) 1990-09-12 1993-08-10 Macdermid, Incorprated Method for fabricating printed circuits
US5089442A (en) 1990-09-20 1992-02-18 At&T Bell Laboratories Silicon dioxide deposition method using a magnetic field and both sputter deposition and plasma-enhanced cvd
KR930011413B1 (ko) 1990-09-25 1993-12-06 가부시키가이샤 한도오따이 에네루기 겐큐쇼 펄스형 전자파를 사용한 플라즈마 cvd 법
US5549780A (en) 1990-10-23 1996-08-27 Semiconductor Energy Laboratory Co., Ltd. Method for plasma processing and apparatus for plasma processing
JP2640174B2 (ja) 1990-10-30 1997-08-13 三菱電機株式会社 半導体装置およびその製造方法
JP3206916B2 (ja) 1990-11-28 2001-09-10 住友電気工業株式会社 欠陥濃度低減方法、紫外線透過用光学ガラスの製造方法及び紫外線透過用光学ガラス
US5578130A (en) 1990-12-12 1996-11-26 Semiconductor Energy Laboratory Co., Ltd. Apparatus and method for depositing a film
WO1992012535A1 (en) 1991-01-08 1992-07-23 Fujitsu Limited Process for forming silicon oxide film
JP2697315B2 (ja) 1991-01-23 1998-01-14 日本電気株式会社 フッ素含有シリコン酸化膜の形成方法
JP2787142B2 (ja) 1991-03-01 1998-08-13 上村工業 株式会社 無電解錫、鉛又はそれらの合金めっき方法
US5897751A (en) 1991-03-11 1999-04-27 Regents Of The University Of California Method of fabricating boron containing coatings
JPH04341568A (ja) 1991-05-16 1992-11-27 Toshiba Corp 薄膜形成方法及び薄膜形成装置
DE69224640T2 (de) 1991-05-17 1998-10-01 Lam Res Corp VERFAHREN ZUR BESCHICHTUNG EINES SIOx FILMES MIT REDUZIERTER INTRINSISCHER SPANNUNG UND/ODER REDUZIERTEM WASSERSTOFFGEHALT
JP2699695B2 (ja) 1991-06-07 1998-01-19 日本電気株式会社 化学気相成長法
US5203911A (en) 1991-06-24 1993-04-20 Shipley Company Inc. Controlled electroless plating
US5279865A (en) 1991-06-28 1994-01-18 Digital Equipment Corporation High throughput interlevel dielectric gap filling process
US5240497A (en) 1991-10-08 1993-08-31 Cornell Research Foundation, Inc. Alkaline free electroless deposition
JPH05226480A (ja) 1991-12-04 1993-09-03 Nec Corp 半導体装置の製造方法
US5290382A (en) 1991-12-13 1994-03-01 Hughes Aircraft Company Methods and apparatus for generating a plasma for "downstream" rapid shaping of surfaces of substrates and films
US5352636A (en) 1992-01-16 1994-10-04 Applied Materials, Inc. In situ method for cleaning silicon surface and forming layer thereon in same chamber
JP3084497B2 (ja) 1992-03-25 2000-09-04 東京エレクトロン株式会社 SiO2膜のエッチング方法
JP2773530B2 (ja) 1992-04-15 1998-07-09 日本電気株式会社 半導体装置の製造方法
JP2792335B2 (ja) 1992-05-27 1998-09-03 日本電気株式会社 半導体装置の製造方法
US5252178A (en) 1992-06-24 1993-10-12 Texas Instruments Incorporated Multi-zone plasma processing method and apparatus
JP3688726B2 (ja) 1992-07-17 2005-08-31 株式会社東芝 半導体装置の製造方法
US5380560A (en) 1992-07-28 1995-01-10 International Business Machines Corporation Palladium sulfate solution for the selective seeding of the metal interconnections on polyimide dielectrics for electroless metal deposition
US5271972A (en) 1992-08-17 1993-12-21 Applied Materials, Inc. Method for depositing ozone/TEOS silicon oxide films of reduced surface sensitivity
US5306530A (en) 1992-11-23 1994-04-26 Associated Universities, Inc. Method for producing high quality thin layer films on substrates
KR100238629B1 (ko) 1992-12-17 2000-01-15 히가시 데쓰로 정전척을 가지는 재치대 및 이것을 이용한 플라즈마 처리장치
US5500249A (en) 1992-12-22 1996-03-19 Applied Materials, Inc. Uniform tungsten silicide films produced by chemical vapor deposition
US5756402A (en) 1992-12-28 1998-05-26 Kabushiki Kaisha Toshiba Method of etching silicon nitride film
US5624582A (en) 1993-01-21 1997-04-29 Vlsi Technology, Inc. Optimization of dry etching through the control of helium backside pressure
US5345999A (en) 1993-03-17 1994-09-13 Applied Materials, Inc. Method and apparatus for cooling semiconductor wafers
US5302233A (en) 1993-03-19 1994-04-12 Micron Semiconductor, Inc. Method for shaping features of a semiconductor structure using chemical mechanical planarization (CMP)
JP3236111B2 (ja) 1993-03-31 2001-12-10 キヤノン株式会社 プラズマ処理装置及び処理方法
US5800686A (en) 1993-04-05 1998-09-01 Applied Materials, Inc. Chemical vapor deposition chamber with substrate edge protection
KR0142150B1 (ko) 1993-04-09 1998-07-15 윌리엄 티. 엘리스 붕소 질화물을 에칭하기 위한 방법
US5416048A (en) 1993-04-16 1995-05-16 Micron Semiconductor, Inc. Method to slope conductor profile prior to dielectric deposition to improve dielectric step-coverage
US5591269A (en) 1993-06-24 1997-01-07 Tokyo Electron Limited Vacuum processing apparatus
US5560779A (en) 1993-07-12 1996-10-01 Olin Corporation Apparatus for synthesizing diamond films utilizing an arc plasma
WO1995002900A1 (en) 1993-07-15 1995-01-26 Astarix, Inc. Aluminum-palladium alloy for initiation of electroless plating
DE69421465T2 (de) 1993-07-30 2000-02-10 Applied Materials Inc Verfahren zur Ablagerung von Silzium-Nitrid auf Siliziumoberflächen
US5483920A (en) 1993-08-05 1996-01-16 Board Of Governors Of Wayne State University Method of forming cubic boron nitride films
US5468597A (en) 1993-08-25 1995-11-21 Shipley Company, L.L.C. Selective metallization process
US5384284A (en) 1993-10-01 1995-01-24 Micron Semiconductor, Inc. Method to form a low resistant bond pad interconnect
SE501888C2 (sv) 1993-10-18 1995-06-12 Ladislav Bardos En metod och en apparat för generering av en urladdning i egna ångor från en radiofrekvenselektrod för kontinuerlig självförstoftning av elektroden
US5505816A (en) 1993-12-16 1996-04-09 International Business Machines Corporation Etching of silicon dioxide selectively to silicon nitride and polysilicon
JPH07193214A (ja) 1993-12-27 1995-07-28 Mitsubishi Electric Corp バイアホール及びその形成方法
US5415890A (en) 1994-01-03 1995-05-16 Eaton Corporation Modular apparatus and method for surface treatment of parts with liquid baths
US5403434A (en) 1994-01-06 1995-04-04 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafer
US5451259A (en) 1994-02-17 1995-09-19 Krogh; Ole D. ECR plasma source for remote processing
US5439553A (en) 1994-03-30 1995-08-08 Penn State Research Foundation Controlled etching of oxides via gas phase reactions
US5468342A (en) 1994-04-28 1995-11-21 Cypress Semiconductor Corp. Method of etching an oxide layer
US5531835A (en) 1994-05-18 1996-07-02 Applied Materials, Inc. Patterned susceptor to reduce electrostatic force in a CVD chamber
US5767373A (en) 1994-06-16 1998-06-16 Novartis Finance Corporation Manipulation of protoporphyrinogen oxidase enzyme activity in eukaryotic organisms
EP0697467A1 (en) 1994-07-21 1996-02-21 Applied Materials, Inc. Method and apparatus for cleaning a deposition chamber
US5563105A (en) 1994-09-30 1996-10-08 International Business Machines Corporation PECVD method of depositing fluorine doped oxide using a fluorine precursor containing a glass-forming element
US5558717A (en) 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
US5571576A (en) 1995-02-10 1996-11-05 Watkins-Johnson Method of forming a fluorinated silicon oxide layer using plasma chemical vapor deposition
US6039851A (en) 1995-03-22 2000-03-21 Micron Technology, Inc. Reactive sputter faceting of silicon dioxide to enhance gap fill of spaces between metal lines
US5571577A (en) 1995-04-07 1996-11-05 Board Of Trustees Operating Michigan State University Method and apparatus for plasma treatment of a surface
US20010028922A1 (en) 1995-06-07 2001-10-11 Sandhu Gurtej S. High throughput ILD fill process for high aspect ratio gap fill
JP2814370B2 (ja) 1995-06-18 1998-10-22 東京エレクトロン株式会社 プラズマ処理装置
US6197364B1 (en) 1995-08-22 2001-03-06 International Business Machines Corporation Production of electroless Co(P) with designed coercivity
US5755859A (en) 1995-08-24 1998-05-26 International Business Machines Corporation Cobalt-tin alloys and their applications for devices, chip interconnections and packaging
US6053982A (en) 1995-09-01 2000-04-25 Asm America, Inc. Wafer support system
US6228751B1 (en) 1995-09-08 2001-05-08 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device
US5719085A (en) 1995-09-29 1998-02-17 Intel Corporation Shallow trench isolation technique
US5716506A (en) 1995-10-06 1998-02-10 Board Of Trustees Of The University Of Illinois Electrochemical sensors for gas detection
JPH09106899A (ja) 1995-10-11 1997-04-22 Anelva Corp プラズマcvd装置及び方法並びにドライエッチング装置及び方法
US5910340A (en) 1995-10-23 1999-06-08 C. Uyemura & Co., Ltd. Electroless nickel plating solution and method
US6015724A (en) 1995-11-02 2000-01-18 Semiconductor Energy Laboratory Co. Manufacturing method of a semiconductor device
US5648125A (en) 1995-11-16 1997-07-15 Cane; Frank N. Electroless plating process for the manufacture of printed circuit boards
US5599740A (en) 1995-11-16 1997-02-04 Taiwan Semiconductor Manufacturing Company, Ltd. Deposit-etch-deposit ozone/teos insulator layer method
US5846598A (en) 1995-11-30 1998-12-08 International Business Machines Corporation Electroless plating of metallic features on nonmetallic or semiconductor layer without extraneous plating
US5733816A (en) 1995-12-13 1998-03-31 Micron Technology, Inc. Method for depositing a tungsten layer on silicon
US6261637B1 (en) 1995-12-15 2001-07-17 Enthone-Omi, Inc. Use of palladium immersion deposition to selectively initiate electroless plating on Ti and W alloys for wafer fabrication
EP0811083B1 (en) 1995-12-19 2000-05-31 FSI International Electroless deposition of metal films with spray processor
EP0870327B1 (en) 1995-12-27 2002-09-11 Lam Research Corporation Method for filling trenches in a semiconductor wafer
US5679606A (en) 1995-12-27 1997-10-21 Taiwan Semiconductor Manufacturing Company, Ltd. method of forming inter-metal-dielectric structure
US6191026B1 (en) 1996-01-09 2001-02-20 Applied Materials, Inc. Method for submicron gap filling on a semiconductor substrate
US5674787A (en) 1996-01-16 1997-10-07 Sematech, Inc. Selective electroless copper deposited interconnect plugs for ULSI applications
US5824599A (en) 1996-01-16 1998-10-20 Cornell Research Foundation, Inc. Protected encapsulation of catalytic layer for electroless copper interconnect
US5891513A (en) 1996-01-16 1999-04-06 Cornell Research Foundation Electroless CU deposition on a barrier layer by CU contact displacement for ULSI applications
US5872052A (en) 1996-02-12 1999-02-16 Micron Technology, Inc. Planarization using plasma oxidized amorphous silicon
US5648175A (en) 1996-02-14 1997-07-15 Applied Materials, Inc. Chemical vapor deposition reactor system and integrated circuit
US5656093A (en) 1996-03-08 1997-08-12 Applied Materials, Inc. Wafer spacing mask for a substrate support chuck and method of fabricating same
US5951601A (en) 1996-03-25 1999-09-14 Lesinski; S. George Attaching an implantable hearing aid microactuator
US5858876A (en) 1996-04-01 1999-01-12 Chartered Semiconductor Manufacturing, Ltd. Simultaneous deposit and etch method for forming a void-free and gap-filling insulator layer upon a patterned substrate layer
US5712185A (en) 1996-04-23 1998-01-27 United Microelectronics Method for forming shallow trench isolation
US6313035B1 (en) 1996-05-31 2001-11-06 Micron Technology, Inc. Chemical vapor deposition using organometallic precursors
US6048798A (en) 1996-06-05 2000-04-11 Lam Research Corporation Apparatus for reducing process drift in inductive coupled plasma etching such as oxide layer
US5820723A (en) 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US5993916A (en) 1996-07-12 1999-11-30 Applied Materials, Inc. Method for substrate processing with improved throughput and yield
US5846332A (en) 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
US6170428B1 (en) 1996-07-15 2001-01-09 Applied Materials, Inc. Symmetric tunable inductively coupled HDP-CVD reactor
US5781693A (en) 1996-07-24 1998-07-14 Applied Materials, Inc. Gas introduction showerhead for an RTP chamber with upper and lower transparent plates and gas flow therebetween
US20010012700A1 (en) 1998-12-15 2001-08-09 Klaus F. Schuegraf Semiconductor processing methods of chemical vapor depositing sio2 on a substrate
US5661093A (en) 1996-09-12 1997-08-26 Applied Materials, Inc. Method for the stabilization of halogen-doped films through the use of multiple sealing layers
US5888906A (en) 1996-09-16 1999-03-30 Micron Technology, Inc. Plasmaless dry contact cleaning method using interhalogen compounds
US5747373A (en) 1996-09-24 1998-05-05 Taiwan Semiconductor Manufacturing Company Ltd. Nitride-oxide sidewall spacer for salicide formation
US5846375A (en) 1996-09-26 1998-12-08 Micron Technology, Inc. Area specific temperature control for electrode plates and chucks used in semiconductor processing equipment
US5904827A (en) 1996-10-15 1999-05-18 Reynolds Tech Fabricators, Inc. Plating cell with rotary wiper and megasonic transducer
US5951776A (en) 1996-10-25 1999-09-14 Applied Materials, Inc. Self aligning lift mechanism
KR100237825B1 (ko) 1996-11-05 2000-01-15 윤종용 반도체장치 제조설비의 페디스탈
US5804259A (en) 1996-11-07 1998-09-08 Applied Materials, Inc. Method and apparatus for depositing a multilayered low dielectric constant film
US5935334A (en) 1996-11-13 1999-08-10 Applied Materials, Inc. Substrate processing apparatus with bottom-mounted remote plasma system
US5812403A (en) 1996-11-13 1998-09-22 Applied Materials, Inc. Methods and apparatus for cleaning surfaces in a substrate processing system
US5939831A (en) 1996-11-13 1999-08-17 Applied Materials, Inc. Methods and apparatus for pre-stabilized plasma generation for microwave clean applications
US5882786A (en) 1996-11-15 1999-03-16 C3, Inc. Gemstones formed of silicon carbide with diamond coating
US5830805A (en) 1996-11-18 1998-11-03 Cornell Research Foundation Electroless deposition equipment or apparatus and method of performing electroless deposition
US6152070A (en) 1996-11-18 2000-11-28 Applied Materials, Inc. Tandem process chamber
US5844195A (en) 1996-11-18 1998-12-01 Applied Materials, Inc. Remote plasma source
US5855681A (en) 1996-11-18 1999-01-05 Applied Materials, Inc. Ultra high throughput wafer vacuum processing system
US5695810A (en) 1996-11-20 1997-12-09 Cornell Research Foundation, Inc. Use of cobalt tungsten phosphide as a barrier material for copper metallization
FR2756663B1 (fr) 1996-12-04 1999-02-26 Berenguer Marc Procede de traitement d'un substrat semi-conducteur comprenant une etape de traitement de surface
US5843538A (en) 1996-12-09 1998-12-01 John L. Raymond Method for electroless nickel plating of metal substrates
US5953635A (en) 1996-12-19 1999-09-14 Intel Corporation Interlayer dielectric with a composite dielectric stack
US5913140A (en) 1996-12-23 1999-06-15 Lam Research Corporation Method for reduction of plasma charging damage during chemical vapor deposition
DE19700231C2 (de) 1997-01-07 2001-10-04 Geesthacht Gkss Forschung Vorrichtung zum Filtern und Trennen von Strömungsmedien
US5913147A (en) 1997-01-21 1999-06-15 Advanced Micro Devices, Inc. Method for fabricating copper-aluminum metallization
JPH10223608A (ja) 1997-02-04 1998-08-21 Sony Corp 半導体装置の製造方法
US5800621A (en) 1997-02-10 1998-09-01 Applied Materials, Inc. Plasma source for HDP-CVD chamber
US6035101A (en) 1997-02-12 2000-03-07 Applied Materials, Inc. High temperature multi-layered alloy heater assembly and related methods
US6013584A (en) 1997-02-19 2000-01-11 Applied Materials, Inc. Methods and apparatus for forming HDP-CVD PSG film used for advanced pre-metal dielectric layer applications
US6190233B1 (en) 1997-02-20 2001-02-20 Applied Materials, Inc. Method and apparatus for improving gap-fill capability using chemical and physical etchbacks
US5990000A (en) 1997-02-20 1999-11-23 Applied Materials, Inc. Method and apparatus for improving gap-fill capability using chemical and physical etchbacks
US6479373B2 (en) 1997-02-20 2002-11-12 Infineon Technologies Ag Method of structuring layers with a polysilicon layer and an overlying metal or metal silicide layer using a three step etching process with fluorine, chlorine, bromine containing gases
US6059643A (en) 1997-02-21 2000-05-09 Aplex, Inc. Apparatus and method for polishing a flat surface using a belted polishing pad
US5789300A (en) 1997-02-25 1998-08-04 Advanced Micro Devices, Inc. Method of making IGFETs in densely and sparsely populated areas of a substrate
US5850105A (en) 1997-03-21 1998-12-15 Advanced Micro Devices, Inc. Substantially planar semiconductor topography using dielectrics and chemical mechanical polish
US6030666A (en) 1997-03-31 2000-02-29 Lam Research Corporation Method for microwave plasma substrate heating
US5786276A (en) 1997-03-31 1998-07-28 Applied Materials, Inc. Selective plasma etching of silicon nitride in presence of silicon or silicon oxides using mixture of CH3F or CH2F2 and CF4 and O2
US5968610A (en) 1997-04-02 1999-10-19 United Microelectronics Corp. Multi-step high density plasma chemical vapor deposition process
US6204200B1 (en) 1997-05-05 2001-03-20 Texas Instruments Incorporated Process scheme to form controlled airgaps between interconnect lines to reduce capacitance
US6149828A (en) 1997-05-05 2000-11-21 Micron Technology, Inc. Supercritical etching compositions and method of using same
US5969422A (en) 1997-05-15 1999-10-19 Advanced Micro Devices, Inc. Plated copper interconnect structure
US5838055A (en) 1997-05-29 1998-11-17 International Business Machines Corporation Trench sidewall patterned by vapor phase etching
US6083344A (en) 1997-05-29 2000-07-04 Applied Materials, Inc. Multi-zone RF inductively coupled source configuration
US6189483B1 (en) 1997-05-29 2001-02-20 Applied Materials, Inc. Process kit
US6136685A (en) 1997-06-03 2000-10-24 Applied Materials, Inc. High deposition rate recipe for low dielectric constant films
US5937323A (en) 1997-06-03 1999-08-10 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-k HDP-CVD processing
US6706334B1 (en) 1997-06-04 2004-03-16 Tokyo Electron Limited Processing method and apparatus for removing oxide film
US5872058A (en) 1997-06-17 1999-02-16 Novellus Systems, Inc. High aspect ratio gapfill process by using HDP
US5885749A (en) 1997-06-20 1999-03-23 Clear Logic, Inc. Method of customizing integrated circuits by selective secondary deposition of layer interconnect material
US5933757A (en) 1997-06-23 1999-08-03 Lsi Logic Corporation Etch process selective to cobalt silicide for formation of integrated circuit structures
US6150628A (en) 1997-06-26 2000-11-21 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US6518155B1 (en) 1997-06-30 2003-02-11 Intel Corporation Device structure and method for reducing silicide encroachment
US6364957B1 (en) 1997-10-09 2002-04-02 Applied Materials, Inc. Support assembly with thermal expansion compensation
GB9722028D0 (en) 1997-10-17 1997-12-17 Shipley Company Ll C Plating of polymers
US6379575B1 (en) 1997-10-21 2002-04-30 Applied Materials, Inc. Treatment of etching chambers using activated cleaning gas
US6136693A (en) 1997-10-27 2000-10-24 Chartered Semiconductor Manufacturing Ltd. Method for planarized interconnect vias using electroless plating and CMP
US6013191A (en) 1997-10-27 2000-01-11 Advanced Refractory Technologies, Inc. Method of polishing CVD diamond films by oxygen plasma
US6063712A (en) 1997-11-25 2000-05-16 Micron Technology, Inc. Oxide etch and method of etching
US5849639A (en) 1997-11-26 1998-12-15 Lucent Technologies Inc. Method for removing etching residues and contaminants
US6077780A (en) 1997-12-03 2000-06-20 Advanced Micro Devices, Inc. Method for filling high aspect ratio openings of an integrated circuit to minimize electromigration failure
US5976327A (en) 1997-12-12 1999-11-02 Applied Materials, Inc. Step coverage and overhang improvement by pedestal bias voltage modulation
US6143476A (en) 1997-12-12 2000-11-07 Applied Materials Inc Method for high temperature etching of patterned layers using an organic mask stack
US6406759B1 (en) 1998-01-08 2002-06-18 The University Of Tennessee Research Corporation Remote exposure of workpieces using a recirculated plasma
JPH11204442A (ja) 1998-01-12 1999-07-30 Tokyo Electron Ltd 枚葉式の熱処理装置
US6140234A (en) 1998-01-20 2000-10-31 International Business Machines Corporation Method to selectively fill recesses with conductive metal
US5932077A (en) 1998-02-09 1999-08-03 Reynolds Tech Fabricators, Inc. Plating cell with horizontal product load mechanism
US6627532B1 (en) 1998-02-11 2003-09-30 Applied Materials, Inc. Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition
US6340435B1 (en) 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6197688B1 (en) 1998-02-12 2001-03-06 Motorola Inc. Interconnect structure in a semiconductor device and method of formation
US6171661B1 (en) 1998-02-25 2001-01-09 Applied Materials, Inc. Deposition of copper with increased adhesion
US5920792A (en) 1998-03-19 1999-07-06 Winbond Electronics Corp High density plasma enhanced chemical vapor deposition process in combination with chemical mechanical polishing process for preparation and planarization of intemetal dielectric layers
US6194038B1 (en) 1998-03-20 2001-02-27 Applied Materials, Inc. Method for deposition of a conformal layer on a substrate
US6197181B1 (en) 1998-03-20 2001-03-06 Semitool, Inc. Apparatus and method for electrolytically depositing a metal on a microelectronic workpiece
US6565729B2 (en) 1998-03-20 2003-05-20 Semitool, Inc. Method for electrochemically depositing metal on a semiconductor workpiece
US6602434B1 (en) 1998-03-27 2003-08-05 Applied Materials, Inc. Process for etching oxide using hexafluorobutadiene or related fluorocarbons and manifesting a wide process window
US6395150B1 (en) 1998-04-01 2002-05-28 Novellus Systems, Inc. Very high aspect ratio gapfill using HDP
EP1070346A1 (en) 1998-04-02 2001-01-24 Applied Materials, Inc. Method for etching low k dielectrics
US6117245A (en) 1998-04-08 2000-09-12 Applied Materials, Inc. Method and apparatus for controlling cooling and heating fluids for a gas distribution plate
US6416647B1 (en) 1998-04-21 2002-07-09 Applied Materials, Inc. Electro-chemical deposition cell for face-up processing of single semiconductor substrates
US6113771A (en) 1998-04-21 2000-09-05 Applied Materials, Inc. Electro deposition chemistry
US6179924B1 (en) 1998-04-28 2001-01-30 Applied Materials, Inc. Heater for use in substrate processing apparatus to deposit tungsten
US6093594A (en) 1998-04-29 2000-07-25 Advanced Micro Devices, Inc. CMOS optimization method utilizing sacrificial sidewall spacer
US6030881A (en) 1998-05-05 2000-02-29 Novellus Systems, Inc. High throughput chemical vapor deposition process capable of filling high aspect ratio structures
DE69835276T2 (de) 1998-05-22 2007-07-12 Applied Materials, Inc., Santa Clara Verfahren zur Herstellung einer selbst-planarisierten dielektrischen Schicht für eine seichte Grabenisolation
US6086677A (en) 1998-06-16 2000-07-11 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
KR100296137B1 (ko) 1998-06-16 2001-08-07 박종섭 보호막으로서고밀도플라즈마화학기상증착에의한절연막을갖는반도체소자제조방법
JP2000012514A (ja) 1998-06-19 2000-01-14 Hitachi Ltd 後処理方法
US6147009A (en) 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
US6562128B1 (en) 2001-11-28 2003-05-13 Seh America, Inc. In-situ post epitaxial treatment process
ATE316426T1 (de) 1998-06-30 2006-02-15 Semitool Inc Metallisierungsstrukturen für mikroelektronische anwendungen und verfahren zur herstellung dieser strukturen
US6037018A (en) 1998-07-01 2000-03-14 Taiwan Semiconductor Maufacturing Company Shallow trench isolation filled by high density plasma chemical vapor deposition
US6248429B1 (en) 1998-07-06 2001-06-19 Micron Technology, Inc. Metallized recess in a substrate
US6063683A (en) 1998-07-27 2000-05-16 Acer Semiconductor Manufacturing, Inc. Method of fabricating a self-aligned crown-shaped capacitor for high density DRAM cells
US6436816B1 (en) 1998-07-31 2002-08-20 Industrial Technology Research Institute Method of electroless plating copper on nitride barrier
US6074954A (en) 1998-08-31 2000-06-13 Applied Materials, Inc Process for control of the shape of the etch front in the etching of polysilicon
US6383951B1 (en) 1998-09-03 2002-05-07 Micron Technology, Inc. Low dielectric constant material for integrated circuit fabrication
US6440863B1 (en) 1998-09-04 2002-08-27 Taiwan Semiconductor Manufacturing Company Plasma etch method for forming patterned oxygen containing plasma etchable layer
US6165912A (en) 1998-09-17 2000-12-26 Cfmt, Inc. Electroless metal deposition of electronic components in an enclosable vessel
US6037266A (en) 1998-09-28 2000-03-14 Taiwan Semiconductor Manufacturing Company Method for patterning a polysilicon gate with a thin gate oxide in a polysilicon etcher
US6180523B1 (en) 1998-10-13 2001-01-30 Industrial Technology Research Institute Copper metallization of USLI by electroless process
US6228758B1 (en) 1998-10-14 2001-05-08 Advanced Micro Devices, Inc. Method of making dual damascene conductive interconnections and integrated circuit device comprising same
US6251802B1 (en) 1998-10-19 2001-06-26 Micron Technology, Inc. Methods of forming carbon-containing layers
US6107199A (en) 1998-10-24 2000-08-22 International Business Machines Corporation Method for improving the morphology of refractory metal thin films
JP3064268B2 (ja) 1998-10-29 2000-07-12 アプライド マテリアルズ インコーポレイテッド 成膜方法及び装置
US6176198B1 (en) 1998-11-02 2001-01-23 Applied Materials, Inc. Apparatus and method for depositing low K dielectric materials
US6462371B1 (en) 1998-11-24 2002-10-08 Micron Technology Inc. Films doped with carbon for use in integrated circuit technology
US6203863B1 (en) 1998-11-27 2001-03-20 United Microelectronics Corp. Method of gap filling
US6251236B1 (en) 1998-11-30 2001-06-26 Applied Materials, Inc. Cathode contact ring for electrochemical deposition
US6258220B1 (en) 1998-11-30 2001-07-10 Applied Materials, Inc. Electro-chemical deposition system
US6228233B1 (en) 1998-11-30 2001-05-08 Applied Materials, Inc. Inflatable compliant bladder assembly
US6015747A (en) 1998-12-07 2000-01-18 Advanced Micro Device Method of metal/polysilicon gate formation in a field effect transistor
US6242349B1 (en) 1998-12-09 2001-06-05 Advanced Micro Devices, Inc. Method of forming copper/copper alloy interconnection with reduced electromigration
US6364954B2 (en) 1998-12-14 2002-04-02 Applied Materials, Inc. High temperature chemical vapor deposition chamber
EP1014434B1 (de) 1998-12-24 2008-03-26 ATMEL Germany GmbH Verfahren zum anisotropen plasmachemischen Trockenätzen von Siliziumnitrid-Schichten mittels eines Fluor-enthaltenden Gasgemisches
KR20000044928A (ko) 1998-12-30 2000-07-15 김영환 반도체 소자의 트랜치 형성 방법
TW428256B (en) 1999-01-25 2001-04-01 United Microelectronics Corp Structure of conducting-wire layer and its fabricating method
US6245669B1 (en) 1999-02-05 2001-06-12 Taiwan Semiconductor Manufacturing Company High selectivity Si-rich SiON etch-stop layer
US6010962A (en) 1999-02-12 2000-01-04 Taiwan Semiconductor Manufacturing Company Copper chemical-mechanical-polishing (CMP) dishing
US6245670B1 (en) 1999-02-19 2001-06-12 Advanced Micro Devices, Inc. Method for filling a dual damascene opening having high aspect ratio to minimize electromigration failure
US6136163A (en) 1999-03-05 2000-10-24 Applied Materials, Inc. Apparatus for electro-chemical deposition with thermal anneal chamber
US6312995B1 (en) 1999-03-08 2001-11-06 Advanced Micro Devices, Inc. MOS transistor with assisted-gates and ultra-shallow “Psuedo” source and drain extensions for ultra-large-scale integration
US6197705B1 (en) 1999-03-18 2001-03-06 Chartered Semiconductor Manufacturing Ltd. Method of silicon oxide and silicon glass films deposition
US6144099A (en) 1999-03-30 2000-11-07 Advanced Micro Devices, Inc. Semiconductor metalization barrier
US6238582B1 (en) 1999-03-30 2001-05-29 Veeco Instruments, Inc. Reactive ion beam etching method and a thin film head fabricated using the method
US6099697A (en) 1999-04-13 2000-08-08 Applied Materials, Inc. Method of and apparatus for restoring a support surface in a semiconductor wafer processing system
US6110836A (en) 1999-04-22 2000-08-29 Applied Materials, Inc. Reactive plasma etch cleaning of high aspect ratio openings
US6541671B1 (en) 2002-02-13 2003-04-01 The Regents Of The University Of California Synthesis of 2H- and 13C-substituted dithanes
JP3099066B1 (ja) 1999-05-07 2000-10-16 東京工業大学長 薄膜構造体の製造方法
US6323128B1 (en) 1999-05-26 2001-11-27 International Business Machines Corporation Method for forming Co-W-P-Au films
US6174812B1 (en) 1999-06-08 2001-01-16 United Microelectronics Corp. Copper damascene technology for ultra large scale integration circuits
US20020033233A1 (en) 1999-06-08 2002-03-21 Stephen E. Savas Icp reactor having a conically-shaped plasma-generating section
US6821571B2 (en) 1999-06-18 2004-11-23 Applied Materials Inc. Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers
US6110530A (en) 1999-06-25 2000-08-29 Applied Materials, Inc. CVD method of depositing copper films by using improved organocopper precursor blend
US6277752B1 (en) 1999-06-28 2001-08-21 Taiwan Semiconductor Manufacturing Company Multiple etch method for forming residue free patterned hard mask layer
US6516815B1 (en) 1999-07-09 2003-02-11 Applied Materials, Inc. Edge bead removal/spin rinse dry (EBR/SRD) module
US6258223B1 (en) 1999-07-09 2001-07-10 Applied Materials, Inc. In-situ electroless copper seed layer enhancement in an electroplating system
US6351013B1 (en) 1999-07-13 2002-02-26 Advanced Micro Devices, Inc. Low-K sub spacer pocket formation for gate capacitance reduction
US6342733B1 (en) 1999-07-27 2002-01-29 International Business Machines Corporation Reduced electromigration and stressed induced migration of Cu wires by surface coating
US6375748B1 (en) 1999-09-01 2002-04-23 Applied Materials, Inc. Method and apparatus for preventing edge deposition
US6441492B1 (en) 1999-09-10 2002-08-27 James A. Cunningham Diffusion barriers for copper interconnect systems
US6503843B1 (en) 1999-09-21 2003-01-07 Applied Materials, Inc. Multistep chamber cleaning and film deposition process using a remote plasma that also enhances film gap fill
US6432819B1 (en) 1999-09-27 2002-08-13 Applied Materials, Inc. Method and apparatus of forming a sputtered doped seed layer
US6153935A (en) 1999-09-30 2000-11-28 International Business Machines Corporation Dual etch stop/diffusion barrier for damascene interconnects
US6287643B1 (en) 1999-09-30 2001-09-11 Novellus Systems, Inc. Apparatus and method for injecting and modifying gas concentration of a meta-stable or atomic species in a downstream plasma reactor
US6364949B1 (en) 1999-10-19 2002-04-02 Applied Materials, Inc. 300 mm CVD chamber design for metal-organic thin film deposition
KR100338768B1 (ko) 1999-10-25 2002-05-30 윤종용 산화막 제거방법 및 산화막 제거를 위한 반도체 제조 장치
US20010041444A1 (en) 1999-10-29 2001-11-15 Jeffrey A. Shields Tin contact barc for tungsten polished contacts
US6551924B1 (en) 1999-11-02 2003-04-22 International Business Machines Corporation Post metalization chem-mech polishing dielectric etch
KR20010051575A (ko) 1999-11-09 2001-06-25 조셉 제이. 스위니 살리사이드 처리를 위한 화학적 플라즈마 세정
TW484170B (en) 1999-11-30 2002-04-21 Applied Materials Inc Integrated modular processing platform
US6342453B1 (en) 1999-12-03 2002-01-29 Applied Materials, Inc. Method for CVD process control for enhancing device performance
US6238513B1 (en) 1999-12-28 2001-05-29 International Business Machines Corporation Wafer lift assembly
KR100767762B1 (ko) 2000-01-18 2007-10-17 에이에스엠 저펜 가부시기가이샤 자가 세정을 위한 원격 플라즈마 소스를 구비한 cvd 반도체 공정장치
US6772827B2 (en) 2000-01-20 2004-08-10 Applied Materials, Inc. Suspended gas distribution manifold for plasma chamber
US6477980B1 (en) 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US6656831B1 (en) 2000-01-26 2003-12-02 Applied Materials, Inc. Plasma-enhanced chemical vapor deposition of a metal nitride layer
US6494959B1 (en) 2000-01-28 2002-12-17 Applied Materials, Inc. Process and apparatus for cleaning a silicon surface
US6743473B1 (en) 2000-02-16 2004-06-01 Applied Materials, Inc. Chemical vapor deposition of barriers from novel precursors
US6573030B1 (en) 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US6319766B1 (en) 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
US6350320B1 (en) 2000-02-22 2002-02-26 Applied Materials, Inc. Heater for processing chamber
US6391788B1 (en) 2000-02-25 2002-05-21 Applied Materials, Inc. Two etchant etch method
JP3979791B2 (ja) 2000-03-08 2007-09-19 株式会社ルネサステクノロジ 半導体装置およびその製造方法
US6527968B1 (en) 2000-03-27 2003-03-04 Applied Materials Inc. Two-stage self-cleaning silicon etch process
JP2001355074A (ja) 2000-04-10 2001-12-25 Sony Corp 無電解メッキ処理方法およびその装置
US7892974B2 (en) 2000-04-11 2011-02-22 Cree, Inc. Method of forming vias in silicon carbide and resulting devices and circuits
JP2001308023A (ja) 2000-04-21 2001-11-02 Tokyo Electron Ltd 熱処理装置及び方法
US6387207B1 (en) 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
US6679981B1 (en) 2000-05-11 2004-01-20 Applied Materials, Inc. Inductive plasma loop enhancing magnetron sputtering
US6335261B1 (en) 2000-05-31 2002-01-01 International Business Machines Corporation Directional CVD process with optimized etchback
US6603269B1 (en) 2000-06-13 2003-08-05 Applied Materials, Inc. Resonant chamber applicator for remote plasma source
US6645550B1 (en) 2000-06-22 2003-11-11 Applied Materials, Inc. Method of treating a substrate
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US6794311B2 (en) 2000-07-14 2004-09-21 Applied Materials Inc. Method and apparatus for treating low k dielectric layers to reduce diffusion
KR100366623B1 (ko) 2000-07-18 2003-01-09 삼성전자 주식회사 반도체 기판 또는 lcd 기판의 세정방법
US6764958B1 (en) 2000-07-28 2004-07-20 Applied Materials Inc. Method of depositing dielectric films
US6677242B1 (en) 2000-08-12 2004-01-13 Applied Materials Inc. Integrated shallow trench isolation approach
US6800830B2 (en) 2000-08-18 2004-10-05 Hitachi Kokusai Electric, Inc. Chemistry for boron diffusion barrier layer and method of application in semiconductor device fabrication
US6446572B1 (en) 2000-08-18 2002-09-10 Tokyo Electron Limited Embedded plasma source for plasma density improvement
US6335288B1 (en) 2000-08-24 2002-01-01 Applied Materials, Inc. Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD
US6372657B1 (en) 2000-08-31 2002-04-16 Micron Technology, Inc. Method for selective etching of oxides
US6465366B1 (en) 2000-09-12 2002-10-15 Applied Materials, Inc. Dual frequency plasma enhanced chemical vapor deposition of silicon carbide layers
JP2002100578A (ja) 2000-09-25 2002-04-05 Crystage Co Ltd 薄膜形成装置
KR100375102B1 (ko) 2000-10-18 2003-03-08 삼성전자주식회사 반도체 장치의 제조에서 화학 기상 증착 방법 및 이를수행하기 위한 장치
US6403491B1 (en) 2000-11-01 2002-06-11 Applied Materials, Inc. Etch method using a dielectric etch chamber with expanded process window
US6610362B1 (en) 2000-11-20 2003-08-26 Intel Corporation Method of forming a carbon doped oxide layer on a substrate
KR100382725B1 (ko) 2000-11-24 2003-05-09 삼성전자주식회사 클러스터화된 플라즈마 장치에서의 반도체소자의 제조방법
US6291348B1 (en) 2000-11-30 2001-09-18 Advanced Micro Devices, Inc. Method of forming Cu-Ca-O thin films on Cu surfaces in a chemical solution and semiconductor device thereby formed
US6544340B2 (en) 2000-12-08 2003-04-08 Applied Materials, Inc. Heater with detachable ceramic top plate
US6448537B1 (en) 2000-12-11 2002-09-10 Eric Anton Nering Single-wafer process chamber thermal convection processes
US20020124867A1 (en) 2001-01-08 2002-09-12 Apl Co., Ltd. Apparatus and method for surface cleaning using plasma
US6879981B2 (en) 2001-01-16 2005-04-12 Corigin Ltd. Sharing live data with a non cooperative DBMS
US6743732B1 (en) 2001-01-26 2004-06-01 Taiwan Semiconductor Manufacturing Company Organic low K dielectric etch with NH3 chemistry
JP2002222934A (ja) 2001-01-29 2002-08-09 Nec Corp 半導体装置およびその製造方法
US6893969B2 (en) 2001-02-12 2005-05-17 Lam Research Corporation Use of ammonia for etching organic low-k dielectrics
US6537733B2 (en) 2001-02-23 2003-03-25 Applied Materials, Inc. Method of depositing low dielectric constant silicon carbide layers
JP2002256235A (ja) 2001-03-01 2002-09-11 Hitachi Chem Co Ltd 接着シート、半導体装置の製造方法および半導体装置
US6878206B2 (en) 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
JP3924483B2 (ja) 2001-03-19 2007-06-06 アイピーエス リミテッド 化学気相蒸着装置
JP5013353B2 (ja) 2001-03-28 2012-08-29 隆 杉野 成膜方法及び成膜装置
US7084070B1 (en) 2001-03-30 2006-08-01 Lam Research Corporation Treatment for corrosion in substrate processing
US6670278B2 (en) 2001-03-30 2003-12-30 Lam Research Corporation Method of plasma etching of silicon carbide
US20020177321A1 (en) 2001-03-30 2002-11-28 Li Si Yi Plasma etching of silicon carbide
JP3707394B2 (ja) 2001-04-06 2005-10-19 ソニー株式会社 無電解メッキ方法
US20030019428A1 (en) 2001-04-28 2003-01-30 Applied Materials, Inc. Chemical vapor deposition chamber
US6740601B2 (en) 2001-05-11 2004-05-25 Applied Materials Inc. HDP-CVD deposition process for filling high aspect ratio gaps
US20020197823A1 (en) 2001-05-18 2002-12-26 Yoo Jae-Yoon Isolation method for semiconductor device
JP4720019B2 (ja) 2001-05-18 2011-07-13 東京エレクトロン株式会社 冷却機構及び処理装置
US6717189B2 (en) 2001-06-01 2004-04-06 Ebara Corporation Electroless plating liquid and semiconductor device
US6573606B2 (en) 2001-06-14 2003-06-03 International Business Machines Corporation Chip to wiring interface with single metal alloy layer applied to surface of copper interconnect
US6506291B2 (en) 2001-06-14 2003-01-14 Applied Materials, Inc. Substrate support with multilevel heat transfer mechanism
WO2002103782A2 (en) 2001-06-14 2002-12-27 Mattson Technology, Inc. Barrier enhancement process for copper interconnects
JP2003019433A (ja) 2001-07-06 2003-01-21 Sekisui Chem Co Ltd 放電プラズマ処理装置及びそれを用いた処理方法
KR100403630B1 (ko) 2001-07-07 2003-10-30 삼성전자주식회사 고밀도 플라즈마를 이용한 반도체 장치의 층간 절연막 형성방법
US6531377B2 (en) 2001-07-13 2003-03-11 Infineon Technologies Ag Method for high aspect ratio gap fill using sequential HDP-CVD
US20030029715A1 (en) 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US6846745B1 (en) 2001-08-03 2005-01-25 Novellus Systems, Inc. High-density plasma process for filling high aspect ratio structures
US6596654B1 (en) 2001-08-24 2003-07-22 Novellus Systems, Inc. Gap fill for high aspect ratio structures
JP3914452B2 (ja) 2001-08-07 2007-05-16 株式会社ルネサステクノロジ 半導体集積回路装置の製造方法
EP1418619A4 (en) 2001-08-13 2010-09-08 Ebara Corp SEMICONDUCTOR COMPONENTS AND MANUFACTURING METHOD THEREFOR AND PLATING SOLUTION
US20030038305A1 (en) 2001-08-21 2003-02-27 Wasshuber Christoph A. Method for manufacturing and structure of transistor with low-k spacer
JP2003059914A (ja) 2001-08-21 2003-02-28 Hitachi Kokusai Electric Inc プラズマ処理装置
US6753506B2 (en) 2001-08-23 2004-06-22 Axcelis Technologies System and method of fast ambient switching for rapid thermal processing
US6762127B2 (en) 2001-08-23 2004-07-13 Yves Pierre Boiteux Etch process for dielectric materials comprising oxidized organo silane materials
US20030129106A1 (en) 2001-08-29 2003-07-10 Applied Materials, Inc. Semiconductor processing using an efficiently coupled gas source
US6796314B1 (en) 2001-09-07 2004-09-28 Novellus Systems, Inc. Using hydrogen gas in a post-etch radio frequency-plasma contact cleaning process
US6656837B2 (en) 2001-10-11 2003-12-02 Applied Materials, Inc. Method of eliminating photoresist poisoning in damascene applications
AU2002301252B2 (en) 2001-10-12 2007-12-20 Bayer Aktiengesellschaft Photovoltaic modules with a thermoplastic hot-melt adhesive layer and a process for their production
US20030072639A1 (en) 2001-10-17 2003-04-17 Applied Materials, Inc. Substrate support
JP3759895B2 (ja) 2001-10-24 2006-03-29 松下電器産業株式会社 エッチング方法
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
KR100443121B1 (ko) 2001-11-29 2004-08-04 삼성전자주식회사 반도체 공정의 수행 방법 및 반도체 공정 장치
US6794290B1 (en) 2001-12-03 2004-09-21 Novellus Systems, Inc. Method of chemical modification of structure topography
US6905968B2 (en) 2001-12-12 2005-06-14 Applied Materials, Inc. Process for selectively etching dielectric layers
CN1605117B (zh) 2001-12-13 2010-05-12 应用材料股份有限公司 具有对氮化物肩部高度敏感性的自对准接触蚀刻
US6890850B2 (en) 2001-12-14 2005-05-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
US6605874B2 (en) 2001-12-19 2003-08-12 Intel Corporation Method of making semiconductor device using an interconnect
US20030116439A1 (en) 2001-12-21 2003-06-26 International Business Machines Corporation Method for forming encapsulated metal interconnect structures in semiconductor integrated circuit devices
US20030116087A1 (en) 2001-12-21 2003-06-26 Nguyen Anh N. Chamber hardware design for titanium nitride atomic layer deposition
US20030124842A1 (en) 2001-12-27 2003-07-03 Applied Materials, Inc. Dual-gas delivery system for chemical vapor deposition processes
US6677247B2 (en) 2002-01-07 2004-01-13 Applied Materials Inc. Method of increasing the etch selectivity of a contact sidewall to a preclean etchant
US6827815B2 (en) 2002-01-15 2004-12-07 Applied Materials, Inc. Showerhead assembly for a processing chamber
JP2003217898A (ja) 2002-01-16 2003-07-31 Sekisui Chem Co Ltd 放電プラズマ処理装置
US6869880B2 (en) 2002-01-24 2005-03-22 Applied Materials, Inc. In situ application of etch back for improved deposition into high-aspect-ratio features
US6866746B2 (en) 2002-01-26 2005-03-15 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support
US7138014B2 (en) 2002-01-28 2006-11-21 Applied Materials, Inc. Electroless deposition apparatus
US6632325B2 (en) 2002-02-07 2003-10-14 Applied Materials, Inc. Article for use in a semiconductor processing chamber and method of fabricating same
US7256370B2 (en) 2002-03-15 2007-08-14 Steed Technology, Inc. Vacuum thermal annealer
US6913651B2 (en) 2002-03-22 2005-07-05 Blue29, Llc Apparatus and method for electroless deposition of materials on semiconductor substrates
US6541397B1 (en) 2002-03-29 2003-04-01 Applied Materials, Inc. Removable amorphous carbon CMP stop
US6843858B2 (en) 2002-04-02 2005-01-18 Applied Materials, Inc. Method of cleaning a semiconductor processing chamber
US20030190426A1 (en) 2002-04-03 2003-10-09 Deenesh Padhi Electroless deposition method
US6921556B2 (en) 2002-04-12 2005-07-26 Asm Japan K.K. Method of film deposition using single-wafer-processing type CVD
US6616967B1 (en) 2002-04-15 2003-09-09 Texas Instruments Incorporated Method to achieve continuous hydrogen saturation in sparingly used electroless nickel plating process
US7013834B2 (en) 2002-04-19 2006-03-21 Nordson Corporation Plasma treatment system
KR100448714B1 (ko) 2002-04-24 2004-09-13 삼성전자주식회사 다층 나노라미네이트 구조를 갖는 반도체 장치의 절연막및 그의 형성방법
US6528409B1 (en) 2002-04-29 2003-03-04 Advanced Micro Devices, Inc. Interconnect structure formed in porous dielectric material with minimized degradation and electromigration
US6908862B2 (en) 2002-05-03 2005-06-21 Applied Materials, Inc. HDP-CVD dep/etch/dep process for improved deposition into high aspect ratio features
JP2003347278A (ja) 2002-05-23 2003-12-05 Hitachi Kokusai Electric Inc 基板処理装置、及び半導体装置の製造方法
US6500728B1 (en) 2002-05-24 2002-12-31 Taiwan Semiconductor Manufacturing Company Shallow trench isolation (STI) module to improve contact etch process window
US20030224217A1 (en) 2002-05-31 2003-12-04 Applied Materials, Inc. Metal nitride formation
KR100434110B1 (ko) 2002-06-04 2004-06-04 삼성전자주식회사 반도체 장치의 제조방법
US6924191B2 (en) 2002-06-20 2005-08-02 Applied Materials, Inc. Method for fabricating a gate structure of a field effect transistor
WO2004006303A2 (en) 2002-07-02 2004-01-15 Applied Materials, Inc. Method for fabricating an ultra shallow junction of a field effect transistor
US6767844B2 (en) 2002-07-03 2004-07-27 Taiwan Semiconductor Manufacturing Co., Ltd Plasma chamber equipped with temperature-controlled focus ring and method of operating
US20040033677A1 (en) 2002-08-14 2004-02-19 Reza Arghavani Method and apparatus to prevent lateral oxidation in a transistor utilizing an ultra thin oxygen-diffusion barrier
US7223701B2 (en) 2002-09-06 2007-05-29 Intel Corporation In-situ sequential high density plasma deposition and etch processing for gap fill
US6991959B2 (en) 2002-10-10 2006-01-31 Asm Japan K.K. Method of manufacturing silicon carbide film
KR100500852B1 (ko) 2002-10-10 2005-07-12 최대규 원격 플라즈마 발생기
JP4606713B2 (ja) 2002-10-17 2011-01-05 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US6699380B1 (en) 2002-10-18 2004-03-02 Applied Materials Inc. Modular electrochemical processing system
US6802944B2 (en) 2002-10-23 2004-10-12 Applied Materials, Inc. High density plasma CVD process for gapfill into high aspect ratio features
US7628897B2 (en) 2002-10-23 2009-12-08 Applied Materials, Inc. Reactive ion etching for semiconductor device feature topography modification
US6713873B1 (en) 2002-11-27 2004-03-30 Intel Corporation Adhesion between dielectric materials
US6858532B2 (en) 2002-12-10 2005-02-22 International Business Machines Corporation Low defect pre-emitter and pre-base oxide etch for bipolar transistors and related tooling
JP3838969B2 (ja) 2002-12-17 2006-10-25 沖電気工業株式会社 ドライエッチング方法
US6720213B1 (en) 2003-01-15 2004-04-13 International Business Machines Corporation Low-K gate spacers by fluorine implantation
US6808748B2 (en) 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US7604708B2 (en) 2003-02-14 2009-10-20 Applied Materials, Inc. Cleaning of native oxide with hydrogen-containing radicals
US6913992B2 (en) 2003-03-07 2005-07-05 Applied Materials, Inc. Method of modifying interlayer adhesion
US20040182315A1 (en) 2003-03-17 2004-09-23 Tokyo Electron Limited Reduced maintenance chemical oxide removal (COR) processing system
US6951821B2 (en) 2003-03-17 2005-10-04 Tokyo Electron Limited Processing system and method for chemically treating a substrate
US7126225B2 (en) 2003-04-15 2006-10-24 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for manufacturing a semiconductor wafer with reduced delamination and peeling
US6942753B2 (en) 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
US20040211357A1 (en) 2003-04-24 2004-10-28 Gadgil Pradad N. Method of manufacturing a gap-filled structure of a semiconductor device
US6830624B2 (en) 2003-05-02 2004-12-14 Applied Materials, Inc. Blocker plate by-pass for remote plasma clean
US6903511B2 (en) 2003-05-06 2005-06-07 Zond, Inc. Generation of uniformly-distributed plasma
US7081414B2 (en) 2003-05-23 2006-07-25 Applied Materials, Inc. Deposition-selective etch-deposition process for dielectric film gapfill
US7205240B2 (en) 2003-06-04 2007-04-17 Applied Materials, Inc. HDP-CVD multistep gapfill process
US7151277B2 (en) 2003-07-03 2006-12-19 The Regents Of The University Of California Selective etching of silicon carbide films
JP2005033023A (ja) 2003-07-07 2005-02-03 Sony Corp 半導体装置の製造方法および半導体装置
JP4245996B2 (ja) 2003-07-07 2009-04-02 株式会社荏原製作所 無電解めっきによるキャップ膜の形成方法およびこれに用いる装置
US7368392B2 (en) 2003-07-10 2008-05-06 Applied Materials, Inc. Method of fabricating a gate structure of a field effect transistor having a metal-containing gate electrode
JP3866694B2 (ja) 2003-07-30 2007-01-10 株式会社日立ハイテクノロジーズ Lsiデバイスのエッチング方法および装置
US7256134B2 (en) 2003-08-01 2007-08-14 Applied Materials, Inc. Selective etching of carbon-doped low-k dielectrics
JP4239750B2 (ja) 2003-08-13 2009-03-18 セイコーエプソン株式会社 マイクロレンズ及びマイクロレンズの製造方法、光学装置、光伝送装置、レーザプリンタ用ヘッド、並びにレーザプリンタ
US20050035455A1 (en) 2003-08-14 2005-02-17 Chenming Hu Device with low-k dielectric in close proximity thereto and its method of fabrication
US7078312B1 (en) 2003-09-02 2006-07-18 Novellus Systems, Inc. Method for controlling etch process repeatability
US6903031B2 (en) 2003-09-03 2005-06-07 Applied Materials, Inc. In-situ-etch-assisted HDP deposition using SiF4 and hydrogen
US7030034B2 (en) 2003-09-18 2006-04-18 Micron Technology, Inc. Methods of etching silicon nitride substantially selectively relative to an oxide of aluminum
US6967405B1 (en) 2003-09-24 2005-11-22 Yongsik Yu Film for copper diffusion barrier
US7371688B2 (en) 2003-09-30 2008-05-13 Air Products And Chemicals, Inc. Removal of transition metal ternary and/or quaternary barrier materials from a substrate
KR101109299B1 (ko) 2003-10-06 2012-01-31 어플라이드 머티어리얼스, 인코포레이티드 페이스-업 습식 프로세싱을 위해 웨이퍼 온도 균일성을강화시키는 장치
US7581511B2 (en) 2003-10-10 2009-09-01 Micron Technology, Inc. Apparatus and methods for manufacturing microfeatures on workpieces using plasma vapor processes
US20070111519A1 (en) 2003-10-15 2007-05-17 Applied Materials, Inc. Integrated electroless deposition system
US7465358B2 (en) 2003-10-15 2008-12-16 Applied Materials, Inc. Measurement techniques for controlling aspects of a electroless deposition process
JP2005129688A (ja) 2003-10-23 2005-05-19 Hitachi Ltd 半導体装置の製造方法
US7709392B2 (en) 2003-11-05 2010-05-04 Taiwan Semiconductor Manufacturing Co., Ltd. Low K dielectric surface damage control
US20050109276A1 (en) 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US7202172B2 (en) 2003-12-05 2007-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. Microelectronic device having disposable spacer
US7081407B2 (en) 2003-12-16 2006-07-25 Lam Research Corporation Method of preventing damage to porous low-k materials during resist stripping
US6958286B2 (en) 2004-01-02 2005-10-25 International Business Machines Corporation Method of preventing surface roughening during hydrogen prebake of SiGe substrates
US6893967B1 (en) 2004-01-13 2005-05-17 Advanced Micro Devices, Inc. L-shaped spacer incorporating or patterned using amorphous carbon or CVD organic materials
US20060033678A1 (en) 2004-01-26 2006-02-16 Applied Materials, Inc. Integrated electroless deposition system
US7291550B2 (en) 2004-02-13 2007-11-06 Chartered Semiconductor Manufacturing Ltd. Method to form a contact hole
JP4698251B2 (ja) 2004-02-24 2011-06-08 アプライド マテリアルズ インコーポレイテッド 可動又は柔軟なシャワーヘッド取り付け
US20070123051A1 (en) 2004-02-26 2007-05-31 Reza Arghavani Oxide etch with nh4-nf3 chemistry
US7780793B2 (en) 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
US20060051966A1 (en) 2004-02-26 2006-03-09 Applied Materials, Inc. In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
JP4879159B2 (ja) 2004-03-05 2012-02-22 アプライド マテリアルズ インコーポレイテッド アモルファス炭素膜堆積のためのcvdプロセス
US7196342B2 (en) 2004-03-10 2007-03-27 Cymer, Inc. Systems and methods for reducing the influence of plasma-generated debris on the internal components of an EUV light source
US7109521B2 (en) 2004-03-18 2006-09-19 Cree, Inc. Silicon carbide semiconductor structures including multiple epitaxial layers having sidewalls
US7582555B1 (en) 2005-12-29 2009-09-01 Novellus Systems, Inc. CVD flowable gap fill
US8083853B2 (en) 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US7785672B2 (en) 2004-04-20 2010-08-31 Applied Materials, Inc. Method of controlling the film properties of PECVD-deposited thin films
US7115974B2 (en) 2004-04-27 2006-10-03 Taiwan Semiconductor Manfacturing Company, Ltd. Silicon oxycarbide and silicon carbonitride based materials for MOS devices
JP2007537602A (ja) 2004-05-11 2007-12-20 アプライド マテリアルズ インコーポレイテッド フルオロカーボン化学エッチングにおけるh2添加物を使用しての炭素ドープ酸化ケイ素エッチング
US8074599B2 (en) 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
US8328939B2 (en) 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
US7691686B2 (en) 2004-05-21 2010-04-06 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
US7049200B2 (en) 2004-05-25 2006-05-23 Applied Materials Inc. Method for forming a low thermal budget spacer
US7122949B2 (en) 2004-06-21 2006-10-17 Neocera, Inc. Cylindrical electron beam generating/triggering device and method for generation of electrons
JP2006049817A (ja) 2004-07-07 2006-02-16 Showa Denko Kk プラズマ処理方法およびプラズマエッチング方法
US7217626B2 (en) 2004-07-26 2007-05-15 Texas Instruments Incorporated Transistor fabrication methods using dual sidewall spacers
US7192863B2 (en) 2004-07-30 2007-03-20 Texas Instruments Incorporated Method of eliminating etch ridges in a dual damascene process
US7115525B2 (en) 2004-09-02 2006-10-03 Micron Technology, Inc. Method for integrated circuit fabrication using pitch multiplication
US7329576B2 (en) 2004-09-02 2008-02-12 Micron Technology, Inc. Double-sided container capacitors using a sacrificial layer
US7390710B2 (en) 2004-09-02 2008-06-24 Micron Technology, Inc. Protection of tunnel dielectric using epitaxial silicon
US20060093756A1 (en) 2004-11-03 2006-05-04 Nagarajan Rajagopalan High-power dielectric seasoning for stable wafer-to-wafer thickness uniformity of dielectric CVD films
EP1831430A2 (en) 2004-12-21 2007-09-12 Applied Materials, Inc. An in-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
US20060130971A1 (en) 2004-12-21 2006-06-22 Applied Materials, Inc. Apparatus for generating plasma by RF power
US20060148243A1 (en) 2004-12-30 2006-07-06 Jeng-Ho Wang Method for fabricating a dual damascene and polymer removal
US7253123B2 (en) 2005-01-10 2007-08-07 Applied Materials, Inc. Method for producing gate stack sidewall spacers
US7829243B2 (en) 2005-01-27 2010-11-09 Applied Materials, Inc. Method for plasma etching a chromium layer suitable for photomask fabrication
JP4475136B2 (ja) 2005-02-18 2010-06-09 東京エレクトロン株式会社 処理システム、前処理装置及び記憶媒体
JP4506677B2 (ja) 2005-03-11 2010-07-21 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7253118B2 (en) 2005-03-15 2007-08-07 Micron Technology, Inc. Pitch reduced patterns relative to photolithography features
TW200734482A (en) 2005-03-18 2007-09-16 Applied Materials Inc Electroless deposition process on a contact containing silicon or silicide
US20060251801A1 (en) 2005-03-18 2006-11-09 Weidman Timothy W In-situ silicidation metallization process
US7611944B2 (en) 2005-03-28 2009-11-03 Micron Technology, Inc. Integrated circuit fabrication
US7442274B2 (en) 2005-03-28 2008-10-28 Tokyo Electron Limited Plasma etching method and apparatus therefor
KR100689826B1 (ko) 2005-03-29 2007-03-08 삼성전자주식회사 불소 함유된 화학적 식각 가스를 사용하는 고밀도 플라즈마화학기상증착 방법들 및 이를 채택하여 반도체 소자를제조하는 방법들
US7288482B2 (en) 2005-05-04 2007-10-30 International Business Machines Corporation Silicon nitride etching methods
KR100745067B1 (ko) 2005-05-18 2007-08-01 주식회사 하이닉스반도체 반도체 소자의 트렌치 소자분리막 및 그 형성방법
US20070071888A1 (en) 2005-09-21 2007-03-29 Arulkumar Shanmugasundram Method and apparatus for forming device features in an integrated electroless deposition system
US7884032B2 (en) 2005-10-28 2011-02-08 Applied Materials, Inc. Thin film deposition
US20070099806A1 (en) 2005-10-28 2007-05-03 Stewart Michael P Composition and method for selectively removing native oxide from silicon-containing surfaces
US7696101B2 (en) 2005-11-01 2010-04-13 Micron Technology, Inc. Process for increasing feature density during the manufacture of a semiconductor device
US20070107750A1 (en) 2005-11-14 2007-05-17 Sawin Herbert H Method of using NF3 for removing surface deposits from the interior of chemical vapor deposition chambers
US7405160B2 (en) 2005-12-13 2008-07-29 Tokyo Electron Limited Method of making semiconductor device
JP2007173383A (ja) 2005-12-20 2007-07-05 Sharp Corp トレンチ素子分離領域の形成方法、窒化シリコン膜ライナーの形成方法、半導体装置の製造方法
US7494545B2 (en) 2006-02-03 2009-02-24 Applied Materials, Inc. Epitaxial deposition process and apparatus
US7780865B2 (en) 2006-03-31 2010-08-24 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
JP5042517B2 (ja) 2006-04-10 2012-10-03 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP2007311540A (ja) 2006-05-18 2007-11-29 Renesas Technology Corp 半導体装置の製造方法
US20070281106A1 (en) 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US20080124937A1 (en) 2006-08-16 2008-05-29 Songlin Xu Selective etching method and apparatus
JP2008103645A (ja) 2006-10-20 2008-05-01 Toshiba Corp 半導体装置の製造方法
US7943005B2 (en) 2006-10-30 2011-05-17 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US7700479B2 (en) 2006-11-06 2010-04-20 Taiwan Semiconductor Manufacturing Company, Ltd. Cleaning processes in the formation of integrated circuit interconnect structures
US20080142483A1 (en) 2006-12-07 2008-06-19 Applied Materials, Inc. Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills
US7939422B2 (en) 2006-12-07 2011-05-10 Applied Materials, Inc. Methods of thin film process
TWM318795U (en) 2006-12-18 2007-09-11 Lighthouse Technology Co Ltd Package structure
WO2008074672A1 (en) 2006-12-20 2008-06-26 Nxp B.V. Improving adhesion of diffusion barrier on cu containing interconnect element
US7808053B2 (en) 2006-12-29 2010-10-05 Intel Corporation Method, apparatus, and system for flash memory
KR100853485B1 (ko) 2007-03-19 2008-08-21 주식회사 하이닉스반도체 리세스 게이트를 갖는 반도체 소자의 제조 방법
US20080233709A1 (en) 2007-03-22 2008-09-25 Infineon Technologies North America Corp. Method for removing material from a semiconductor
JP5135879B2 (ja) 2007-05-21 2013-02-06 富士電機株式会社 炭化珪素半導体装置の製造方法
US8084105B2 (en) 2007-05-23 2011-12-27 Applied Materials, Inc. Method of depositing boron nitride and boron nitride-derived materials
US7807578B2 (en) 2007-06-01 2010-10-05 Applied Materials, Inc. Frequency doubling using spacer mask
KR101050454B1 (ko) 2007-07-02 2011-07-19 주식회사 하이닉스반도체 반도체 소자의 소자 분리막 및 그 형성방법
US8008166B2 (en) 2007-07-26 2011-08-30 Applied Materials, Inc. Method and apparatus for cleaning a substrate surface
US7871926B2 (en) 2007-10-22 2011-01-18 Applied Materials, Inc. Methods and systems for forming at least one dielectric layer
US8252696B2 (en) 2007-10-22 2012-08-28 Applied Materials, Inc. Selective etching of silicon nitride
WO2009071627A2 (de) 2007-12-04 2009-06-11 Parabel Ag Mehrschichtiges solarelement
US8187486B1 (en) 2007-12-13 2012-05-29 Novellus Systems, Inc. Modulating etch selectivity and etch rate of silicon nitride thin films
JP2009170890A (ja) 2007-12-18 2009-07-30 Takashima & Co Ltd 可撓性膜状太陽電池複層体
US8252194B2 (en) 2008-05-02 2012-08-28 Micron Technology, Inc. Methods of removing silicon oxide
US20090275206A1 (en) 2008-05-05 2009-11-05 Applied Materials, Inc. Plasma process employing multiple zone gas distribution for improved uniformity of critical dimension bias
US7968441B2 (en) 2008-10-08 2011-06-28 Applied Materials, Inc. Dopant activation anneal to achieve less dopant diffusion (better USJ profile) and higher activation percentage
US7910491B2 (en) 2008-10-16 2011-03-22 Applied Materials, Inc. Gapfill improvement with low etch rate dielectric liners
US8563090B2 (en) 2008-10-16 2013-10-22 Applied Materials, Inc. Boron film interface engineering
US20100099263A1 (en) 2008-10-20 2010-04-22 Applied Materials, Inc. Nf3/h2 remote plasma process with high etch selectivity of psg/bpsg over thermal oxide and low density surface defects
US8173547B2 (en) 2008-10-23 2012-05-08 Lam Research Corporation Silicon etch with passivation using plasma enhanced oxidation
US8058179B1 (en) 2008-12-23 2011-11-15 Novellus Systems, Inc. Atomic layer removal process with higher etch amount
JP2010154699A (ja) 2008-12-26 2010-07-08 Hitachi Ltd 磁束可変型回転電機
US8193075B2 (en) 2009-04-20 2012-06-05 Applied Materials, Inc. Remote hydrogen plasma with ion filter for terminating silicon dangling bonds
US8211808B2 (en) 2009-08-31 2012-07-03 Applied Materials, Inc. Silicon-selective dry etch for carbon-containing films
US8202803B2 (en) 2009-12-11 2012-06-19 Tokyo Electron Limited Method to remove capping layer of insulation dielectric in interconnect structures
US20110151677A1 (en) 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
US8501629B2 (en) 2009-12-23 2013-08-06 Applied Materials, Inc. Smooth SiConi etch for silicon-containing films
JP5450187B2 (ja) 2010-03-16 2014-03-26 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US8435902B2 (en) 2010-03-17 2013-05-07 Applied Materials, Inc. Invertable pattern loading with dry etch
US8475674B2 (en) 2010-04-30 2013-07-02 Applied Materials, Inc. High-temperature selective dry etch having reduced post-etch solid residue
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US20120009796A1 (en) 2010-07-09 2012-01-12 Applied Materials, Inc. Post-ash sidewall healing
US9184028B2 (en) 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US20120285621A1 (en) 2011-05-10 2012-11-15 Applied Materials, Inc. Semiconductor chamber apparatus for dielectric processing
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US20130045605A1 (en) 2011-08-18 2013-02-21 Applied Materials, Inc. Dry-etch for silicon-and-nitrogen-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) * 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4904341A (en) * 1988-08-22 1990-02-27 Westinghouse Electric Corp. Selective silicon dioxide etchant for superconductor integrated circuits
US6235643B1 (en) * 1999-08-10 2001-05-22 Applied Materials, Inc. Method for etching a trench having rounded top and bottom corners in a silicon substrate
US20090255902A1 (en) * 2004-11-15 2009-10-15 Tokyo Electron Limited Focus ring, plasma etching apparatus and plasma etching method
US20100075503A1 (en) * 2008-09-19 2010-03-25 Applied Materials, Inc. Integral patterning of large features along with array using spacer mask patterning process flow
US20110000623A1 (en) * 2009-07-06 2011-01-06 Sdi Corporation Rotatable knob controlled adhesive tape dispenser

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI729502B (zh) * 2015-06-05 2021-06-01 美商應用材料股份有限公司 用於電漿處理處理腔室之方法

Also Published As

Publication number Publication date
CN103765562B (zh) 2016-11-09
CN103765562A (zh) 2014-04-30
KR101508994B1 (ko) 2015-04-07
KR101509010B1 (ko) 2015-04-07
KR20140136532A (ko) 2014-11-28
WO2013033527A3 (en) 2013-04-25
US8541312B2 (en) 2013-09-24
TW201320186A (zh) 2013-05-16
US20130059440A1 (en) 2013-03-07
KR20140068127A (ko) 2014-06-05
WO2013033527A2 (en) 2013-03-07
US8679983B2 (en) 2014-03-25
US20130130506A1 (en) 2013-05-23

Similar Documents

Publication Publication Date Title
TWI459464B (zh) 選擇性抑制含有矽及氮兩者之材料的乾蝕刻率之方法
TWI541890B (zh) 選擇性抑制含有矽及氧兩者之材料的乾式蝕刻速率
TWI471932B (zh) 用於含矽與氮之薄膜的乾式蝕刻
TWI631614B (zh) 氮化矽的選擇性蝕刻
TWI597775B (zh) 差別氧化矽蝕刻
US8765574B2 (en) Dry etch process
US9384997B2 (en) Dry-etch selectivity
US9236266B2 (en) Dry-etch for silicon-and-carbon-containing films
US9390937B2 (en) Silicon-carbon-nitride selective etch
TWI601204B (zh) 自由基成分的氧化物蝕刻

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees