TWI429791B - 氣相磊晶系統 - Google Patents

氣相磊晶系統 Download PDF

Info

Publication number
TWI429791B
TWI429791B TW098133511A TW98133511A TWI429791B TW I429791 B TWI429791 B TW I429791B TW 098133511 A TW098133511 A TW 098133511A TW 98133511 A TW98133511 A TW 98133511A TW I429791 B TWI429791 B TW I429791B
Authority
TW
Taiwan
Prior art keywords
gas
precursor
electrode
platform
precursor gas
Prior art date
Application number
TW098133511A
Other languages
English (en)
Other versions
TW201022488A (en
Inventor
Joshua Mangum
William E Quinn
Eric A Armour
Original Assignee
Veeco Compound Semiconductor Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Veeco Compound Semiconductor Inc filed Critical Veeco Compound Semiconductor Inc
Publication of TW201022488A publication Critical patent/TW201022488A/zh
Application granted granted Critical
Publication of TWI429791B publication Critical patent/TWI429791B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/511Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using microwave discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/301AIII BV compounds, where A is Al, Ga, In or Tl and B is N, P, As, Sb or Bi
    • C23C16/303Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/483Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation using coherent light, UV to IR, e.g. lasers
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/10Heating of the reaction chamber or the substrate
    • C30B25/105Heating of the reaction chamber or the substrate by irradiation or electric discharge
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/10Inorganic compounds or compositions
    • C30B29/40AIIIBV compounds wherein A is B, Al, Ga, In or Tl and B is N, P, As, Sb or Bi
    • C30B29/403AIII-nitrides
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/10Inorganic compounds or compositions
    • C30B29/40AIIIBV compounds wherein A is B, Al, Ga, In or Tl and B is N, P, As, Sb or Bi
    • C30B29/403AIII-nitrides
    • C30B29/406Gallium nitride
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Optics & Photonics (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)

Description

氣相磊晶系統
此處所用的章節標題僅是為了組織化的目的,不應該以任何方式推斷成限制本案所述的主題。
相關申請案
本案主張基於2008年10月3日申請的美國臨時申請案第61/195,093號,標題為「具有能量輸入的化學氣相沉積」,而整個申請案併於此以為參考。
本發明大致上關於化學氣相沉積(CVD),尤其關於用於氣相磊晶的系統。
氣相磊晶(vapor phase epitaxy,VPE)是一種化學氣相沉積(chemical vapor deposition,CVD),其涉及將一或多種包含化學物種的氣體導向基板表面上,如此則反應性物種反應而在基板表面上形成膜。舉例而言,VPE可以用來在基板上生長化合物半導體材料。基板典型是碟片型式的結晶材料,其通常稱為「晶圓」(wafer)。典型的材料生長方式是把至少第一和第二前驅氣體注射到包含結晶基板的處理腔室裡。
例如III-V族半導體的化合物半導體可以使用氫化物前驅氣體和有機金屬前驅氣體而在基板上生長多樣的半導體 材料層。金屬有機物氣相磊晶(Metalorganic vapor phase epitaxy,MOVPE)是一種氣相沉積方法,通常用於生長化合物半導體,其利用包含所需化學元素之金屬有機物和金屬氫化物的表面反應。舉例而言,藉由引入三甲基銦和膦,或可於反應器的基板上生長磷化銦。此技藝所用的MOVPE還有不同的名稱,包含有機金屬氣相磊晶(organometallic vapor phase epitaxy,OMVPE)、金屬有機物化學氣相沉積(metalorganic chemical vapor deposition,MOCVD)、有機金屬化學氣相沉積(organometallic chemical vapor deposition,OMCVD)。於這些製程,氣體彼此在基板的表面反應,基板例如藍寶石、Si、GaAs、InP、InAs或GaP基板,而形成一般式為InXGaYAlZNAAsBPCSbD的III-V族化合物,其中X+Y+Z大約等於1,A+B+C+D大約等於1,而X、Y、Z、A、B、C、D每一者可以在0和1之間。於某些情況,鉍可以用來取代某些或所有的其他III族金屬。
例如III-V族半導體的化合物半導體也可以使用氫化物或鹵化物前驅氣體製程而在基板上生長多樣的半導體材料層。於一種鹵化物氣相磊晶(halide vapor phase epitaxy,HVPE)製程,使熱的氣態金屬氯化物(譬如GaCl或AlCl)與氨氣(NH3)反應而形成III族氮化物(譬如GaN、AlN)。金屬氯化物則是將熱的HCl氣體通過熱的III族金屬上而產生。所有反應是在溫度受到控制的石英爐中完成。HVPE的一個特徵是可以具有極高的生長速率,某些最先進的製程每小時最高達到100微米。HVPE的另一個特徵是可以用於沉積 比較高品質的膜,此乃因為膜是生長於無碳環境中,並且因為熱的HCl氣體提供自我清潔效應。
於這些製程,基板於反應腔室中維持在升高的溫度。前驅氣體典型混合了惰性載氣,然後導引到反應腔室裡。典型而言,當氣體引入反應腔室時,氣體是在比較低的溫度。隨著氣體抵達熱的基板,氣體的溫度(以及因而其反應可用的能量)便增加。組成化學物質最後在基板表面熱解而形成了磊晶層。晶體是由化學反應所形成,而非由物理沉積過程所形成。生長乃發生於適度壓力的氣相中。因此VPE是生長熱力學上介穩定合金所想要的技術。目前VPE常用於製造雷射二極體、太陽能電池、LED。
一種氣相磊晶系統,其包括:a.平台,其支持用於氣相磊晶的基板;b.氣體注射器,其包括耦合於第一前驅氣體源的第一區域和耦合於第二前驅氣體源的第二區域,氣體注射器注射第一前驅氣體到第一區域裡以及注射第二前驅氣體到第二區域裡;c.至少一電極,其定位於第一區域,如此則第一前驅氣體分子流動鄰近於至少一電極,並且該至少一電極定位成實質隔離於第二前驅氣流;以及d.電源供應器,其具有電連接於至少一電極的輸出,電源供應器產生加熱至少一電極的電流,如此以熱活化至少 一些流動鄰近於至少一電極的第一前驅氣體分子。
一種氣相磊晶的方法,該方法包括:a.注射用於氣相磊晶的第一前驅氣體於第一區域,第一區域鄰近於支持基板的平台;b.注射用於氣相磊晶的第二前驅氣體於第二區域,第二區域鄰近於支持基板的平台;c.將電極定位於注射的第一前驅氣流;d.隔離電極與注射的第二前驅氣流;以及e.以電極活化第一前驅氣體。
一種氣相磊晶系統,其包括:a.用於注射氣相磊晶用之第一前驅氣體的機構,第一區域鄰近於支持基板的平台;b.用於注射氣相磊晶用之第二前驅氣體的機構,第二區域鄰近於支持基板的平台;c.電極,其定位於注射的第一前驅氣流;d.用於隔離電極與注射之第二前驅氣流的機構;以及e.用於以電極來活化第一前驅氣體的機構。
一種氣相磊晶的方法,該方法包括:a.注射用於氣相磊晶之包括H2和N2的第一前驅氣體於第一區域,第一區域鄰近於支持基板的平台;b.注射用於氣相磊晶的第二前驅氣體於第二區域,第二區域鄰近於支持基板的平台;c.將催化電極定位於注射的第一前驅氣流;d.隔離電極與注射的第二前驅氣流;以及 e.供給能量至催化電極來活化第一前驅氣體,以產生NH2、NH中至少一者。
根據較佳的和範例性的具體態樣,本教示與其進一步優點特別敘述於下面配合所附圖式的詳細說明。熟於此技藝者將會理解底下敘述的圖式只是為了示範說明。圖式未必按比例,反而是大致強調本教示所示範說明的原理。圖式不是要以任何方式來限制申請人教示的範圍。
說明書中對於「一具體態樣」或「具體態樣」的參考是指關於該具體態樣所敘述之特定的特徵、結構或特色乃包含於本教示的至少一具體態樣。說明書不同處出現的「於一具體態樣」一詞未必都是指同一個具體態樣。
應該理解本教示方法的個別步驟可以任何次序和/或同時進行,只要本教示仍保持可操作即可。再者,應該理解本教示的裝置和方法可以包含任意數量或所有描述的具體態樣,只要本教示仍保持可操作即可。
現在將參考如附圖所示的範例性具體態樣來更詳細地描述本教示。雖然配合多樣的具體態樣和範例來敘述本教示,但本教示並不想要受限於此等具體態樣。相反地,本教示涵括多樣的替代方案、修改和等效者,就如熟於此技藝者將可體會的。此技藝中具有一般技術者接觸在此教示之後將可體會額外的實施方式、修改和具體態樣以及其他的使用領域,它們都是在如在此所述之本揭示的範圍裡。
本揭示所用之「可用的能量」(available energy)一詞是指反應物種用於化學反應的化學位能。化學位能是通常用於熱力學、物理、化學的術語,其描述系統(粒子、分子、振動或電子狀態、反應平衡…等)的能量。然而,化學位能一詞的更特定代名詞可以用於多種學科,包括Gibbs自由能(熱力學)、Fermi能階(固態物理)…等。除非另有所指,對於可用能量的參考應該理解成是指特定材料的化學位能。
圖1示範已知的VPE系統100,其用於形成化合物半導體。此系統100包含反應腔室101,當中安裝了軸桿102。軸桿102可藉由旋轉驅動機構106而繞著軸104旋轉。軸104延伸於上游方向U和下游方向D,如圖1所示。平台108於許多系統中是像碟形的基板載體,乃安裝在軸桿102上與之旋轉。典型而言,平台108和軸桿102以範圍在每分鐘大約100~2,000轉的旋轉速率來旋轉。平台108調適成握持多個像碟形的基板110,如此則基板110的表面112是在垂直於軸104的平面並且面對上游方向U。
加熱器114(例如電阻加熱元件)乃定位於反應腔室101裡而鄰近於平台108。加熱器114加熱基板載體至想要的處理溫度。氣體注射器116(業界有時已知為流動入口元件)乃安裝於平台108和軸桿102的上游。氣體注射器116連接於製程氣體源118、120、122。氣體注射器116導引多樣的製程氣流到反應腔室101裡。流體冷卻劑供應器117耦合於流動注射器116中的液體冷卻渠道以循環冷卻流體,以便控制氣體注射器116的溫度。
於操作中,來自製程氣體源118、120、122的製程氣流大致往下游流動而朝向平台108和基板110,而於反應腔室101在氣體注射器116和平台108之間的區域,其在此稱為「流動區域124」。於已知的系統,此向下流動不會導致分開向下流動氣流之間有實質的混合。典型想要設計和操作系統100以使流動區域124中有層流。於正常的操作,平台108藉由旋轉驅動器106而快速繞著軸104旋轉,如此則平台108表面和基板110表面快速地移動。平台108和基板110的快速運動把氣體帶入繞著軸104的旋轉運動。因此,製程氣體徑向流動而遠離軸104,藉此使多樣氣流的製程氣體彼此混合於邊界層裡,其示意地指示於邊界層區域126。
於實務上,在流動區域124裡由箭頭128所指大致朝下游的氣體流動與於邊界層126中的快速旋轉流動和混合之間有逐漸的變遷。無論如何,邊界層126一般視為當中的氣體流動乃實質平行於基板表面110的區域。於某些操作方法,邊界層126的厚度是在大約1公分的等級,而從氣體注射器116的下游面到基板110表面112的距離是大約5~8公分。因此,流動區域124占據了氣體注射器116和平台108之間空間的主要部分。平台108的旋轉運動將氣體向外泵動於平台108周圍,因而氣體通往下游到排放系統130。於許多操作方法,反應腔室101維持在大約25~1,000托耳的絕對壓力。許多製程乃操作於大約50~760托耳的絕對壓力。
氣體注射器116維持在比較低的溫度,其典型大約60℃或更低,雖然有時會使用較高的溫度。於鹵化物VPE系統,III族鹵化物維持在升高的溫度以避免凝結。此升高的溫度乃低於基板110發生沉積的溫度。選擇比較低的溫度以抑制反應物分解和/或抑制於氣體注射器116和流動區域124中發生反應物不想要的反應。同時,於許多製程,反應腔室101的壁101’乃冷卻至大約25℃,以便使流動區域124中遠離平台108之製程氣體的任何反應速率減到最小。
想要促進在基板110表面之邊界層126中氣體之間的快速反應,此乃因為氣體在邊界層126的停留時間比較短。於傳統的VPE系統,反應能量主要由來自平台108和基板110的熱所提供。舉例而言,於某些製程,反應能量是解離V族氫化物(例如NH3)所需的能量,以形成反應性中間物,例如NH2、NH。然而,增加平台108和基板110的溫度也傾向於增加沉積之化合物半導體的解離。舉例而言,增加平台108和基板110的溫度可以導致從半導體損失氮,特別是當生長富含銦的化合物時,例如InGaN和InN。
於本教示的某一方面,VPE系統包含一或多個電作用的電極,其用於添加額外能量給製程氣體,以便增加反應速率或改變反應化學。熟於此技藝者將體會可以使用任何類型之電作用的電極,例如任何形狀的線和絲,其暴露於處理腔室101中的製程氣體。
於本教示的許多具體態樣,想要供應能量給製程氣體 其中一者,而不供應顯著能量給其他的製程氣體。舉例而言,於許多III-V族沉積製程,想要施加額外能量給V族氫化物前驅氣體(舉例而言可以是氨(NH3)),而不供應顯著能量給III族金屬前驅氣體。熟於此技藝者將體會可以許多方式來選擇性施加能量給一或多種製程氣體。舉例而言,一或多個電作用的電極可以物理隔離於將會在升高溫度下反應的前驅氣體。可以把氣體分別引入反應器的不同區域,以及/或者使用如在此所述的擋板和/或氣體簾幕,而達成物理隔離。本教示的一個特徵是氣體可以分開引入,但是與基板110有相同的距離,以便維持層流於基板110表面上。
圖2示範根據本教示的氣相磊晶系統200,其包含至少一電極定位於第一前驅氣流並且實質隔離於第二前驅氣流。VPE系統200類似於參考圖1所敘述的VPE系統。VPE系統200包含處理腔室201,其用於包含製程氣體。此外,VPE系統200包含平台202,其為碟形基板載體而支持用於氣相磊晶的基板204。
VPE系統200包含氣體注射器206,其包括多個由物理擋板和/或化學擋板所分隔的區域。舉例而言,VPE系統200可以包含耦合於第一前驅氣體源210的第一區域208以及耦合於第二前驅氣體源214的第二區域212。任何種類的前驅氣體皆可用於根據本教示的VPE系統。於多樣的其他具體態樣,氣體注射器206可以包含由物理擋板和/或化學擋板所分隔的額外區域,其可以耦合或可以不耦合於額 外的前驅物和/或惰性氣體源211。
如在此所述,有許多可能的氣體注射器設計以注射不同前驅氣體到處理腔室201的不同區域裡。舉例而言,於參考圖3所敘述的一具體態樣,氣體注射器206的第一區域208定位於碟片的四分之一圓,並且第二區域212徑向延伸經過四分之一圓。於參考圖4A所敘述的另一具體態樣,氣體注射器206的第一和第二區域208、212包含多個第一和第二區域,其交替跨越至少部分的氣體注射器206。於許多實際的具體態樣,氣體注射器206包括液體冷卻渠道以控制氣體注射器206的溫度。流體冷卻劑供應器216耦合於流動注射器206中的液體冷卻渠道以循環冷卻流體,以便控制氣體注射器206的溫度。
於多樣的具體態樣,氣體注射器206設計成以層流或非層流來使第一和第二前驅氣體流動於支持基板204的平台202上。同時,於多樣的具體態樣,氣體注射器206使第一和第二前驅氣體以相對於支持基板204之平台202的多樣方向而流動。舉例而言,於依據本發明的某些VPE系統,氣體注射器206使第一和第二前驅氣體中至少一者於垂直於支持基板204之平台202表面的方向而流動。同時,於某些VPE系統,氣體注射器206使第一和第二前驅氣體中至少一者於平行於支持基板204之平台202的方向而流動。於某一特定的VPE系統,氣體注射器206使第一和第二前驅氣體其中一者於實質平行於支持基板204之平台202的方向而流動,以及使第一和第二前驅氣體其中另一者經 過氣體注射器206於實質垂直於支持基板204之平台202的方向而流動。
電極218、219定位於第一區域208,如此則第一前驅氣體流動接觸或緊鄰於電極218、219。此外,電極218、219定位成它們乃實質隔離於第二前驅氣流。電極218、219可以許多方式來指向。舉例而言,電極218、219可以指向於氣體注射器206的平面(例如電極218)。電極218、219也可以指向垂直於氣體注射器206的平面(例如電極219)。此外,電極218、219可以定位於氣體注射器206和支持基板204的平台202之間的任何地方,包括緊鄰於氣體注射器206和緊鄰於支持基板204的平台202。
於多樣的具體態樣,電極218、219可以由任何類型的電極材料所形成。然而,電極218、219典型是由抗腐蝕的材料所形成,如此它們不會把任何汙染引入VPE系統200裡。同時,於多樣的具體態樣,可以使用任何種類的電極組態,包括任何數量的電極,其可以僅包含一個電極。此外,於多樣的具體態樣,電極218、219可以形成任何形狀。舉例而言,VPE系統200顯示二種不同類型的電極,線性(直的)電極218和非線性電極219,後者例如線圈式電極或其他結構,其使電極暴露於第一前驅氣體的表面積增加或最大化。於許多系統,使用相同類型的電極;但是於某些系統,使用二種或更多種不同類型的電極。
電極218、219是有電作用的。於圖2所示的具體態樣,當未供給能量時,電極218、219是在浮動電位。電源供應 器220的輸出乃電連接於電極218、219。電源供應器220產生加熱電極218、219的電流,如此以熱活化至少一些流動接觸或鄰近於電極218、219的第一前驅氣體分子。
熟於此技藝者將體會有許多方式來隔離電極218、219以使它們實質隔離於第二前驅氣流。舉例而言,於一具體態樣,氣體注射器206包含一或多個擋板222或其他類型的物理結構,其實體分開第一區域208和第二區域212,如此以隔離電極218、219與第二前驅氣流。於許多具體態樣,一或多個擋板222由非導熱性材料所形成,如此則處理腔室201裡的熱分布並未受到擋板222所發射的熱輻射而顯著改變。於一具體態樣,一或多個擋板222被塑形以保持第一和第二前驅氣體中至少一者是層流跨越支持基板204的平台202。
於一具體態樣,電極218、219由催化性材料所形成。加熱器可以定位成熱連通於催化性材料,如此以增加催化性材料的反應速率。熟於此技藝者將體會可以使用許多種的催化性材料。舉例而言,於某些具體態樣,電極218、219由催化性材料所形成,其包括錸、鎢、鈮、鉭、鉬中至少一者。於多樣的具體態樣,電極218、219可以由耐火金屬和/或過渡金屬所形成。
根據本教示之操作氣相磊晶系統的方法包含:注射用於氣相磊晶的第一前驅氣體到鄰近於支持基板204之平台202的第一區域208,以及注射用於氣相磊晶的第二前驅氣體到鄰近於支持基板204之平台202的第二區域212。於一 方法,第一和第二前驅氣體注射於多個個別交替的第一和第二區域,如參考圖4A所述。
可以使用任何種類的VPE前驅氣體。舉例而言,第一前驅氣體可以是氫化物前驅氣體,例如NH3;第二前驅氣體可以是有機金屬前驅氣體,例如三甲基鎵,以用於以VPE生長GaN。同時,第一前驅氣體可以是氫化物前驅氣體,例如NH3;第二前驅氣體可以是金屬鹵化物前驅氣體,例如氯化鎵,以用於以VPE生長GaN。於某些方法,使用三種前驅氣體。舉例而言,於這些方法,第一前驅氣體可以是氫化物前驅氣體,例如NH3;第二前驅氣體可以是有機金屬前驅氣體,例如三甲基鎵;第三前驅氣體可以是鹵化物前驅氣體,例如HCl。使用這三種前驅氣體,鹵化物前驅氣體和有機金屬前驅氣體反應而形成金屬鹵化物。於使用三種前驅氣體的方法,氣體注射器206可以包含第三區域以供注射第三前驅氣體。另外可以選擇的是把第三前驅氣體注射於第一或第二區域208、212。
第一和第二前驅氣體可以任何角度注射,包括垂直和平行於支持基板204的平台202。第二前驅氣體的注射角度可以相同或不同於第一前驅氣體的注射角度。第一前驅氣體分子流動接觸或緊鄰於電極218、219。然而,電極218、219是至少部份隔離於注射的第二前驅氣流。電極218、219然後被電活化。於某些方法,電極218、219藉由物理擋板222而隔離於注射的第二前驅氣流。可以如此實施擋板222,以保持層流於支持基板204的平台202上,如參考圖 6所述。
於使用氣體簾幕的方法,惰性氣體乃注射到隔離電極218、219與第二前驅氣流的區域。在此所用的「惰性氣體」(inert gas)一詞是指不會實質參與生長反應的氣體。惰性氣體經常與前驅氣體混合。此種惰性氣體於此技藝中稱為「載氣」(carrier gas)。舉例而言,當生長III-V族半導體材料時,通常使用例如N2、H2、He或其混合物的氣體來做為前驅氣體的載氣。
電源供應器220產生流動經過電極218、219的電流,如此則電極218、219產生熱,此熱活化第一前驅氣體分子而不活化實質量的第二前驅氣體分子。加熱的電極218、219藉由多樣的機制而轉移能量至第一前驅氣體分子,包括電子的熱離子發射以及電子與反應物種的交互作用。於根據本教示的某些方法,電子沒有足夠的能量以離子化反應物種。電子沒有足夠能量以離子化反應物種的一個範例是離子化NH3。於離子化NH3的方法,電子與反應物種交互作用,如此以促使物種至更高的能態。
於根據本教示的某些VPE系統,電極218、219是催化電極,其由能夠催化第一前驅氣體(如果條件適合的話)的催化性材料所形成。催化電極可用分開的加熱器來加熱以提升催化反應。於某些方法,此種催化電極對於分解接近氣體注射器206表面的NH3而言很有用,這是因為NH3離支持基板204的平台202很遠,因此可能沒有足夠的熱能來分解。使用催化電極降低了分解的活化能,因此增加NH3 分解的機率,即使是在處理腔室201之比較低溫度的區域(亦即接近氣體注射器206而遠離基板204的區域)亦然。藉由降低反應的活化能或者使反應經過不同反應路徑來進行,催化電極允許反應進行,或者如果反應傾向於發生,則可更快速地進行。於根據本教示的一VPE系統,催化電極乃定位鄰近於邊界層區域126(圖1),如此則第一前驅氣體與催化電極交互作用不久之後,第一前驅氣體便混合了第二前驅氣體。
根據本教示的其他VPE系統則包含未供給能量的催化電極。這是一種並未由電源供應器供給能量的催化電極,而只使用催化性材料和周圍的熱來提升催化反應。於根據本教示之多樣的VPE系統,催化電極可以定位於處理腔室201的任何地方。於某些這種VPE系統,催化電極乃定位鄰近於平台202。定位鄰近於平台202的催化電極可以經由單獨來自平台202的次要加熱而達到有效的催化活性。
像平板之熱活化的第一前驅氣體分子流乃大致朝向下游流往平台202和基板204,而於反應腔室201在氣體注射器206和平台202之間的流動區域224。於根據本教示的許多方法,向下流動不會造成分開向下流動氣流之間的實質混合。有時想要設計和操作系統200以使流動區域224中有層流。平台202藉由旋轉驅動器106而快速繞著軸104旋轉,如此則平台202表面和基板204表面快速地移動。平台202和基板204的快速運動把氣體帶入繞著軸104的旋轉運動。因此,製程氣體徑向流動離開軸104,藉此使製 程氣體以多樣的流動而彼此混合於邊界層中,其示意地指示於邊界層區域126。混合於邊界層之活化的第一前驅氣體分子和第二前驅氣體分子流動於基板204表面上,藉此反應而形成VPE膜。
於傳統的VPE系統,前驅氣體在比較低的溫度下引入處理腔室201,因而具有低的可用能量,典型上乃遠低於引發反應物在基板204表面上快速反應所需的能量。於傳統的VPE方法,隨著反應物從入口通往下游而朝向邊界層區域126,可以有一些輻射熱傳來加熱反應物。然而,大部份的加熱(因而反應物可用能量的大部份增加)發生於邊界層區域126裡。於這些傳統的VPE系統,實質上所有的加熱乃依賴基板204、平台202的溫度。
於根據本教示的VPE系統,將實質能量供應到至少一前驅氣體,而非藉由來自基板、平台、腔室壁的熱傳來施加能量。可以控制施加能量的位置。舉例而言,藉由施加能量至接近流動區域124(圖1)和邊界層區域126之間過渡處的第一前驅氣體,可以使當第一前驅氣體的給定部分達到高可用的能量時和當該部分遭遇基板表面時之間的時間最小。此種控制可以幫助讓不想要的副反應減到最小。舉例而言,具有高可用能量的氨可以自動分解成例如NH2、NH物種,然後這些物種轉而可以分解為單原子氮,其極快速地形成N2。氮對於與金屬有機物反應而言基本上是不可用的。藉由恰在氨進入邊界層時或之前施加能量至氨,可以提升將半導體沉積在表面所想要的反應,例如在基板表 面之激發的NH3與金屬有機物的反應或NH2或NH物種與金屬有機物的反應,而可以抑制不想要的副反應。
因此,本教示的一個特徵是:藉由使用依據本發明的電極,操作員有能力獨立於基板204溫度而控制至少一前驅氣體的可用能量。因此,可以增加至少一前驅氣體於邊界層區域126(圖1)的可用能量,而不增加基板204和平台202的溫度。相反地,基板204和平台202可以維持在較低的溫度,而可用的能量仍維持在可接受的程度。
圖3示範根據本教示的碟形氣體注射器300之一具體態樣的俯視圖,其包含定位於氣體注射器300之四分之一圓的第一區域302和徑向延伸經過四分之一圓的第二區域304。圖3所示的俯視圖是以朝向氣體注射器300的前驅氣體入口而往上游看來呈現。碟形氣體注射器300包含機械或化學阻障305,其隔離出第一和第二區域302、304。如在此所述,機械或化學阻障305可以是物理結構(例如擋板)和/或氣體簾幕(其注射惰性氣體)以隔離第一和第二區域302、304。
為了清楚起見,圖3於二個四分之一圓中顯示電極306、308。於依據本發明的許多VPE系統,電極306、308定位於第一區域302的每一個四分之一圓。於某些具體態樣,電極306、308每一者都以絕緣支持結構所懸吊,如此則電極306、308是電浮動的,並且容易連接於電源供應器220(圖2)。於多樣的具體態樣,電極可以是線性(直的)電極或非線性電極,後者例如線圈式電極或其他結構,其使 電極306、308暴露於第一前驅氣體的表面積增加或最大化。
於許多系統,整個第一區域302使用相同類型的電極;但是於某些系統,第一區域302的不同位置使用二種或更多種不同類型的電極。舉例而言,接近第二區域304(在第一區域302的邊緣)的電極類型可以不同於第一區域302中間的電極類型。為了示範說明不同類型電極的定位,圖3顯示第一種電極306,其可以是線性或非線性,而定位於第一前驅氣體流動的平面。此外,圖3顯示第二種電極308,其定位於氣體注射器300的平面。圖3顯示第二種電極308呈線性圖案。然而,應該理解第二種電極也可以形成非線性圖案,例如線圈狀。
電極306、308定位得離第二區域304夠遠,如此則第二前驅物的化學位能不會依據其和電極306、308的鄰近度而改變。換言之,電極306、308基本上與第二前驅氣體沒有交互作用。本教示之VPE系統的一個特徵是第一和第二前驅氣體可以從距基板204(圖2)相同的距離來注射。換言之,第二前驅氣體於處理腔室201中不一定要注射成低於第一前驅氣體才能避免活化。於許多VPE製程,以相同程度注射第一和第二前驅氣體二者至處理腔室201是很重要的,此乃因為此種注射可以於垂直流動的VPE處理腔室中達成層流於大面積上。層流是許多VPE製程所想要的,此乃因為其改善均勻度。
操作包括圖3氣體注射器300之VPE系統的方法包含注射第一前驅氣體於第一區域302的四分之一圓,如此則 第一前驅氣體分子接觸電極306、308。電極306、308由電源供應器220(圖2)供給能量,如此它們熱活化第一前驅氣體分子。舉例而言,第一前驅氣體可以是氫化物前驅氣體混合了載氣。第二前驅氣體則注射於鄰近電極306、308的第二區域304。舉例而言,第二前驅氣體可以是有機金屬混合了載氣(例如氮)。選擇製程條件,以使第二前驅氣體不會流動太靠近電極306、308而被電極產生的熱所熱活化。活化的第一前驅氣體分子和第二前驅氣體分子然後流動於基板204表面(圖2)上,藉此反應而形成VPE膜。
圖4A示範根據本教示之碟形氣體注射器400的一具體態樣截面,其包含多個第一和第二區域402、404,它們交替跨越氣體注射器400。圖4A所示的俯視圖是以朝向氣體注射器400的前驅氣體入口而往上游看來呈現。多個第一區域402包含氣體入口,用於注射帶有載氣的氫化物或鹵化物前驅氣體。多個第二區域404包含氣體入口,用於注射帶有載氣的有機金屬氣體。
於根據本教示的許多VPE系統,第一區域402的面積乃大於第二區域404的面積。操作期間可以調整第一和第二前驅氣體的流動速率和載氣的流動速率,以用於第一和第二區域402、404的特殊尺寸,如此則讓想要的前驅氣體體積和濃度流動跨越正在處理的基板204(圖2)。
氣體注射器400包含多個電極406、408,其定位於多個第一區域402。於依據本發明的許多VPE系統,多個電極406、408定位於第一區域402或者盡可能遠離第二前驅 氣流,如此以使第二前驅氣體分子被電極406、408活化的程度最小。圖4A示範電極406、408於二不同的指向。為了清楚起見,電極僅顯示於多個第一區域402的幾個截面。於根據本教示的許多VPE系統,電極406、408定位於多個第一區域402的每一者。於某些具體態樣,電極406、408每一者都以絕緣支持結構所懸吊,如此則電極406、408是電浮動的,並且容易連接於電源供應器220(圖2)。於多樣的具體態樣,電極406、408可以是線性(直的)電極或非線性電極,後者例如線圈型電極或其他結構,其使電極406、408暴露於第一前驅氣體的表面積增加或最大化。
於許多系統,整個第一區域402使用相同類型的電極;但是於某些系統,第一區域402的不同位置使用二種或更多種不同類型的電極。為了示範說明不同類型電極的定位,圖4A顯示第一種電極406,其可以是線性或非線性,而定位於第一前驅氣體流動的平面。此外,圖4A顯示第二種電極408,其定位於氣體注射器400的平面。圖4A顯示第二種電極408呈非線性電極,它也可以是線圈形。然而,應該理解第二種電極408也可以是線性電極。
圖4B示範碟形氣體注射器400的放大圖,其示範說明隔離電極406(圖4A)、408與第二前驅氣體的機械或化學阻障405。機械或化學阻障405隔離第一區域402中的電極406、408與流動於第二區域404的前驅氣體。如在此所述,阻障405可以是物理結構,例如擋板。此外,阻障405可以是氣體簾幕,其注射惰性氣體於第一和第二區域402、404 之間,如在此所述。
操作包括圖4A、4B氣體注射器400之VPE系統的方法包含注射第一前驅氣體於多個第一區域402,如此則第一前驅氣體分子接觸電極406、408。電極406、408由電源供應器220(圖2)供給能量,如此它們熱活化第一前驅氣體分子。舉例而言,第一前驅氣體可以是氫化物前驅氣體混合了載氣,當它流動接觸電極406、408時被熱活化。第二前驅氣體則注射於多個第二區域404。舉例而言,第二前驅氣體可以是有機金屬混合了載氣。選擇處理條件,以使第二前驅氣體不會流動太靠近電極406、408而被電極406、408產生的熱所熱活化。活化的第一前驅氣體分子和第二前驅氣體分子然後流動於基板204表面(圖2)上,藉此反應而形成VPE膜。
圖5示範根據本教示之VPE系統500的俯視立體圖,其包含水平流動氣體注射器502。VPE系統500類似於參考圖2所描述的VPE系統200。然而,VPE系統500包含環形氣體注射器504、506、508,其注射前驅氣體和惰性氣體於平台510的平面(亦即水平流動到處理腔室裡)。
於圖5所示的具體態樣,第一環形氣體注射器504耦合於第一前驅氣體源512。第二環形氣體注射器506耦合於惰性氣體源514。第三環形氣體注射器508耦合於第二前驅氣體源516。於根據本教示的某些VPE系統,第一和第三環形氣體注射器504、508也耦合於載氣源。第一環形氣體注射器504注射第一前驅氣體於第一水平區域518。第三環 形氣體注射器508注射第二前驅氣體於第二水平區域520。
環形電極522定位於第一水平區域518,如此則第一前驅氣體分子流動接觸或鄰近於環形電極522。物理或化學阻障可以定位在第一和第二水平區域518、520之間,以便隔離環形電極522和第二前驅氣流分子。於根據本教示的某些系統,擋板乃定位於環形電極522之上,以實質避免第二前驅氣體分子流動到平台510時被電極522所熱活化。
於根據本教示的某些系統,氣體簾幕乃用於分開第一和第二水平區域518、520。於這類系統,第二環形氣體注射器506注射惰性氣體於第一和第二水平區域518、520之間,其分佈的圖案實質避免第二前驅氣體分子被環形電極522活化。
操作圖5之VPE系統500的方法包含以第一環形氣體注射器504注射第一前驅氣體,以及以第三環形氣體注射器508注射第二前驅氣體。惰性氣體以第二環形氣體注射器506注射於第一和第二水平區域518、520之間,以形成避免第二前驅氣體分子被環形電極522所活化的化學阻障。當環形電極522由電源供應器220(圖2)供給能量時,環形電極522熱活化了由第一環形氣體注射器504所注射而流動接觸或緊鄰於環形電極522的第一前驅氣體分子。活化的第一前驅氣體分子和第二前驅氣體分子然後流動於基板524表面上,藉此反應而形成VPE膜。
圖6示範根據本教示的箔片形電極600,其定位成接近平台602的表面,以熱活化VPE系統中的前驅氣體。電極 600定位成接近平台602和正在處理的基板604的表面。圖6所示的電極600塑形成翼面,以便提供前驅氣體成跨越基板表面604的層流或接近層流。此外,於電極600由催化性材料所形成的具體態樣,電極600可以塑形成提供比較大的表面積以用於催化反應。
等效者
雖然配合多樣的具體態樣來敘述申請人的教示,但申請人的教示並不想要受限於此等具體態樣。相反地,申請人的教示涵括多樣的替代方案、修改和等效者,其在不偏離本教示精義和範圍下可以做出,就如熟於此技藝者將可體會的。
100‧‧‧VPE系統
101‧‧‧反應腔室
101’‧‧‧反應腔室的壁
102‧‧‧軸桿
104‧‧‧軸
106‧‧‧旋轉驅動機構
108‧‧‧平台
110‧‧‧基板
112‧‧‧基板表面
114‧‧‧加熱器
116‧‧‧氣體注射器
117‧‧‧流體冷卻劑供應器
118、120、122‧‧‧製程氣體源
124‧‧‧流動區域
126‧‧‧邊界層
128‧‧‧下游氣體流動
130‧‧‧排放系統
200‧‧‧VPE系統
201‧‧‧處理腔室
202‧‧‧平台
204‧‧‧基板
206‧‧‧氣體注射器
208‧‧‧第一區域
210‧‧‧第一前驅氣體源
211‧‧‧前驅物和/或惰性氣體源
212‧‧‧第二區域
214‧‧‧第二前驅氣體源
216‧‧‧流體冷卻劑供應器
218‧‧‧線性電極
219‧‧‧非線性電極
220‧‧‧電源供應器
222‧‧‧擋板
224‧‧‧流動區域
300‧‧‧氣體注射器
302‧‧‧第一區域
304‧‧‧第二區域
305‧‧‧機械或化學阻障
306、308‧‧‧電極
400‧‧‧氣體注射器
402‧‧‧第一區域
404‧‧‧第二區域
405‧‧‧機械或化學阻障
406‧‧‧第一種電極
408‧‧‧第二種電極
500‧‧‧VPE系統
502‧‧‧水平流動氣體注射器
504‧‧‧第一環形氣體注射器
506‧‧‧第二環形氣體注射器
508‧‧‧第三環形氣體注射器
510‧‧‧平台
512‧‧‧第一前驅氣體源
514‧‧‧惰性氣體源
516‧‧‧第二前驅氣體源
518‧‧‧第一水平區域
520‧‧‧第二水平區域
522‧‧‧環形電極
524‧‧‧基板
600‧‧‧箔片形電極
602‧‧‧平台
604‧‧‧基板
D‧‧‧下游方向
U‧‧‧上游方向
圖1示範用於形成化合物半導體之已知的氣相磊晶系統。
圖2示範根據本教示的氣相磊晶系統,其包含定位於第一前驅氣流中的至少一電極,該電極實質隔離於第二前驅氣流。
圖3示範根據本教示之碟形氣體注射器的一具體態樣俯視圖,其包含定位於氣體注射器之四分之一圓的第一區域以及徑向延伸經過四分之一圓的第二區域。
圖4A示範根據本教示之碟形氣體注射器的一具體態樣截面,其包含多個交替跨越氣體注射器的第一和第二區域。
圖4B示範碟形氣體注射器的放大圖,其示範說明隔離 電極與第二前驅氣體的機械或化學阻障。
圖5示範根據本教示之氣相磊晶系統的俯視立體圖,其包含水平流動氣體注射器。
圖6示範根據本教示之定位接近於平台表面的箔片形電極,以用於熱活化氣相磊晶系統中的前驅氣體。
102‧‧‧軸桿
104‧‧‧軸
106‧‧‧旋轉驅動機構
114‧‧‧加熱器
126‧‧‧邊界層
130‧‧‧排放系統
200‧‧‧氣相磊晶系統
201‧‧‧處理腔室
202‧‧‧平台
204‧‧‧基板
206‧‧‧氣體注射器
208‧‧‧第一區域
210‧‧‧第一前驅氣體源
211‧‧‧前驅物和/或惰性氣體源
212‧‧‧第二區域
214‧‧‧第二前驅氣體源
216‧‧‧流體冷卻劑供應器
218‧‧‧線性電極
219‧‧‧非線性電極
220‧‧‧電源供應器
222‧‧‧擋板
224‧‧‧流動區域

Claims (37)

  1. 一種氣相磊晶系統,其包括:a.平台,其支持用於氣相磊晶的基板;b.氣體注射器,其包括耦合於第一前驅氣體源的第一區域和耦合於第二前驅氣體源的第二區域,該氣體注射器注射該第一前驅氣體到該第一區域裡以及注射該第二前驅氣體到該第二區域裡;c.至少一電極,其定位於鄰近於該平台的該第一區域,如此則該第一前驅氣體分子流動鄰近於該至少一電極,並且該至少一電極定位成實質隔離於該第二前驅氣流;以及d.電源供應器,其具有電連接於該至少一電極的輸出,該電源供應器產生加熱該至少一電極的電流,如此以熱活化至少一些流動鄰近於該至少一電極的該第一前驅氣體分子,其中該氣體注射器以平行於該平台的方向流動該第一和第二前驅氣體的至少一者。
  2. 如申請專利範圍第1項的系統,其中該氣體注射器包括液體冷卻渠道以控制該氣體注射器的溫度。
  3. 如申請專利範圍第1項的系統,其中該氣體注射器中的該第一和第二區域包括多個第一和第二區域,其交替跨越至少部分的該氣體注射器。
  4. 如申請專利範圍第1項的系統,其中該第一和第二前驅氣體中至少一者乃以垂直於支持該基板之該平台的方向而流動經過該氣體注射器。
  5. 如申請專利範圍第1項的系統,其中該第一和第二前驅氣體中至少一者乃以平行於支持該基板之該平台的方向而流動經過該氣體注射器。
  6. 如申請專利範圍第1項的系統,其中該第一和第二前驅氣體其中一者以實質平行於支持該基板之該平台的方向而流動經過該氣體注射器,以及該第一和第二前驅氣體其中另一者以實質垂直於支持該基板之該平台的方向而流動經過該氣體注射器。
  7. 如申請專利範圍第1項的系統,其中該氣體注射器使該第一和第二前驅氣體以層流方式流動於該平台上。
  8. 如申請專利範圍第1項的系統,其中該氣體注射器使該第一和第二前驅氣體以非層流方式流動於該平台上。
  9. 如申請專利範圍第1項的系統,其中該氣體注射器進一步包括擋板,其實體分開該第一和第二區域。
  10. 如申請專利範圍第9項的系統,其中該擋板經塑形以保持該第一和第二前驅氣體成跨越支持該基板之該平台的層流。
  11. 如申請專利範圍第9項的系統,其中該擋板由非導熱性材料所形成。
  12. 如申請專利範圍第1項的系統,其中該至少一電極由催化性材料所形成。
  13. 如申請專利範圍第12項的系統,其中該催化性材料包括鎢、錸、鉬中至少一者。
  14. 如申請專利範圍第1項的系統,進一步包括催化電 極,其定位鄰近於該平台。
  15. 如申請專利範圍第1項的系統,其中該電極以非線性結構所形成。
  16. 如申請專利範圍第1項的系統,其中該電極指向於該氣體注射器的平面。
  17. 如申請專利範圍第1項的系統,其中該電極指向成垂直於該氣體注射器的平面。
  18. 如申請專利範圍第1項的系統,其中該電極定位成鄰近於該平台。
  19. 一種氣相磊晶的方法,該方法包括:a.注射用於氣相磊晶的第一前驅氣體於第一區域,該第一區域鄰近於支持基板的平台;b.注射用於氣相磊晶的第二前驅氣體於第二區域,該第二區域鄰近於該支持基板的平台;c.將鄰近該支持基板的平台的電極定位於注射的該第一前驅氣流;d.隔離該電極與注射的該第二前驅氣流;以及e.以該電極活化該第一前驅氣體,其中氣體注射器以平行於該支持基板的平台的方向流動該第一和第二前驅氣體的至少一者。
  20. 如申請專利範圍第19項的方法,其中活化該第一前驅氣體產生第一前驅氣體自由基。
  21. 如申請專利範圍第19項的方法,其中活化該第一前驅氣體包括:供給能量給該電極,以熱活化該第一前驅氣 體。
  22. 如申請專利範圍第19項的方法,其中活化該第一前驅氣體包括:以催化性電極材料來催化性活化該第一前驅氣體。
  23. 如申請專利範圍第19項的方法,其中注射該第一前驅氣體包括:注射氫化物前驅氣體,並且注射該第二前驅氣體包括:注射有機金屬前驅氣體。
  24. 如申請專利範圍第23項的方法,其進一步包括:注射鹵化物前驅氣體。
  25. 如申請專利範圍第19項的方法,其中注射用於氣相磊晶的該第一前驅氣體包括:注射氫化物前驅氣體,以及注射用於氣相磊晶的該第二前驅氣體包括:注射金屬鹵化物前驅氣體。
  26. 如申請專利範圍第19項的方法,其中注射用於氣相磊晶的該第一和第二前驅氣體包括:注射該第一和第二前驅氣體成平行於該支持基板的平台。
  27. 如申請專利範圍第19項的方法,其中注射用於氣相磊晶的該第一和第二前驅氣體包括:注射該第一和第二前驅氣體成垂直於該支持基板的平台。
  28. 如申請專利範圍第19項的方法,其中注射用於氣相磊晶的該第一和第二前驅氣體包括:注射該第一和第二前驅氣體其中一者成垂直於該支持基板的平台,以及注射該第一和第二前驅氣體其中另一者成平行於該支持基板的平台。
  29. 如申請專利範圍第19項的方法,其中注射該第一和第二前驅氣體包括:注射該第一和第二前驅氣體於多個交替的第一和第二區域,其中該第一前驅氣體注射於多個交替的該第一和第二區域中的該第一區域,而該第二前驅氣體注射於多個交替的該第一和第二區域中的該第二區域。
  30. 如申請專利範圍第19項的方法,其中隔離該電極與注射的該第二前驅氣流包括:阻擋該電極。
  31. 如申請專利範圍第30項的方法,其中該阻擋保持層流於該支持基板的平台上。
  32. 一種氣相磊晶系統,其包括:a.用於注射氣相磊晶用之第一前驅氣體的機構,第一區域鄰近於支持基板的平台;b.用於注射氣相磊晶用之第二前驅氣體的機構,第二區域鄰近於該支持基板的平台;c.電極,其鄰近該支持基板的平台定位於注射的該第一前驅氣流;d.用於隔離該電極與注射之該第二前驅氣流的機構;以及e.用於以該電極來活化該第一前驅氣體的機構,其中氣體注射器以平行於該支持基板的平台的方向流動該第一和第二前驅氣體的至少一者。
  33. 如申請專利範圍第32項的系統,其中用於以該電極來活化該第一前驅氣體的機構包括:供給能量給該電極。
  34. 如申請專利範圍第32項的系統,其中用於以該電極 來活化該第一前驅氣體的機構包括:以該電極來形成催化反應。
  35. 如申請專利範圍第32項的系統,其中用於隔離該電極與注射之該第二前驅氣流的機構包括:阻擋該電極。
  36. 一種氣相磊晶的方法,該方法包括:a.注射用於氣相磊晶之包括H2和N2的第一前驅氣體於第一區域,該第一區域鄰近於支持基板的平台;b.注射用於氣相磊晶的第二前驅氣體於第二區域,該第二區域鄰近於該支持基板的平台;c.將鄰近該支持基板的平台的催化電極定位於注射的該第一前驅氣流;d.隔離該電極與注射的該第二前驅氣流;以及e.供給能量至該催化電極來活化該第一前驅氣體,以產生NH2、NH中至少一者,其中氣體注射器以平行於該支持基板的平台的方向流動該第一和第二前驅氣體的至少一者。
  37. 如申請專利範圍第36項的方法,其進一步包括將第二催化電極定位成熱連通於該支持基板的平台,該支持基板的平台並未被供給能量。
TW098133511A 2008-10-03 2009-10-02 氣相磊晶系統 TWI429791B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US19509308P 2008-10-03 2008-10-03

Publications (2)

Publication Number Publication Date
TW201022488A TW201022488A (en) 2010-06-16
TWI429791B true TWI429791B (zh) 2014-03-11

Family

ID=41429649

Family Applications (2)

Application Number Title Priority Date Filing Date
TW098133511A TWI429791B (zh) 2008-10-03 2009-10-02 氣相磊晶系統
TW098133650A TWI411700B (zh) 2008-10-03 2009-10-02 具能量輸入之化學氣相沈積

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW098133650A TWI411700B (zh) 2008-10-03 2009-10-02 具能量輸入之化學氣相沈積

Country Status (8)

Country Link
US (4) US20100086703A1 (zh)
EP (2) EP2332167A4 (zh)
JP (2) JP2012504873A (zh)
KR (2) KR20110079831A (zh)
CN (2) CN102171795A (zh)
SG (1) SG194408A1 (zh)
TW (2) TWI429791B (zh)
WO (2) WO2010040011A2 (zh)

Families Citing this family (276)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011500961A (ja) 2007-10-11 2011-01-06 バレンス プロセス イクウィップメント,インコーポレイテッド 化学気相成長反応器
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20110073039A1 (en) * 2009-09-28 2011-03-31 Ron Colvin Semiconductor deposition system and method
EP2543063B1 (en) * 2010-03-03 2019-05-08 Veeco Instruments Inc. Wafer carrier with sloped edge
TWI390074B (zh) * 2010-04-29 2013-03-21 Chi Mei Lighting Tech Corp 有機金屬化學氣相沉積機台
US10138551B2 (en) 2010-07-29 2018-11-27 GES Associates LLC Substrate processing apparatuses and systems
TW201222636A (en) * 2010-07-30 2012-06-01 Lawrence Advanced Semiconductor Technologies Llc Systems, apparatuses, and methods for chemically processing substrates using the Coanda effect
DE102011002146B4 (de) 2011-04-18 2023-03-09 Aixtron Se Vorrichtung und Verfahren zum Abscheiden von Halbleiterschichten mit HCI-Zugabe zur Unterdrückung parasitären Wachstums
DE102011002145B4 (de) 2011-04-18 2023-02-09 Aixtron Se Vorrichtung und Verfahren zum großflächigen Abscheiden von Halbleiterschichten mit gasgetrennter HCI-Einspeisung
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
CN103361633B (zh) * 2012-04-01 2015-07-01 北京北方微电子基地设备工艺研究中心有限责任公司 一种进气装置、反应腔室以及等离子体加工设备
SG11201407907XA (en) * 2012-07-13 2015-01-29 Gallium Entpr Pty Ltd Apparatus and method for film formation
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
TWI502096B (zh) * 2013-06-17 2015-10-01 Ind Tech Res Inst 用於化學氣相沉積的反應裝置及反應製程
US9435031B2 (en) 2014-01-07 2016-09-06 International Business Machines Corporation Microwave plasma and ultraviolet assisted deposition apparatus and method for material deposition using the same
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US20150361582A1 (en) * 2014-06-17 2015-12-17 Veeco Instruments, Inc. Gas Flow Flange For A Rotating Disk Reactor For Chemical Vapor Deposition
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
CN106282969B (zh) * 2015-06-02 2019-02-15 中微半导体设备(上海)有限公司 化学气相沉积装置及其沉积方法
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10865477B2 (en) * 2016-02-08 2020-12-15 Illinois Tool Works Inc. Method and system for the localized deposit of metal on a surface
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
JP6665726B2 (ja) * 2016-08-01 2020-03-13 東京エレクトロン株式会社 成膜装置
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) * 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10357920B2 (en) * 2017-01-17 2019-07-23 Obsidian Advanced Manufacturing, Llc Gas phase integrated multimaterial printhead for additive manufacturing
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
CN111678885A (zh) * 2020-05-29 2020-09-18 清华大学 化学反应观测系统及方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
KR102491498B1 (ko) * 2021-12-06 2023-01-27 한국세라믹기술원 HVPE 성장법을 이용하여 성장한 고품질 β-Ga2O3 박막 제조장치 및 제조방법

Family Cites Families (67)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61231715A (ja) 1985-04-08 1986-10-16 Hitachi Ltd 光プロセス装置
US4868014A (en) * 1986-01-14 1989-09-19 Canon Kabushiki Kaisha Method for forming thin film multi-layer structure member
US4838014A (en) * 1986-03-31 1989-06-13 Ford New Holland, Inc. Disc cutter rotor assembly
JPH0744154B2 (ja) 1987-12-16 1995-05-15 株式会社豊田中央研究所 光照射型低温mocvd方法および装置
US5261959A (en) * 1988-05-26 1993-11-16 General Electric Company Diamond crystal growth apparatus
JPH0355827A (ja) 1989-07-25 1991-03-11 Matsushita Electric Ind Co Ltd 光励起エピタキシャル成長装置
DE3935865C1 (zh) * 1989-10-27 1990-10-04 Philips Patentverwaltung Gmbh, 2000 Hamburg, De
JP2822536B2 (ja) 1990-02-14 1998-11-11 住友電気工業株式会社 立方晶窒化ホウ素薄膜の形成方法
US5079038A (en) * 1990-10-05 1992-01-07 The United States Of America As Represented By The United States Department Of Energy Hot filament CVD of boron nitride films
US5633192A (en) * 1991-03-18 1997-05-27 Boston University Method for epitaxially growing gallium nitride layers
US5856695A (en) * 1991-10-30 1999-01-05 Harris Corporation BiCMOS devices
EP0592227A3 (en) * 1992-10-07 1995-01-11 Sharp Kk Manufacture of a thin film transistor and production of a liquid crystal display device.
JPH086181B2 (ja) * 1992-11-30 1996-01-24 日本電気株式会社 化学気相成長法および化学気相成長装置
US5433977A (en) * 1993-05-21 1995-07-18 Trustees Of Boston University Enhanced adherence of diamond coatings by combustion flame CVD
KR100321325B1 (ko) * 1993-09-17 2002-06-20 가나이 쓰도무 플라즈마생성방법및장치와그것을사용한플라즈마처리방법및장치
JP3468859B2 (ja) * 1994-08-16 2003-11-17 富士通株式会社 気相処理装置及び気相処理方法
CA2205817C (en) * 1996-05-24 2004-04-06 Sekisui Chemical Co., Ltd. Treatment method in glow-discharge plasma and apparatus thereof
JP3737221B2 (ja) * 1996-09-06 2006-01-18 英樹 松村 薄膜作成方法及び薄膜作成装置
JPH10172473A (ja) * 1996-12-12 1998-06-26 Toshiba Corp 偏向ヨーク装置
US5820922A (en) * 1996-12-17 1998-10-13 Sandia Corporation Method for localized deposition of noble metal catalysts with control of morphology
US6066204A (en) * 1997-01-08 2000-05-23 Bandwidth Semiconductor, Llc High pressure MOCVD reactor system
JPH10226599A (ja) 1997-02-12 1998-08-25 Sharp Corp 気相成長装置
ATE350510T1 (de) * 1997-06-13 2007-01-15 Oerlikon Trading Ag Verfahren und anlage zur herstellung beschichteter werkstücke
US6161499A (en) * 1997-07-07 2000-12-19 Cvd Diamond Corporation Apparatus and method for nucleation and deposition of diamond using hot-filament DC plasma
US20030049372A1 (en) * 1997-08-11 2003-03-13 Cook Robert C. High rate deposition at low pressures in a small batch reactor
US6194036B1 (en) * 1997-10-20 2001-02-27 The Regents Of The University Of California Deposition of coatings using an atmospheric pressure plasma jet
JP4556329B2 (ja) * 1999-04-20 2010-10-06 ソニー株式会社 薄膜形成装置
KR100712241B1 (ko) 1999-05-13 2007-04-27 이엠에프 아일랜드 리미티드 기판상에 재료를 에피택셜성장시키는 방법 및 장치
WO2000070117A1 (en) * 1999-05-14 2000-11-23 The Regents Of The University Of California Low-temperature compatible wide-pressure-range plasma flow device
US7091605B2 (en) * 2001-09-21 2006-08-15 Eastman Kodak Company Highly moisture-sensitive electronic device element and method for fabrication
US6582780B1 (en) * 1999-08-30 2003-06-24 Si Diamond Technology, Inc. Substrate support for use in a hot filament chemical vapor deposition chamber
US6745717B2 (en) * 2000-06-22 2004-06-08 Arizona Board Of Regents Method and apparatus for preparing nitride semiconductor surfaces
KR100735932B1 (ko) * 2001-02-09 2007-07-06 동경 엘렉트론 주식회사 성막 장치
KR100402389B1 (ko) * 2001-03-23 2003-10-17 삼성전자주식회사 금속 게이트 형성 방법
KR100425449B1 (ko) * 2001-05-18 2004-03-30 삼성전자주식회사 포토 화학기상증착법을 이용한 다층막 형성방법과 그 장치
US6638839B2 (en) * 2001-07-26 2003-10-28 The University Of Toledo Hot-filament chemical vapor deposition chamber and process with multiple gas inlets
US6677250B2 (en) * 2001-08-17 2004-01-13 Micron Technology, Inc. CVD apparatuses and methods of forming a layer over a semiconductor substrate
AUPS240402A0 (en) * 2002-05-17 2002-06-13 Macquarie Research Limited Gallium nitride
JP3759071B2 (ja) * 2002-05-29 2006-03-22 京セラ株式会社 Cat−PECVD法
JP2004103745A (ja) * 2002-09-06 2004-04-02 Japan Science & Technology Corp ホットワイヤcvd法による窒化物半導体膜のエピタキシャル成長方法
JP3809410B2 (ja) 2002-09-19 2006-08-16 独立行政法人科学技術振興機構 光化学気相堆積装置及び方法
JP2004165445A (ja) * 2002-11-13 2004-06-10 Furukawa Co Ltd 半導体製造装置
US7170027B2 (en) * 2003-04-16 2007-01-30 Toyo Seikan Kaisha Ltd. Microwave plasma processing method
JP2005089781A (ja) * 2003-09-12 2005-04-07 Mitsui Eng & Shipbuild Co Ltd 薄膜形成装置
US7311947B2 (en) * 2003-10-10 2007-12-25 Micron Technology, Inc. Laser assisted material deposition
KR100513920B1 (ko) * 2003-10-31 2005-09-08 주식회사 시스넥스 화학기상증착 반응기
JP4493379B2 (ja) 2003-11-26 2010-06-30 京セラ株式会社 発熱体cvd装置
GB2415707A (en) * 2004-06-30 2006-01-04 Arima Optoelectronic Vertical hydride vapour phase epitaxy deposition using a homogenising diaphragm
EP1809788A4 (en) 2004-09-27 2008-05-21 Gallium Entpr Pty Ltd METHOD AND APPARATUS FOR GROWING GROUP (III) METAL NITRIDE FILM AND GROUP (III) METAL FILM
DE102004052044A1 (de) * 2004-10-26 2006-04-27 Patent-Treuhand-Gesellschaft für elektrische Glühlampen mbH Glühlampe mit einem Leuchtkörper, der eine hochtemperaturbeständige Metallverbindung enthält
JP2006173242A (ja) * 2004-12-14 2006-06-29 Sharp Corp 触媒接触型ラジカル生成装置および半導体装置ならびに液晶表示装置
US20060156983A1 (en) * 2005-01-19 2006-07-20 Surfx Technologies Llc Low temperature, atmospheric pressure plasma generation and applications
CA2597623C (en) 2005-02-28 2015-07-14 Epispeed S.A. System and process for high-density,low-energy plasma enhanced vapor phase epitaxy
JP2006251025A (ja) * 2005-03-08 2006-09-21 Canon Inc 加熱装置
US7396415B2 (en) * 2005-06-02 2008-07-08 Asm America, Inc. Apparatus and methods for isolating chemical vapor reactions at a substrate surface
EP1916704A4 (en) 2005-08-05 2011-06-08 Sekisui Chemical Co Ltd METHOD FOR FORMING GROUP III NITRIDE FILMS SUCH AS GALLIUM NITRIDE
US7842355B2 (en) 2005-11-01 2010-11-30 Applied Materials, Inc. System and method for modulation of power and power related functions of PECVD discharge sources to achieve new film properties
US20070256635A1 (en) * 2006-05-02 2007-11-08 Applied Materials, Inc. A Delaware Corporation UV activation of NH3 for III-N deposition
WO2008016836A2 (en) * 2006-07-29 2008-02-07 Lotus Applied Technology, Llc Radical-enhanced atomic layer deposition system and method
WO2008023523A1 (fr) 2006-08-22 2008-02-28 National Institute Of Advanced Industrial Science And Technology Procédé de formation de film mince par traitement par microplasma et appareil associé
JP2008124060A (ja) 2006-11-08 2008-05-29 Showa Denko Kk Iii族窒化物化合物半導体発光素子の製造方法、及びiii族窒化物化合物半導体発光素子、並びにランプ
US20080185039A1 (en) 2007-02-02 2008-08-07 Hing Wah Chan Conductor fabrication for optical element
US20080241377A1 (en) * 2007-03-29 2008-10-02 Tokyo Electron Limited Vapor deposition system and method of operating
US7976631B2 (en) * 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
GB0805837D0 (en) 2008-03-31 2008-06-04 Qinetiq Ltd Chemical Vapour Deposition Process
US20100006023A1 (en) * 2008-07-11 2010-01-14 Palo Alto Research Center Incorporated Method For Preparing Films And Devices Under High Nitrogen Chemical Potential
WO2011106624A1 (en) 2010-02-26 2011-09-01 Alliance For Sustainable Energy, Llc Hot wire chemical vapor deposition (hwcvd) with carbide filaments

Also Published As

Publication number Publication date
CN102239277A (zh) 2011-11-09
CN102171795A (zh) 2011-08-31
WO2010039252A1 (en) 2010-04-08
JP5587325B2 (ja) 2014-09-10
WO2010040011A2 (en) 2010-04-08
TW201022488A (en) 2010-06-16
EP2347028A1 (en) 2011-07-27
EP2332167A4 (en) 2012-06-20
US8815709B2 (en) 2014-08-26
CN102239277B (zh) 2013-10-23
US20140318453A1 (en) 2014-10-30
JP2012504866A (ja) 2012-02-23
KR20110079831A (ko) 2011-07-08
WO2010040011A3 (en) 2010-07-01
EP2332167A2 (en) 2011-06-15
US20100087050A1 (en) 2010-04-08
SG194408A1 (en) 2013-11-29
TWI411700B (zh) 2013-10-11
KR20110074899A (ko) 2011-07-04
TW201026887A (en) 2010-07-16
JP2012504873A (ja) 2012-02-23
US20100086703A1 (en) 2010-04-08
US20110174213A1 (en) 2011-07-21

Similar Documents

Publication Publication Date Title
TWI429791B (zh) 氣相磊晶系統
JP6117169B2 (ja) 三塩化ガリウムの噴射方式
JP5575483B2 (ja) Iii−v族半導体材料の大量製造装置
JP5575482B2 (ja) 単結晶iii−v族半導体材料のエピタキシャル堆積法、及び堆積システム
KR101094913B1 (ko) Iii-v 족 반도체 물질을 형성하기 위한 제조 공정 시스템
US20100263588A1 (en) Methods and apparatus for epitaxial growth of semiconductor materials
WO2011011532A2 (en) Hollow cathode showerhead
TWI750441B (zh) 用於製造石墨烯電晶體及裝置之方法
WO2009055244A1 (en) Showerhead design with precursor source
US20230193512A1 (en) Vapor phase epitaxial growth device
KR100712241B1 (ko) 기판상에 재료를 에피택셜성장시키는 방법 및 장치
JP2012084581A (ja) 気相成長装置
JP7002722B2 (ja) 気相成長装置
JP2006225676A (ja) 気相成長装置

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees